powered netlist updated
diff --git a/verilog/gl/rest_top.v b/verilog/gl/rest_top.v
index db1caa3..c809a73 100644
--- a/verilog/gl/rest_top.v
+++ b/verilog/gl/rest_top.v
@@ -1,73432 +1,230278 @@
-
+/*
+###############################################################
+#  Generated by:      Cadence Innovus 20.10-p004_1
+#  OS:                Linux x86_64(Host ID merl-HP-Z840)
+#  Generated on:      Mon Dec 27 12:16:46 2021
+#  Design:            rest_top
+#  Command:           write_netlist rest_top_p.v -export_top_pg_nets -include_pg_ports -exclude_leaf_cells -top_module_first
+###############################################################
+*/
 // Generated by Cadence Genus(TM) Synthesis Solution 20.11-s111_1
 // Generated on: Dec 26 2021 17:08:14 PKT (Dec 26 2021 12:08:14 UTC)
-
 // Verification Directory fv/rest_top 
+module iccm_controller (
+	rst_ni, 
+	prog_i, 
+	rx_dv_i, 
+	rx_byte_i, 
+	we_o, 
+	addr_o, 
+	wdata_o, 
+	reset_o, 
+	FE_OFN21_n_470, 
+	clk_i_clone2, 
+	clk_i_clone1, 
+	clk_i, 
+	vccd1, 
+	vssd1);
+   input rst_ni;
+   input prog_i;
+   input rx_dv_i;
+   input [7:0] rx_byte_i;
+   output we_o;
+   output [11:0] addr_o;
+   output [31:0] wdata_o;
+   output reset_o;
+   input FE_OFN21_n_470;
+   input clk_i_clone2;
+   input clk_i_clone1;
+   input clk_i;
+   inout vccd1;
+   inout vssd1;
 
-module iccm_controller(clk_i, rst_ni, prog_i, rx_dv_i, rx_byte_i, we_o,
-     addr_o, wdata_o, reset_o);
-  input clk_i, rst_ni, prog_i, rx_dv_i;
-  input [7:0] rx_byte_i;
-  output we_o, reset_o;
-  output [11:0] addr_o;
-  output [31:0] wdata_o;
-  wire clk_i, rst_ni, prog_i, rx_dv_i;
-  wire [7:0] rx_byte_i;
-  wire we_o, reset_o;
-  wire [11:0] addr_o;
-  wire [31:0] wdata_o;
-  wire [1:0] byte_count;
-  wire [1:0] ctrl_fsm_cs;
-  wire n_0, n_1, n_26, n_47, n_48, n_49, n_50, n_51;
-  wire n_52, n_53, n_54, n_55, n_56, n_57, n_58, n_59;
-  wire n_60, n_61, n_62, n_63, n_64, n_65, n_66, n_67;
-  wire n_68, n_69, n_70, n_71, n_72, n_73, n_74, n_75;
-  wire n_76, n_77, n_78, n_79, n_80, n_81, n_82, n_83;
-  wire n_84, n_85, n_86, n_87, n_88, n_89, n_90, n_91;
-  wire n_92, n_93, n_94, n_95, n_96, n_97, n_98, n_99;
-  wire n_100, n_101, n_102, n_103, n_104, n_105, n_106, n_107;
-  wire n_108, n_109, n_110, n_111, n_112, n_113, n_114, n_115;
-  wire n_116, n_117, n_118, n_119, n_120, n_121, n_122, n_123;
-  wire n_124, n_125, n_126, n_127, n_128, n_129, n_130, n_131;
-  wire n_132, n_133, n_134, n_135, n_136, n_137, n_138, n_139;
-  wire n_140, n_141, n_142, n_143, n_144, n_145, n_146, n_147;
-  wire n_148, n_149, n_150, n_151, n_152, n_153, n_154, n_155;
-  wire n_156, n_157, n_158, n_159, n_160, n_161, n_162, n_163;
-  wire n_164, n_165, n_166, n_167, n_168, n_169, n_170, n_171;
-  wire n_172, n_173, n_174, n_175, n_176, n_177, n_178, n_179;
-  wire n_180, n_181, n_182, n_183, n_184, n_185, n_186, n_187;
-  wire n_188, n_189, n_190, n_191, n_192, n_193, n_194, n_195;
-  wire n_196, n_197, n_198, n_199, n_200, n_201, n_202;
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_154), .Q (addr_o[0]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_162), .Q (addr_o[1]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_170), .Q (addr_o[2]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_175), .Q (addr_o[3]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_180), .Q (addr_o[4]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_186), .Q (addr_o[5]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_192), .Q (addr_o[6]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_197), .Q (addr_o[7]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[8] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_200), .Q (addr_o[8]));
-  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[9] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_202), .Q (addr_o[9]));
-  sky130_fd_sc_hd__dfrtp_1 \byte_count_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_119), .Q (byte_count[1]));
-  sky130_fd_sc_hd__dfstp_2 reset_q_reg(.SET_B (rst_ni), .CLK (clk_i),
-       .D (n_191), .Q (reset_o));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_131), .Q (wdata_o[24]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_130), .Q (wdata_o[25]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_128), .Q (wdata_o[26]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_127), .Q (wdata_o[27]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_126), .Q (wdata_o[28]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_124), .Q (wdata_o[29]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_123), .Q (wdata_o[30]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_122), .Q (wdata_o[31]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_138), .Q (wdata_o[16]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_152), .Q (wdata_o[17]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_150), .Q (wdata_o[18]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_149), .Q (wdata_o[19]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_148), .Q (wdata_o[20]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_147), .Q (wdata_o[21]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_146), .Q (wdata_o[22]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_145), .Q (wdata_o[23]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_144), .Q (wdata_o[8]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_143), .Q (wdata_o[9]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_142), .Q (wdata_o[10]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_133), .Q (wdata_o[11]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_141), .Q (wdata_o[12]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_140), .Q (wdata_o[13]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_139), .Q (wdata_o[14]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_153), .Q (wdata_o[15]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_137), .Q (wdata_o[0]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_129), .Q (wdata_o[1]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_136), .Q (wdata_o[2]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_135), .Q (wdata_o[3]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_125), .Q (wdata_o[4]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_132), .Q (wdata_o[5]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_134), .Q (wdata_o[6]));
-  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_151), .Q (wdata_o[7]));
-  sky130_fd_sc_hd__dfrtp_1 we_q_reg(.RESET_B (rst_ni), .CLK (clk_i), .D
-       (n_168), .Q (we_o));
-  sky130_fd_sc_hd__nor2_1 g5426__2398(.A (n_201), .B (prog_i), .Y
-       (n_202));
-  sky130_fd_sc_hd__a22oi_1 g5428__5107(.A1 (n_199), .A2 (n_56), .B1
-       (n_57), .B2 (addr_o[9]), .Y (n_201));
-  sky130_fd_sc_hd__a21oi_1 g5429__6260(.A1 (n_198), .A2 (n_73), .B1
-       (prog_i), .Y (n_200));
-  sky130_fd_sc_hd__o2bb2ai_1 g5430__4319(.A1_N (addr_o[9]), .A2_N
-       (n_196), .B1 (addr_o[9]), .B2 (n_196), .Y (n_199));
-  sky130_fd_sc_hd__o211ai_1 g5432__8428(.A1 (addr_o[8]), .A2 (n_194),
-       .B1 (n_56), .C1 (n_196), .Y (n_198));
-  sky130_fd_sc_hd__a21oi_1 g5433__5526(.A1 (n_195), .A2 (n_72), .B1
-       (prog_i), .Y (n_197));
-  sky130_fd_sc_hd__nand2_1 g5435__6783(.A (n_193), .B (n_56), .Y
-       (n_195));
-  sky130_fd_sc_hd__nand2_1 g5436__3680(.A (n_194), .B (addr_o[8]), .Y
-       (n_196));
-  sky130_fd_sc_hd__ha_1 g5437__1617(.A (addr_o[7]), .B (n_187), .COUT
-       (n_194), .SUM (n_193));
-  sky130_fd_sc_hd__a21oi_1 g5438__2802(.A1 (n_190), .A2 (n_64), .B1
-       (prog_i), .Y (n_192));
-  sky130_fd_sc_hd__a21oi_1 g5441__1705(.A1 (n_184), .A2 (n_55), .B1
-       (prog_i), .Y (n_191));
-  sky130_fd_sc_hd__nand2_1 g5443__5122(.A (n_188), .B (n_56), .Y
-       (n_190));
-  sky130_fd_sc_hd__a21oi_1 g5444__8246(.A1 (n_185), .A2 (n_57), .B1
-       (prog_i), .Y (n_189));
-  sky130_fd_sc_hd__ha_1 g5445__7098(.A (addr_o[6]), .B (n_181), .COUT
-       (n_187), .SUM (n_188));
-  sky130_fd_sc_hd__a21oi_1 g5446__6131(.A1 (n_183), .A2 (n_62), .B1
-       (prog_i), .Y (n_186));
-  sky130_fd_sc_hd__o21ai_1 g5447__1881(.A1 (n_47), .A2 (n_179), .B1
-       (ctrl_fsm_cs[1]), .Y (n_185));
-  sky130_fd_sc_hd__nand3_1 g5448__5115(.A (n_179), .B (ctrl_fsm_cs[0]),
-       .C (ctrl_fsm_cs[1]), .Y (n_184));
-  sky130_fd_sc_hd__nand2_1 g5450__7482(.A (n_182), .B (n_56), .Y
-       (n_183));
-  sky130_fd_sc_hd__ha_1 g5451__4733(.A (addr_o[5]), .B (n_176), .COUT
-       (n_181), .SUM (n_182));
-  sky130_fd_sc_hd__a21oi_1 g5452__6161(.A1 (n_178), .A2 (n_70), .B1
-       (prog_i), .Y (n_180));
-  sky130_fd_sc_hd__o41ai_1 g5453__9315(.A1 (wdata_o[29]), .A2
-       (wdata_o[28]), .A3 (wdata_o[27]), .A4 (n_174), .B1 (rst_ni), .Y
-       (n_179));
-  sky130_fd_sc_hd__nand2_1 g5455__9945(.A (n_177), .B (n_56), .Y
-       (n_178));
-  sky130_fd_sc_hd__ha_1 g5456__2883(.A (addr_o[4]), .B (n_171), .COUT
-       (n_176), .SUM (n_177));
-  sky130_fd_sc_hd__a21oi_1 g5457__2346(.A1 (n_173), .A2 (n_74), .B1
-       (prog_i), .Y (n_175));
-  sky130_fd_sc_hd__or4_1 g5458__1666(.A (wdata_o[26]), .B
-       (wdata_o[24]), .C (wdata_o[25]), .D (n_169), .X (n_174));
-  sky130_fd_sc_hd__nand2_1 g5460__7410(.A (n_172), .B (n_56), .Y
-       (n_173));
-  sky130_fd_sc_hd__ha_1 g5461__6417(.A (addr_o[3]), .B (n_163), .COUT
-       (n_171), .SUM (n_172));
-  sky130_fd_sc_hd__a21oi_1 g5462__5477(.A1 (n_167), .A2 (n_71), .B1
-       (prog_i), .Y (n_170));
-  sky130_fd_sc_hd__nand2_1 g5464__2398(.A (n_166), .B (wdata_o[4]), .Y
-       (n_169));
-  sky130_fd_sc_hd__nor2_1 g5466__5107(.A (n_161), .B (prog_i), .Y
-       (n_168));
-  sky130_fd_sc_hd__nand2_1 g5468__6260(.A (n_164), .B (n_56), .Y
-       (n_167));
-  sky130_fd_sc_hd__nor4_1 g5469__4319(.A (wdata_o[23]), .B
-       (wdata_o[31]), .C (wdata_o[30]), .D (n_158), .Y (n_166));
-  sky130_fd_sc_hd__nor3_1 g5470__8428(.A (n_52), .B (n_160), .C
-       (prog_i), .Y (n_165));
-  sky130_fd_sc_hd__ha_1 g5471__5526(.A (addr_o[2]), .B (n_156), .COUT
-       (n_163), .SUM (n_164));
-  sky130_fd_sc_hd__a21oi_1 g5472__6783(.A1 (n_159), .A2 (n_75), .B1
-       (prog_i), .Y (n_162));
-  sky130_fd_sc_hd__a21oi_1 g5473__3680(.A1 (we_o), .A2
-       (ctrl_fsm_cs[0]), .B1 (n_160), .Y (n_161));
-  sky130_fd_sc_hd__a311oi_1 g5474__1617(.A1 (n_116), .A2
-       (rx_byte_i[1]), .A3 (rx_byte_i[0]), .B1 (n_66), .C1 (n_155), .Y
-       (n_160));
-  sky130_fd_sc_hd__nand2_1 g5476__2802(.A (n_157), .B (n_56), .Y
-       (n_159));
-  sky130_fd_sc_hd__nand4b_1 g5477__1705(.A_N (n_117), .B (n_155), .C
-       (n_121), .D (wdata_o[6]), .Y (n_158));
-  sky130_fd_sc_hd__ha_1 g5478__5122(.A (addr_o[1]), .B (n_82), .COUT
-       (n_156), .SUM (n_157));
-  sky130_fd_sc_hd__a21oi_1 g5496__8246(.A1 (n_118), .A2 (n_63), .B1
-       (prog_i), .Y (n_154));
-  sky130_fd_sc_hd__nor3_1 g5497__7098(.A (wdata_o[15]), .B
-       (wdata_o[14]), .C (n_115), .Y (n_155));
-  sky130_fd_sc_hd__nor2_1 g5513__6131(.A (n_87), .B (prog_i), .Y
-       (n_153));
-  sky130_fd_sc_hd__nor2_1 g5514__1881(.A (n_97), .B (prog_i), .Y
-       (n_152));
-  sky130_fd_sc_hd__nor2_1 g5515__5115(.A (n_100), .B (prog_i), .Y
-       (n_151));
-  sky130_fd_sc_hd__nor2_1 g5516__7482(.A (n_96), .B (prog_i), .Y
-       (n_150));
-  sky130_fd_sc_hd__nor2_1 g5517__4733(.A (n_95), .B (prog_i), .Y
-       (n_149));
-  sky130_fd_sc_hd__nor2_1 g5518__6161(.A (n_94), .B (prog_i), .Y
-       (n_148));
-  sky130_fd_sc_hd__nor2_1 g5519__9315(.A (n_102), .B (prog_i), .Y
-       (n_147));
-  sky130_fd_sc_hd__nor2_1 g5520__9945(.A (n_92), .B (prog_i), .Y
-       (n_146));
-  sky130_fd_sc_hd__nor2_1 g5521__2883(.A (n_99), .B (prog_i), .Y
-       (n_145));
-  sky130_fd_sc_hd__nor2_1 g5522__2346(.A (n_91), .B (prog_i), .Y
-       (n_144));
-  sky130_fd_sc_hd__nor2_1 g5523__1666(.A (n_90), .B (prog_i), .Y
-       (n_143));
-  sky130_fd_sc_hd__nor2_1 g5524__7410(.A (n_89), .B (prog_i), .Y
-       (n_142));
-  sky130_fd_sc_hd__nor2_1 g5525__6417(.A (n_85), .B (prog_i), .Y
-       (n_141));
-  sky130_fd_sc_hd__nor2_1 g5526__5477(.A (n_88), .B (prog_i), .Y
-       (n_140));
-  sky130_fd_sc_hd__nor2_1 g5527__2398(.A (n_84), .B (prog_i), .Y
-       (n_139));
-  sky130_fd_sc_hd__nor2_1 g5528__5107(.A (n_98), .B (prog_i), .Y
-       (n_138));
-  sky130_fd_sc_hd__nor2_1 g5530__6260(.A (n_93), .B (prog_i), .Y
-       (n_137));
-  sky130_fd_sc_hd__nor2_1 g5531__4319(.A (n_105), .B (prog_i), .Y
-       (n_136));
-  sky130_fd_sc_hd__nor2_1 g5532__8428(.A (n_104), .B (prog_i), .Y
-       (n_135));
-  sky130_fd_sc_hd__nor2_1 g5533__5526(.A (n_106), .B (prog_i), .Y
-       (n_134));
-  sky130_fd_sc_hd__nor2_1 g5534__6783(.A (n_86), .B (prog_i), .Y
-       (n_133));
-  sky130_fd_sc_hd__nor2_1 g5535__3680(.A (n_103), .B (prog_i), .Y
-       (n_132));
-  sky130_fd_sc_hd__nor2_1 g5536__1617(.A (n_113), .B (prog_i), .Y
-       (n_131));
-  sky130_fd_sc_hd__nor2_1 g5537__2802(.A (n_112), .B (prog_i), .Y
-       (n_130));
-  sky130_fd_sc_hd__nor2_1 g5538__1705(.A (n_111), .B (prog_i), .Y
-       (n_129));
-  sky130_fd_sc_hd__nor2_1 g5539__5122(.A (n_120), .B (prog_i), .Y
-       (n_128));
-  sky130_fd_sc_hd__nor2_1 g5540__8246(.A (n_110), .B (prog_i), .Y
-       (n_127));
-  sky130_fd_sc_hd__nor2_1 g5541__7098(.A (n_109), .B (prog_i), .Y
-       (n_126));
-  sky130_fd_sc_hd__nor2_1 g5542__6131(.A (n_101), .B (prog_i), .Y
-       (n_125));
-  sky130_fd_sc_hd__nor2_1 g5543__1881(.A (n_108), .B (prog_i), .Y
-       (n_124));
-  sky130_fd_sc_hd__nor2_1 g5544__5115(.A (n_107), .B (prog_i), .Y
-       (n_123));
-  sky130_fd_sc_hd__nor2_1 g5545__7482(.A (n_114), .B (prog_i), .Y
-       (n_122));
-  sky130_fd_sc_hd__nor4_1 g5546__4733(.A (wdata_o[21]), .B
-       (wdata_o[22]), .C (wdata_o[20]), .D (n_60), .Y (n_121));
-  sky130_fd_sc_hd__a22oi_1 g5547__6161(.A1 (n_69), .A2 (rx_byte_i[2]),
-       .B1 (n_68), .B2 (wdata_o[26]), .Y (n_120));
-  sky130_fd_sc_hd__nor2_1 g5548__9315(.A (n_80), .B (prog_i), .Y
-       (n_119));
-  sky130_fd_sc_hd__nand2_1 g5549__9945(.A (n_83), .B (n_56), .Y
-       (n_118));
-  sky130_fd_sc_hd__nand4_1 g5550__2883(.A (n_53), .B (wdata_o[0]), .C
-       (wdata_o[5]), .D (wdata_o[7]), .Y (n_117));
-  sky130_fd_sc_hd__and4b_1 g5551__2346(.A_N (n_54), .B (rx_byte_i[2]),
-       .C (rx_byte_i[4]), .D (rx_byte_i[3]), .X (n_116));
-  sky130_fd_sc_hd__or3_1 g5552__1666(.A (wdata_o[13]), .B
-       (wdata_o[12]), .C (n_61), .X (n_115));
-  sky130_fd_sc_hd__a22oi_1 g5553__7410(.A1 (n_69), .A2 (rx_byte_i[7]),
-       .B1 (n_68), .B2 (wdata_o[31]), .Y (n_114));
-  sky130_fd_sc_hd__a22oi_1 g5554__6417(.A1 (n_69), .A2 (rx_byte_i[0]),
-       .B1 (n_68), .B2 (wdata_o[24]), .Y (n_113));
-  sky130_fd_sc_hd__a22oi_1 g5555__5477(.A1 (n_69), .A2 (rx_byte_i[1]),
-       .B1 (n_68), .B2 (wdata_o[25]), .Y (n_112));
-  sky130_fd_sc_hd__a22oi_1 g5556__2398(.A1 (n_67), .A2 (rx_byte_i[1]),
-       .B1 (n_66), .B2 (wdata_o[1]), .Y (n_111));
-  sky130_fd_sc_hd__a22oi_1 g5557__5107(.A1 (n_69), .A2 (rx_byte_i[3]),
-       .B1 (n_68), .B2 (wdata_o[27]), .Y (n_110));
-  sky130_fd_sc_hd__a22oi_1 g5558__6260(.A1 (n_69), .A2 (rx_byte_i[4]),
-       .B1 (n_68), .B2 (wdata_o[28]), .Y (n_109));
-  sky130_fd_sc_hd__a22oi_1 g5559__4319(.A1 (n_69), .A2 (rx_byte_i[5]),
-       .B1 (n_68), .B2 (wdata_o[29]), .Y (n_108));
-  sky130_fd_sc_hd__a22oi_1 g5560__8428(.A1 (n_69), .A2 (rx_byte_i[6]),
-       .B1 (n_68), .B2 (wdata_o[30]), .Y (n_107));
-  sky130_fd_sc_hd__a22oi_1 g5561__5526(.A1 (n_67), .A2 (rx_byte_i[6]),
-       .B1 (n_66), .B2 (wdata_o[6]), .Y (n_106));
-  sky130_fd_sc_hd__a22oi_1 g5562__6783(.A1 (n_67), .A2 (rx_byte_i[2]),
-       .B1 (n_66), .B2 (wdata_o[2]), .Y (n_105));
-  sky130_fd_sc_hd__a22oi_1 g5563__3680(.A1 (n_67), .A2 (rx_byte_i[3]),
-       .B1 (n_66), .B2 (wdata_o[3]), .Y (n_104));
-  sky130_fd_sc_hd__a22oi_1 g5564__1617(.A1 (n_67), .A2 (rx_byte_i[5]),
-       .B1 (n_66), .B2 (wdata_o[5]), .Y (n_103));
-  sky130_fd_sc_hd__a22oi_1 g5566__2802(.A1 (n_78), .A2 (rx_byte_i[5]),
-       .B1 (n_79), .B2 (wdata_o[21]), .Y (n_102));
-  sky130_fd_sc_hd__a22oi_1 g5567__1705(.A1 (n_67), .A2 (rx_byte_i[4]),
-       .B1 (n_66), .B2 (wdata_o[4]), .Y (n_101));
-  sky130_fd_sc_hd__a22oi_1 g5568__5122(.A1 (n_67), .A2 (rx_byte_i[7]),
-       .B1 (n_66), .B2 (wdata_o[7]), .Y (n_100));
-  sky130_fd_sc_hd__a22oi_1 g5569__8246(.A1 (n_78), .A2 (rx_byte_i[7]),
-       .B1 (n_79), .B2 (wdata_o[23]), .Y (n_99));
-  sky130_fd_sc_hd__a22oi_1 g5570__7098(.A1 (n_78), .A2 (rx_byte_i[0]),
-       .B1 (n_79), .B2 (wdata_o[16]), .Y (n_98));
-  sky130_fd_sc_hd__a22oi_1 g5571__6131(.A1 (n_78), .A2 (rx_byte_i[1]),
-       .B1 (n_79), .B2 (wdata_o[17]), .Y (n_97));
-  sky130_fd_sc_hd__a22oi_1 g5572__1881(.A1 (n_78), .A2 (rx_byte_i[2]),
-       .B1 (n_79), .B2 (wdata_o[18]), .Y (n_96));
-  sky130_fd_sc_hd__a22oi_1 g5573__5115(.A1 (n_78), .A2 (rx_byte_i[3]),
-       .B1 (n_79), .B2 (wdata_o[19]), .Y (n_95));
-  sky130_fd_sc_hd__a22oi_1 g5574__7482(.A1 (n_78), .A2 (rx_byte_i[4]),
-       .B1 (n_79), .B2 (wdata_o[20]), .Y (n_94));
-  sky130_fd_sc_hd__a22oi_1 g5575__4733(.A1 (n_67), .A2 (rx_byte_i[0]),
-       .B1 (n_66), .B2 (wdata_o[0]), .Y (n_93));
-  sky130_fd_sc_hd__a22oi_1 g5576__6161(.A1 (n_78), .A2 (rx_byte_i[6]),
-       .B1 (n_79), .B2 (wdata_o[22]), .Y (n_92));
-  sky130_fd_sc_hd__a22oi_1 g5577__9315(.A1 (n_77), .A2 (rx_byte_i[0]),
-       .B1 (n_76), .B2 (wdata_o[8]), .Y (n_91));
-  sky130_fd_sc_hd__a22oi_1 g5578__9945(.A1 (n_77), .A2 (rx_byte_i[1]),
-       .B1 (n_76), .B2 (wdata_o[9]), .Y (n_90));
-  sky130_fd_sc_hd__a22oi_1 g5579__2883(.A1 (n_77), .A2 (rx_byte_i[2]),
-       .B1 (n_76), .B2 (wdata_o[10]), .Y (n_89));
-  sky130_fd_sc_hd__a22oi_1 g5580__2346(.A1 (n_77), .A2 (rx_byte_i[5]),
-       .B1 (n_76), .B2 (wdata_o[13]), .Y (n_88));
-  sky130_fd_sc_hd__a22oi_1 g5581__1666(.A1 (n_77), .A2 (rx_byte_i[7]),
-       .B1 (n_76), .B2 (wdata_o[15]), .Y (n_87));
-  sky130_fd_sc_hd__a22oi_1 g5582__7410(.A1 (n_77), .A2 (rx_byte_i[3]),
-       .B1 (n_76), .B2 (wdata_o[11]), .Y (n_86));
-  sky130_fd_sc_hd__a22oi_1 g5583__6417(.A1 (n_77), .A2 (rx_byte_i[4]),
-       .B1 (n_76), .B2 (wdata_o[12]), .Y (n_85));
-  sky130_fd_sc_hd__a22oi_1 g5584__5477(.A1 (n_77), .A2 (rx_byte_i[6]),
-       .B1 (n_76), .B2 (wdata_o[14]), .Y (n_84));
-  sky130_fd_sc_hd__ha_1 g5585__2398(.A (addr_o[0]), .B (n_48), .COUT
-       (n_82), .SUM (n_83));
-  sky130_fd_sc_hd__nor2_1 g5586__5107(.A (n_65), .B (prog_i), .Y
-       (n_81));
-  sky130_fd_sc_hd__a2bb2oi_1 g5587__6260(.A1_N (n_49), .A2_N (n_51),
-       .B1 (byte_count[1]), .B2 (n_49), .Y (n_80));
-  sky130_fd_sc_hd__inv_2 g5588(.A (n_79), .Y (n_78));
-  sky130_fd_sc_hd__inv_2 g5589(.A (n_77), .Y (n_76));
-  sky130_fd_sc_hd__nand2_1 g5590__4319(.A (n_57), .B (addr_o[1]), .Y
-       (n_75));
-  sky130_fd_sc_hd__nand2_1 g5591__8428(.A (n_57), .B (addr_o[3]), .Y
-       (n_74));
-  sky130_fd_sc_hd__nand2_1 g5592__5526(.A (n_57), .B (addr_o[8]), .Y
-       (n_73));
-  sky130_fd_sc_hd__nand2_1 g5593__6783(.A (n_57), .B (addr_o[7]), .Y
-       (n_72));
-  sky130_fd_sc_hd__nand2_1 g5594__3680(.A (n_57), .B (addr_o[2]), .Y
-       (n_71));
-  sky130_fd_sc_hd__nand2_1 g5595__1617(.A (n_57), .B (addr_o[4]), .Y
-       (n_70));
-  sky130_fd_sc_hd__nand2_1 g5596__2802(.A (n_58), .B (byte_count[0]),
-       .Y (n_79));
-  sky130_fd_sc_hd__nor2_1 g5597__1705(.A (byte_count[0]), .B (n_59), .Y
-       (n_77));
-  sky130_fd_sc_hd__inv_2 g5598(.A (n_69), .Y (n_68));
-  sky130_fd_sc_hd__inv_2 g5599(.A (n_67), .Y (n_66));
-  sky130_fd_sc_hd__xor2_1 g5600__5122(.A (n_49), .B (byte_count[0]), .X
-       (n_65));
-  sky130_fd_sc_hd__nand2_1 g5601__8246(.A (n_57), .B (addr_o[6]), .Y
-       (n_64));
-  sky130_fd_sc_hd__nand2_1 g5602__7098(.A (n_57), .B (addr_o[0]), .Y
-       (n_63));
-  sky130_fd_sc_hd__nand2_1 g5603__6131(.A (n_57), .B (addr_o[5]), .Y
-       (n_62));
-  sky130_fd_sc_hd__nand4_1 g5604__1881(.A (wdata_o[8]), .B
-       (wdata_o[10]), .C (wdata_o[9]), .D (wdata_o[11]), .Y (n_61));
-  sky130_fd_sc_hd__or4_1 g5605__5115(.A (wdata_o[19]), .B
-       (wdata_o[17]), .C (wdata_o[16]), .D (wdata_o[18]), .X (n_60));
-  sky130_fd_sc_hd__nor2b_1 g5606__7482(.A (byte_count[0]), .B_N (n_58),
-       .Y (n_69));
-  sky130_fd_sc_hd__nor2_1 g5607__4733(.A (n_0), .B (n_59), .Y (n_67));
-  sky130_fd_sc_hd__inv_2 g5608(.A (n_57), .Y (n_56));
-  sky130_fd_sc_hd__o21ai_1 g5609__6161(.A1 (ctrl_fsm_cs[0]), .A2
-       (ctrl_fsm_cs[1]), .B1 (reset_o), .Y (n_55));
-  sky130_fd_sc_hd__nand2_1 g5610__9315(.A (n_50), .B (byte_count[1]),
-       .Y (n_59));
-  sky130_fd_sc_hd__nor2_1 g5611__9945(.A (byte_count[1]), .B (n_49), .Y
-       (n_58));
-  sky130_fd_sc_hd__nor2_1 g5612__2883(.A (n_50), .B (n_48), .Y (n_57));
-  sky130_fd_sc_hd__nand3_1 g5613__2346(.A (rx_byte_i[7]), .B
-       (rx_byte_i[5]), .C (rx_byte_i[6]), .Y (n_54));
-  sky130_fd_sc_hd__and3_1 g5614__1666(.A (wdata_o[3]), .B (wdata_o[2]),
-       .C (wdata_o[1]), .X (n_53));
-  sky130_fd_sc_hd__nor3_1 g5615__7410(.A (rx_dv_i), .B
-       (ctrl_fsm_cs[0]), .C (ctrl_fsm_cs[1]), .Y (n_52));
-  sky130_fd_sc_hd__xor2_1 g5616__6417(.A (n_0), .B (byte_count[1]), .X
-       (n_51));
-  sky130_fd_sc_hd__inv_2 g5617(.A (n_50), .Y (n_49));
-  sky130_fd_sc_hd__nor2_1 g5618__5477(.A (ctrl_fsm_cs[1]), .B (n_26),
-       .Y (n_50));
-  sky130_fd_sc_hd__nor2_1 g5619__2398(.A (ctrl_fsm_cs[0]), .B (n_1), .Y
-       (n_48));
-  sky130_fd_sc_hd__inv_1 g5620(.A (rx_dv_i), .Y (n_47));
-  sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[0] (.SET_B (rst_ni), .CLK
-       (clk_i), .D (n_165), .Q (ctrl_fsm_cs[0]), .Q_N (n_26));
-  sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[1] (.SET_B (rst_ni), .CLK
-       (clk_i), .D (n_189), .Q (ctrl_fsm_cs[1]), .Q_N (n_1));
-  sky130_fd_sc_hd__dfrbp_1 \byte_count_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_81), .Q (byte_count[0]), .Q_N (n_0));
+   // Internal wires
+   wire FE_OFN161_io_in_8;
+   wire [1:0] byte_count;
+   wire [1:0] ctrl_fsm_cs;
+   wire n_0;
+   wire n_1;
+   wire n_26;
+   wire n_47;
+   wire n_48;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_52;
+   wire n_53;
+   wire n_54;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_59;
+   wire n_60;
+   wire n_61;
+   wire n_62;
+   wire n_63;
+   wire n_64;
+   wire n_65;
+   wire n_66;
+   wire n_67;
+   wire n_68;
+   wire n_69;
+   wire n_70;
+   wire n_71;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_81;
+   wire n_82;
+   wire n_83;
+   wire n_84;
+   wire n_85;
+   wire n_86;
+   wire n_87;
+   wire n_88;
+   wire n_89;
+   wire n_90;
+   wire n_91;
+   wire n_92;
+   wire n_93;
+   wire n_94;
+   wire n_95;
+   wire n_96;
+   wire n_97;
+   wire n_98;
+   wire n_99;
+   wire n_100;
+   wire n_101;
+   wire n_102;
+   wire n_103;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_107;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_121;
+   wire n_122;
+   wire n_123;
+   wire n_124;
+   wire n_125;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_137;
+   wire n_138;
+   wire n_139;
+   wire n_140;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_144;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_150;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_161;
+   wire n_162;
+   wire n_163;
+   wire n_164;
+   wire n_165;
+   wire n_166;
+   wire n_167;
+   wire n_168;
+   wire n_169;
+   wire n_170;
+   wire n_171;
+   wire n_172;
+   wire n_173;
+   wire n_174;
+   wire n_175;
+   wire n_176;
+   wire n_177;
+   wire n_178;
+   wire n_179;
+   wire n_180;
+   wire n_181;
+   wire n_182;
+   wire n_183;
+   wire n_184;
+   wire n_185;
+   wire n_186;
+   wire n_187;
+   wire n_188;
+   wire n_189;
+   wire n_190;
+   wire n_191;
+   wire n_192;
+   wire n_193;
+   wire n_194;
+   wire n_195;
+   wire n_196;
+   wire n_197;
+   wire n_198;
+   wire n_199;
+   wire n_200;
+   wire n_201;
+   wire n_202;
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC161_io_in_8 (
+	.A(prog_i),
+	.X(FE_OFN161_io_in_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_154),
+	.Q(addr_o[0]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_162),
+	.Q(addr_o[1]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[2]  (
+	.CLK(clk_i_clone2),
+	.D(n_170),
+	.Q(addr_o[2]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[3]  (
+	.CLK(clk_i_clone2),
+	.D(n_175),
+	.Q(addr_o[3]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[4]  (
+	.CLK(clk_i_clone2),
+	.D(n_180),
+	.Q(addr_o[4]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[5]  (
+	.CLK(clk_i_clone2),
+	.D(n_186),
+	.Q(addr_o[5]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[6]  (
+	.CLK(clk_i_clone2),
+	.D(n_192),
+	.Q(addr_o[6]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[7]  (
+	.CLK(clk_i_clone2),
+	.D(n_197),
+	.Q(addr_o[7]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[8]  (
+	.CLK(clk_i_clone2),
+	.D(n_200),
+	.Q(addr_o[8]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[9]  (
+	.CLK(clk_i_clone2),
+	.D(n_202),
+	.Q(addr_o[9]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \byte_count_reg[1]  (
+	.CLK(clk_i),
+	.D(n_119),
+	.Q(byte_count[1]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfstp_2 reset_q_reg (
+	.CLK(clk_i_clone1),
+	.D(n_191),
+	.Q(reset_o),
+	.SET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[0]  (
+	.CLK(clk_i),
+	.D(n_131),
+	.Q(wdata_o[24]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[1]  (
+	.CLK(clk_i),
+	.D(n_130),
+	.Q(wdata_o[25]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[2]  (
+	.CLK(clk_i),
+	.D(n_128),
+	.Q(wdata_o[26]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[3]  (
+	.CLK(clk_i),
+	.D(n_127),
+	.Q(wdata_o[27]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[4]  (
+	.CLK(clk_i),
+	.D(n_126),
+	.Q(wdata_o[28]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[5]  (
+	.CLK(clk_i),
+	.D(n_124),
+	.Q(wdata_o[29]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[6]  (
+	.CLK(clk_i),
+	.D(n_123),
+	.Q(wdata_o[30]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[7]  (
+	.CLK(clk_i),
+	.D(n_122),
+	.Q(wdata_o[31]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[0]  (
+	.CLK(clk_i),
+	.D(n_138),
+	.Q(wdata_o[16]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[1]  (
+	.CLK(clk_i),
+	.D(n_152),
+	.Q(wdata_o[17]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[2]  (
+	.CLK(clk_i),
+	.D(n_150),
+	.Q(wdata_o[18]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[3]  (
+	.CLK(clk_i),
+	.D(n_149),
+	.Q(wdata_o[19]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[4]  (
+	.CLK(clk_i),
+	.D(n_148),
+	.Q(wdata_o[20]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[5]  (
+	.CLK(clk_i),
+	.D(n_147),
+	.Q(wdata_o[21]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[6]  (
+	.CLK(clk_i),
+	.D(n_146),
+	.Q(wdata_o[22]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[7]  (
+	.CLK(clk_i),
+	.D(n_145),
+	.Q(wdata_o[23]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[0]  (
+	.CLK(clk_i_clone1),
+	.D(n_144),
+	.Q(wdata_o[8]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[1]  (
+	.CLK(clk_i_clone1),
+	.D(n_143),
+	.Q(wdata_o[9]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[2]  (
+	.CLK(clk_i_clone1),
+	.D(n_142),
+	.Q(wdata_o[10]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[3]  (
+	.CLK(clk_i_clone1),
+	.D(n_133),
+	.Q(wdata_o[11]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[4]  (
+	.CLK(clk_i_clone1),
+	.D(n_141),
+	.Q(wdata_o[12]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[5]  (
+	.CLK(clk_i_clone1),
+	.D(n_140),
+	.Q(wdata_o[13]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[6]  (
+	.CLK(clk_i_clone1),
+	.D(n_139),
+	.Q(wdata_o[14]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[7]  (
+	.CLK(clk_i_clone1),
+	.D(n_153),
+	.Q(wdata_o[15]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[0]  (
+	.CLK(clk_i_clone1),
+	.D(n_137),
+	.Q(wdata_o[0]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[1]  (
+	.CLK(clk_i_clone1),
+	.D(n_129),
+	.Q(wdata_o[1]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[2]  (
+	.CLK(clk_i),
+	.D(n_136),
+	.Q(wdata_o[2]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[3]  (
+	.CLK(clk_i_clone1),
+	.D(n_135),
+	.Q(wdata_o[3]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[4]  (
+	.CLK(clk_i_clone1),
+	.D(n_125),
+	.Q(wdata_o[4]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[5]  (
+	.CLK(clk_i_clone1),
+	.D(n_132),
+	.Q(wdata_o[5]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[6]  (
+	.CLK(clk_i_clone1),
+	.D(n_134),
+	.Q(wdata_o[6]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[7]  (
+	.CLK(clk_i_clone1),
+	.D(n_151),
+	.Q(wdata_o[7]),
+	.RESET_B(FE_OFN21_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 we_q_reg (
+	.CLK(clk_i_clone1),
+	.D(n_168),
+	.Q(we_o),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5426__2398 (
+	.A(n_201),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5428__5107 (
+	.A1(n_199),
+	.A2(n_56),
+	.B1(n_57),
+	.B2(addr_o[9]),
+	.Y(n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5429__6260 (
+	.A1(n_198),
+	.A2(n_73),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g5430__4319 (
+	.A1_N(addr_o[9]),
+	.A2_N(n_196),
+	.B1(addr_o[9]),
+	.B2(n_196),
+	.Y(n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g5432__8428 (
+	.A1(addr_o[8]),
+	.A2(n_194),
+	.B1(n_56),
+	.C1(n_196),
+	.Y(n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5433__5526 (
+	.A1(n_195),
+	.A2(n_72),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5435__6783 (
+	.A(n_193),
+	.B(n_56),
+	.Y(n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5436__3680 (
+	.A(n_194),
+	.B(addr_o[8]),
+	.Y(n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5437__1617 (
+	.A(addr_o[7]),
+	.B(n_187),
+	.COUT(n_194),
+	.SUM(n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5438__2802 (
+	.A1(n_190),
+	.A2(n_64),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5441__1705 (
+	.A1(n_184),
+	.A2(n_55),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5443__5122 (
+	.A(n_188),
+	.B(n_56),
+	.Y(n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5444__8246 (
+	.A1(n_185),
+	.A2(n_57),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5445__7098 (
+	.A(addr_o[6]),
+	.B(n_181),
+	.COUT(n_187),
+	.SUM(n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5446__6131 (
+	.A1(n_183),
+	.A2(n_62),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g5447__1881 (
+	.A1(n_47),
+	.A2(n_179),
+	.B1(ctrl_fsm_cs[1]),
+	.Y(n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g5448__5115 (
+	.A(n_179),
+	.B(ctrl_fsm_cs[0]),
+	.C(ctrl_fsm_cs[1]),
+	.Y(n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5450__7482 (
+	.A(n_182),
+	.B(n_56),
+	.Y(n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5451__4733 (
+	.A(addr_o[5]),
+	.B(n_176),
+	.COUT(n_181),
+	.SUM(n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5452__6161 (
+	.A1(n_178),
+	.A2(n_70),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g5453__9315 (
+	.A1(wdata_o[29]),
+	.A2(wdata_o[28]),
+	.A3(wdata_o[27]),
+	.A4(n_174),
+	.B1(rst_ni),
+	.Y(n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5455__9945 (
+	.A(n_177),
+	.B(n_56),
+	.Y(n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5456__2883 (
+	.A(addr_o[4]),
+	.B(n_171),
+	.COUT(n_176),
+	.SUM(n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5457__2346 (
+	.A1(n_173),
+	.A2(n_74),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g5458__1666 (
+	.A(wdata_o[26]),
+	.B(wdata_o[24]),
+	.C(wdata_o[25]),
+	.D(n_169),
+	.X(n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5460__7410 (
+	.A(n_172),
+	.B(n_56),
+	.Y(n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5461__6417 (
+	.A(addr_o[3]),
+	.B(n_163),
+	.COUT(n_171),
+	.SUM(n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5462__5477 (
+	.A1(n_167),
+	.A2(n_71),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5464__2398 (
+	.A(n_166),
+	.B(wdata_o[4]),
+	.Y(n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5466__5107 (
+	.A(n_161),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5468__6260 (
+	.A(n_164),
+	.B(n_56),
+	.Y(n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g5469__4319 (
+	.A(wdata_o[23]),
+	.B(wdata_o[31]),
+	.C(wdata_o[30]),
+	.D(n_158),
+	.Y(n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5470__8428 (
+	.A(n_52),
+	.B(n_160),
+	.C(FE_OFN161_io_in_8),
+	.Y(n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5471__5526 (
+	.A(addr_o[2]),
+	.B(n_156),
+	.COUT(n_163),
+	.SUM(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5472__6783 (
+	.A1(n_159),
+	.A2(n_75),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5473__3680 (
+	.A1(we_o),
+	.A2(ctrl_fsm_cs[0]),
+	.B1(n_160),
+	.Y(n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g5474__1617 (
+	.A1(n_116),
+	.A2(rx_byte_i[1]),
+	.A3(rx_byte_i[0]),
+	.B1(n_66),
+	.C1(n_155),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5476__2802 (
+	.A(n_157),
+	.B(n_56),
+	.Y(n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g5477__1705 (
+	.A_N(n_117),
+	.B(n_155),
+	.C(n_121),
+	.D(wdata_o[6]),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5478__5122 (
+	.A(addr_o[1]),
+	.B(n_82),
+	.COUT(n_156),
+	.SUM(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5496__8246 (
+	.A1(n_118),
+	.A2(n_63),
+	.B1(FE_OFN161_io_in_8),
+	.Y(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5497__7098 (
+	.A(wdata_o[15]),
+	.B(wdata_o[14]),
+	.C(n_115),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5513__6131 (
+	.A(n_87),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5514__1881 (
+	.A(n_97),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5515__5115 (
+	.A(n_100),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5516__7482 (
+	.A(n_96),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5517__4733 (
+	.A(n_95),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5518__6161 (
+	.A(n_94),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5519__9315 (
+	.A(n_102),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5520__9945 (
+	.A(n_92),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5521__2883 (
+	.A(n_99),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5522__2346 (
+	.A(n_91),
+	.B(prog_i),
+	.Y(n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5523__1666 (
+	.A(n_90),
+	.B(prog_i),
+	.Y(n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5524__7410 (
+	.A(n_89),
+	.B(prog_i),
+	.Y(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5525__6417 (
+	.A(n_85),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5526__5477 (
+	.A(n_88),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5527__2398 (
+	.A(n_84),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5528__5107 (
+	.A(n_98),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5530__6260 (
+	.A(n_93),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5531__4319 (
+	.A(n_105),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5532__8428 (
+	.A(n_104),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5533__5526 (
+	.A(n_106),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5534__6783 (
+	.A(n_86),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5535__3680 (
+	.A(n_103),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5536__1617 (
+	.A(n_113),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5537__2802 (
+	.A(n_112),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5538__1705 (
+	.A(n_111),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5539__5122 (
+	.A(n_120),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5540__8246 (
+	.A(n_110),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5541__7098 (
+	.A(n_109),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5542__6131 (
+	.A(n_101),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5543__1881 (
+	.A(n_108),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5544__5115 (
+	.A(n_107),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5545__7482 (
+	.A(n_114),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g5546__4733 (
+	.A(wdata_o[21]),
+	.B(wdata_o[22]),
+	.C(wdata_o[20]),
+	.D(n_60),
+	.Y(n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5547__6161 (
+	.A1(n_69),
+	.A2(rx_byte_i[2]),
+	.B1(n_68),
+	.B2(wdata_o[26]),
+	.Y(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5548__9315 (
+	.A(n_80),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5549__9945 (
+	.A(n_83),
+	.B(n_56),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g5550__2883 (
+	.A(n_53),
+	.B(wdata_o[0]),
+	.C(wdata_o[5]),
+	.D(wdata_o[7]),
+	.Y(n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g5551__2346 (
+	.A_N(n_54),
+	.B(rx_byte_i[2]),
+	.C(rx_byte_i[4]),
+	.D(rx_byte_i[3]),
+	.X(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g5552__1666 (
+	.A(wdata_o[13]),
+	.B(wdata_o[12]),
+	.C(n_61),
+	.X(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5553__7410 (
+	.A1(n_69),
+	.A2(rx_byte_i[7]),
+	.B1(n_68),
+	.B2(wdata_o[31]),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5554__6417 (
+	.A1(n_69),
+	.A2(rx_byte_i[0]),
+	.B1(n_68),
+	.B2(wdata_o[24]),
+	.Y(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5555__5477 (
+	.A1(n_69),
+	.A2(rx_byte_i[1]),
+	.B1(n_68),
+	.B2(wdata_o[25]),
+	.Y(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5556__2398 (
+	.A1(n_67),
+	.A2(rx_byte_i[1]),
+	.B1(n_66),
+	.B2(wdata_o[1]),
+	.Y(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5557__5107 (
+	.A1(n_69),
+	.A2(rx_byte_i[3]),
+	.B1(n_68),
+	.B2(wdata_o[27]),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5558__6260 (
+	.A1(n_69),
+	.A2(rx_byte_i[4]),
+	.B1(n_68),
+	.B2(wdata_o[28]),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5559__4319 (
+	.A1(n_69),
+	.A2(rx_byte_i[5]),
+	.B1(n_68),
+	.B2(wdata_o[29]),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5560__8428 (
+	.A1(n_69),
+	.A2(rx_byte_i[6]),
+	.B1(n_68),
+	.B2(wdata_o[30]),
+	.Y(n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5561__5526 (
+	.A1(n_67),
+	.A2(rx_byte_i[6]),
+	.B1(n_66),
+	.B2(wdata_o[6]),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5562__6783 (
+	.A1(n_67),
+	.A2(rx_byte_i[2]),
+	.B1(n_66),
+	.B2(wdata_o[2]),
+	.Y(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5563__3680 (
+	.A1(n_67),
+	.A2(rx_byte_i[3]),
+	.B1(n_66),
+	.B2(wdata_o[3]),
+	.Y(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5564__1617 (
+	.A1(n_67),
+	.A2(rx_byte_i[5]),
+	.B1(n_66),
+	.B2(wdata_o[5]),
+	.Y(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5566__2802 (
+	.A1(n_78),
+	.A2(rx_byte_i[5]),
+	.B1(n_79),
+	.B2(wdata_o[21]),
+	.Y(n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5567__1705 (
+	.A1(n_67),
+	.A2(rx_byte_i[4]),
+	.B1(n_66),
+	.B2(wdata_o[4]),
+	.Y(n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5568__5122 (
+	.A1(n_67),
+	.A2(rx_byte_i[7]),
+	.B1(n_66),
+	.B2(wdata_o[7]),
+	.Y(n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5569__8246 (
+	.A1(n_78),
+	.A2(rx_byte_i[7]),
+	.B1(n_79),
+	.B2(wdata_o[23]),
+	.Y(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5570__7098 (
+	.A1(n_78),
+	.A2(rx_byte_i[0]),
+	.B1(n_79),
+	.B2(wdata_o[16]),
+	.Y(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5571__6131 (
+	.A1(n_78),
+	.A2(rx_byte_i[1]),
+	.B1(n_79),
+	.B2(wdata_o[17]),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5572__1881 (
+	.A1(n_78),
+	.A2(rx_byte_i[2]),
+	.B1(n_79),
+	.B2(wdata_o[18]),
+	.Y(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5573__5115 (
+	.A1(n_78),
+	.A2(rx_byte_i[3]),
+	.B1(n_79),
+	.B2(wdata_o[19]),
+	.Y(n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5574__7482 (
+	.A1(n_78),
+	.A2(rx_byte_i[4]),
+	.B1(n_79),
+	.B2(wdata_o[20]),
+	.Y(n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5575__4733 (
+	.A1(n_67),
+	.A2(rx_byte_i[0]),
+	.B1(n_66),
+	.B2(wdata_o[0]),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5576__6161 (
+	.A1(n_78),
+	.A2(rx_byte_i[6]),
+	.B1(n_79),
+	.B2(wdata_o[22]),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5577__9315 (
+	.A1(n_77),
+	.A2(rx_byte_i[0]),
+	.B1(n_76),
+	.B2(wdata_o[8]),
+	.Y(n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5578__9945 (
+	.A1(n_77),
+	.A2(rx_byte_i[1]),
+	.B1(n_76),
+	.B2(wdata_o[9]),
+	.Y(n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5579__2883 (
+	.A1(n_77),
+	.A2(rx_byte_i[2]),
+	.B1(n_76),
+	.B2(wdata_o[10]),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5580__2346 (
+	.A1(n_77),
+	.A2(rx_byte_i[5]),
+	.B1(n_76),
+	.B2(wdata_o[13]),
+	.Y(n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5581__1666 (
+	.A1(n_77),
+	.A2(rx_byte_i[7]),
+	.B1(n_76),
+	.B2(wdata_o[15]),
+	.Y(n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5582__7410 (
+	.A1(n_77),
+	.A2(rx_byte_i[3]),
+	.B1(n_76),
+	.B2(wdata_o[11]),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5583__6417 (
+	.A1(n_77),
+	.A2(rx_byte_i[4]),
+	.B1(n_76),
+	.B2(wdata_o[12]),
+	.Y(n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g5584__5477 (
+	.A1(n_77),
+	.A2(rx_byte_i[6]),
+	.B1(n_76),
+	.B2(wdata_o[14]),
+	.Y(n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g5585__2398 (
+	.A(addr_o[0]),
+	.B(n_48),
+	.COUT(n_82),
+	.SUM(n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5586__5107 (
+	.A(n_65),
+	.B(FE_OFN161_io_in_8),
+	.Y(n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g5587__6260 (
+	.A1_N(n_49),
+	.A2_N(n_51),
+	.B1(byte_count[1]),
+	.B2(n_49),
+	.Y(n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5588 (
+	.A(n_79),
+	.Y(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5589 (
+	.A(n_77),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5590__4319 (
+	.A(n_57),
+	.B(addr_o[1]),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5591__8428 (
+	.A(n_57),
+	.B(addr_o[3]),
+	.Y(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5592__5526 (
+	.A(n_57),
+	.B(addr_o[8]),
+	.Y(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5593__6783 (
+	.A(n_57),
+	.B(addr_o[7]),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5594__3680 (
+	.A(n_57),
+	.B(addr_o[2]),
+	.Y(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5595__1617 (
+	.A(n_57),
+	.B(addr_o[4]),
+	.Y(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5596__2802 (
+	.A(n_58),
+	.B(byte_count[0]),
+	.Y(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5597__1705 (
+	.A(byte_count[0]),
+	.B(n_59),
+	.Y(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5598 (
+	.A(n_69),
+	.Y(n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5599 (
+	.A(n_67),
+	.Y(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g5600__5122 (
+	.A(n_49),
+	.B(byte_count[0]),
+	.X(n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5601__8246 (
+	.A(n_57),
+	.B(addr_o[6]),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5602__7098 (
+	.A(n_57),
+	.B(addr_o[0]),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5603__6131 (
+	.A(n_57),
+	.B(addr_o[5]),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g5604__1881 (
+	.A(wdata_o[8]),
+	.B(wdata_o[10]),
+	.C(wdata_o[9]),
+	.D(wdata_o[11]),
+	.Y(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g5605__5115 (
+	.A(wdata_o[19]),
+	.B(wdata_o[17]),
+	.C(wdata_o[16]),
+	.D(wdata_o[18]),
+	.X(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g5606__7482 (
+	.A(byte_count[0]),
+	.B_N(n_58),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5607__4733 (
+	.A(n_0),
+	.B(n_59),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5608 (
+	.A(n_57),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g5609__6161 (
+	.A1(ctrl_fsm_cs[0]),
+	.A2(ctrl_fsm_cs[1]),
+	.B1(reset_o),
+	.Y(n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5610__9315 (
+	.A(n_50),
+	.B(byte_count[1]),
+	.Y(n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5611__9945 (
+	.A(byte_count[1]),
+	.B(n_49),
+	.Y(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5612__2883 (
+	.A(n_50),
+	.B(n_48),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g5613__2346 (
+	.A(rx_byte_i[7]),
+	.B(rx_byte_i[5]),
+	.C(rx_byte_i[6]),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g5614__1666 (
+	.A(wdata_o[3]),
+	.B(wdata_o[2]),
+	.C(wdata_o[1]),
+	.X(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5615__7410 (
+	.A(rx_dv_i),
+	.B(ctrl_fsm_cs[0]),
+	.C(ctrl_fsm_cs[1]),
+	.Y(n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g5616__6417 (
+	.A(n_0),
+	.B(byte_count[1]),
+	.X(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5617 (
+	.A(n_50),
+	.Y(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5618__5477 (
+	.A(ctrl_fsm_cs[1]),
+	.B(n_26),
+	.Y(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5619__2398 (
+	.A(ctrl_fsm_cs[0]),
+	.B(n_1),
+	.Y(n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g5620 (
+	.A(rx_dv_i),
+	.Y(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[0]  (
+	.CLK(clk_i_clone1),
+	.D(n_165),
+	.Q(ctrl_fsm_cs[0]),
+	.Q_N(n_26),
+	.SET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[1]  (
+	.CLK(clk_i_clone1),
+	.D(n_189),
+	.Q(ctrl_fsm_cs[1]),
+	.Q_N(n_1),
+	.SET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \byte_count_reg[0]  (
+	.CLK(clk_i),
+	.D(n_81),
+	.Q(byte_count[0]),
+	.Q_N(n_0),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module tcam_top(clk_i, rst_ni, csb_i, web_i, wmask_i, addr_i, wdata_i,
-     rdata_o);
-  input clk_i, rst_ni, csb_i, web_i;
-  input [3:0] wmask_i;
-  input [27:0] addr_i;
-  input [31:0] wdata_i;
-  output [31:0] rdata_o;
-  wire clk_i, rst_ni, csb_i, web_i;
-  wire [3:0] wmask_i;
-  wire [27:0] addr_i;
-  wire [31:0] wdata_i;
-  wire [31:0] rdata_o;
-  wire [3:0] wmaskn;
-  wire [27:0] addrn;
-  wire [31:0] wdatan;
-  wire csbn, logic_1_1_net, webn;
-  tcam_32x28 u_tcam(.clk_i (clk_i), .csb_i (csbn), .web_i (webn),
-       .wmask_i (wmaskn), .addr_i (addrn), .wdata_i (wdatan), .rdata_o
-       (rdata_o[5:0]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[20] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[20]), .Q (addrn[20]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[5] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[5]), .Q (addrn[5]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[6] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[6]), .Q (addrn[6]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[7] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[7]), .Q (addrn[7]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[8] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[8]), .Q (addrn[8]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[9] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[9]), .Q (addrn[9]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[10] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[10]), .Q (addrn[10]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[11] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[11]), .Q (addrn[11]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[12] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[12]), .Q (addrn[12]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[13] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[13]), .Q (addrn[13]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[14] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[14]), .Q (addrn[14]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[15] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[15]), .Q (addrn[15]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[16] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[16]), .Q (addrn[16]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[17] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[17]), .Q (addrn[17]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[18] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[18]), .Q (addrn[18]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[19] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[19]), .Q (addrn[19]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[4] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[4]), .Q (addrn[4]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[21] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[21]), .Q (addrn[21]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[22] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[22]), .Q (addrn[22]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[23] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[23]), .Q (addrn[23]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[24] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[24]), .Q (addrn[24]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[0] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[0]), .Q (addrn[0]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[26] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[26]), .Q (addrn[26]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[27] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[27]), .Q (addrn[27]));
-  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[2] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wmask_i[2]), .Q (wmaskn[2]));
-  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[3] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wmask_i[3]), .Q (wmaskn[3]));
-  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[1] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wmask_i[1]), .Q (wmaskn[1]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[1] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[1]), .Q (addrn[1]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[25] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[25]), .Q (addrn[25]));
-  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[0] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wmask_i[0]), .Q (wmaskn[0]));
-  sky130_fd_sc_hd__dfrtn_1 webn_reg(.RESET_B (rst_ni), .CLK_N (clk_i),
-       .D (web_i), .Q (webn));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[2] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[2]), .Q (addrn[2]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[31] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[31]), .Q (wdatan[31]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[15] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[15]), .Q (wdatan[15]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[30] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[30]), .Q (wdatan[30]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[29] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[29]), .Q (wdatan[29]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[28] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[28]), .Q (wdatan[28]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[27] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[27]), .Q (wdatan[27]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[26] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[26]), .Q (wdatan[26]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[25] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[25]), .Q (wdatan[25]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[24] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[24]), .Q (wdatan[24]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[23] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[23]), .Q (wdatan[23]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[22] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[22]), .Q (wdatan[22]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[20] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[20]), .Q (wdatan[20]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[19] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[19]), .Q (wdatan[19]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[21] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[21]), .Q (wdatan[21]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[18] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[18]), .Q (wdatan[18]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[17] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[17]), .Q (wdatan[17]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[16] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[16]), .Q (wdatan[16]));
-  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[3] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (addr_i[3]), .Q (addrn[3]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[14] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[14]), .Q (wdatan[14]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[13] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[13]), .Q (wdatan[13]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[12] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[12]), .Q (wdatan[12]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[11] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[11]), .Q (wdatan[11]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[10] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[10]), .Q (wdatan[10]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[9] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[9]), .Q (wdatan[9]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[8] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[8]), .Q (wdatan[8]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[7] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[7]), .Q (wdatan[7]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[6] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[6]), .Q (wdatan[6]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[5] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[5]), .Q (wdatan[5]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[4] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[4]), .Q (wdatan[4]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[3] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[3]), .Q (wdatan[3]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[2] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[2]), .Q (wdatan[2]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[1] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[1]), .Q (wdatan[1]));
-  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[0] (.RESET_B (rst_ni), .CLK_N
-       (clk_i), .D (wdata_i[0]), .Q (wdatan[0]));
-  sky130_fd_sc_hd__dfbbn_1 csbn_reg(.RESET_B (logic_1_1_net), .SET_B
-       (rst_ni), .CLK_N (clk_i), .D (csb_i), .Q (csbn));
-  sky130_fd_sc_hd__conb_1 tie_1_cell(.HI (logic_1_1_net));
+module tcam_top (
+	rst_ni, 
+	csb_i, 
+	web_i, 
+	wmask_i, 
+	addr_i, 
+	wdata_i, 
+	rdata_o, 
+	FE_OFN10_io_out_37, 
+	FE_OFN9_io_out_37, 
+	FE_OFN8_io_out_37, 
+	FE_OFN4_io_out_37, 
+	FE_OFN3_io_out_37, 
+	FE_OFN2_io_out_37, 
+	clk_i_clone6, 
+	clk_i_clone5, 
+	clk_i_clone4, 
+	clk_i_clone3, 
+	clk_i_clone2, 
+	clk_i_clone1, 
+	clk_i, 
+	vccd1, 
+	vssd1);
+   input rst_ni;
+   input csb_i;
+   input web_i;
+   input [3:0] wmask_i;
+   input [27:0] addr_i;
+   input [31:0] wdata_i;
+   output [31:0] rdata_o;
+   input FE_OFN10_io_out_37;
+   input FE_OFN9_io_out_37;
+   input FE_OFN8_io_out_37;
+   input FE_OFN4_io_out_37;
+   input FE_OFN3_io_out_37;
+   input FE_OFN2_io_out_37;
+   input clk_i_clone6;
+   input clk_i_clone5;
+   input clk_i_clone4;
+   input clk_i_clone3;
+   input clk_i_clone2;
+   input clk_i_clone1;
+   input clk_i;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire FE_USKN4674_CTS_17;
+   wire FE_PDN4049_addrn_4;
+   wire FE_PDN4045_FE_OFN1173_addrn_11;
+   wire FE_PDN4033_FE_OFN1762_n;
+   wire FE_PDN4004_FE_OFN1157_addrn_19;
+   wire FE_PDN3996_addrn_5;
+   wire FE_PDN3921_FE_OFN1162_addrn_17;
+   wire FE_OFN19568_FE_OFN1166_addrn_15;
+   wire FE_OFN19567_FE_OFN1166_addrn_15;
+   wire CTS_1;
+   wire FE_OFN19052_FE_OFN1222_webn;
+   wire FE_OFN19013_FE_OFN1164_addrn_16;
+   wire FE_OFN19012_FE_OFN1164_addrn_16;
+   wire FE_OFN18987_FE_OFN1172_addrn_12;
+   wire FE_OFN18986_FE_OFN1172_addrn_12;
+   wire FE_OFN18957_FE_OFN1170_addrn_13;
+   wire FE_OFN18956_FE_OFN1170_addrn_13;
+   wire FE_OFN18372_u_soc_u_tcam_data_addr_26;
+   wire FE_OFN18358_u_soc_u_tcam_data_addr_24;
+   wire FE_OFN1762_n;
+   wire FE_OFN1761_n;
+   wire FE_OFN1760_n;
+   wire FE_OFN1759_n;
+   wire FE_OFN1220_wdatan_0;
+   wire FE_OFN1219_wdatan_1;
+   wire FE_OFN1218_wdatan_2;
+   wire FE_OFN1217_wdatan_3;
+   wire FE_OFN1216_wdatan_4;
+   wire FE_OFN1215_wdatan_5;
+   wire FE_OFN1214_wdatan_6;
+   wire FE_OFN1213_wdatan_7;
+   wire FE_OFN1212_wdatan_8;
+   wire FE_OFN1211_wdatan_9;
+   wire FE_OFN1210_wdatan_10;
+   wire FE_OFN1209_wdatan_11;
+   wire FE_OFN1208_wdatan_12;
+   wire FE_OFN1207_wdatan_13;
+   wire FE_OFN1206_wdatan_14;
+   wire FE_OFN1205_wdatan_15;
+   wire FE_OFN1204_wdatan_16;
+   wire FE_OFN1203_wdatan_17;
+   wire FE_OFN1202_wdatan_18;
+   wire FE_OFN1201_wdatan_19;
+   wire FE_OFN1200_wdatan_20;
+   wire FE_OFN1199_wdatan_21;
+   wire FE_OFN1198_wdatan_22;
+   wire FE_OFN1197_wdatan_23;
+   wire FE_OFN1196_wdatan_24;
+   wire FE_OFN1195_wdatan_25;
+   wire FE_OFN1194_wdatan_26;
+   wire FE_OFN1193_wdatan_27;
+   wire FE_OFN1192_wdatan_28;
+   wire FE_OFN1191_wdatan_29;
+   wire FE_OFN1190_wdatan_30;
+   wire FE_OFN1189_wdatan_31;
+   wire FE_OFN1188_addrn_0;
+   wire FE_OFN1187_addrn_1;
+   wire FE_OFN1186_addrn_2;
+   wire FE_OFN1185_addrn_3;
+   wire FE_OFN1184_addrn_4;
+   wire FE_OFN1183_addrn_5;
+   wire FE_OFN1182_addrn_6;
+   wire FE_OFN1181_addrn_7;
+   wire FE_OFN1180_addrn_7;
+   wire FE_OFN1179_addrn_8;
+   wire FE_OFN1178_addrn_8;
+   wire FE_OFN1177_addrn_9;
+   wire FE_OFN1176_addrn_10;
+   wire FE_OFN1175_addrn_10;
+   wire FE_OFN1174_addrn_11;
+   wire FE_OFN1173_addrn_11;
+   wire FE_OFN1172_addrn_12;
+   wire FE_OFN1171_addrn_12;
+   wire FE_OFN1170_addrn_13;
+   wire FE_OFN1169_addrn_13;
+   wire FE_OFN1168_addrn_14;
+   wire FE_OFN1167_addrn_14;
+   wire FE_OFN1166_addrn_15;
+   wire FE_OFN1165_addrn_15;
+   wire FE_OFN1164_addrn_16;
+   wire FE_OFN1163_addrn_16;
+   wire FE_OFN1162_addrn_17;
+   wire FE_OFN1161_addrn_17;
+   wire FE_OFN1160_addrn_18;
+   wire FE_OFN1159_addrn_18;
+   wire FE_OFN1158_addrn_19;
+   wire FE_OFN1157_addrn_19;
+   wire FE_OFN1156_addrn_20;
+   wire FE_OFN1155_addrn_20;
+   wire FE_OFN1154_addrn_21;
+   wire FE_OFN1153_addrn_21;
+   wire FE_OFN1152_addrn_22;
+   wire FE_OFN1151_addrn_22;
+   wire FE_OFN1150_addrn_23;
+   wire FE_OFN1149_addrn_24;
+   wire FE_OFN1148_addrn_25;
+   wire FE_OFN1147_addrn_26;
+   wire FE_OFN1146_addrn_27;
+   wire [3:0] wmaskn;
+   wire [27:0] addrn;
+   wire [31:0] wdatan;
+   wire csbn;
+   wire logic_1_1_net;
+   wire webn;
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4674_CTS_17 (
+	.A(clk_i_clone6),
+	.X(FE_USKN4674_CTS_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4049_addrn_4 (
+	.A(addrn[4]),
+	.X(FE_PDN4049_addrn_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4045_FE_OFN1173_addrn_11 (
+	.A(FE_OFN1173_addrn_11),
+	.X(FE_PDN4045_FE_OFN1173_addrn_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4033_FE_OFN1762_n (
+	.A(FE_OFN1762_n),
+	.X(FE_PDN4033_FE_OFN1762_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4004_FE_OFN1157_addrn_19 (
+	.A(FE_OFN1157_addrn_19),
+	.X(FE_PDN4004_FE_OFN1157_addrn_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3996_addrn_5 (
+	.A(addrn[5]),
+	.X(FE_PDN3996_addrn_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3921_FE_OFN1162_addrn_17 (
+	.A(FE_OFN1162_addrn_17),
+	.X(FE_PDN3921_FE_OFN1162_addrn_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC3864_FE_OFN1166_addrn_15 (
+	.A(FE_OFN19567_FE_OFN1166_addrn_15),
+	.Y(FE_OFN19568_FE_OFN1166_addrn_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3863_FE_OFN1166_addrn_15 (
+	.A(FE_OFN1166_addrn_15),
+	.Y(FE_OFN19567_FE_OFN1166_addrn_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00679 (
+	.A(clk_i),
+	.X(CTS_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3311_FE_OFN1222_webn (
+	.A(webn),
+	.X(FE_OFN19052_FE_OFN1222_webn), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC3272_FE_OFN1164_addrn_16 (
+	.A(FE_OFN19012_FE_OFN1164_addrn_16),
+	.Y(FE_OFN19013_FE_OFN1164_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3271_FE_OFN1164_addrn_16 (
+	.A(FE_OFN1164_addrn_16),
+	.Y(FE_OFN19012_FE_OFN1164_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3246_FE_OFN1172_addrn_12 (
+	.A(FE_OFN18986_FE_OFN1172_addrn_12),
+	.Y(FE_OFN18987_FE_OFN1172_addrn_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3245_FE_OFN1172_addrn_12 (
+	.A(FE_OFN1172_addrn_12),
+	.Y(FE_OFN18986_FE_OFN1172_addrn_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3216_FE_OFN1170_addrn_13 (
+	.A(FE_OFN18956_FE_OFN1170_addrn_13),
+	.Y(FE_OFN18957_FE_OFN1170_addrn_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3215_FE_OFN1170_addrn_13 (
+	.A(FE_OFN1170_addrn_13),
+	.Y(FE_OFN18956_FE_OFN1170_addrn_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2429_u_soc_u_tcam_data_addr_26 (
+	.A(addr_i[26]),
+	.X(FE_OFN18372_u_soc_u_tcam_data_addr_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2415_u_soc_u_tcam_data_addr_24 (
+	.A(addr_i[24]),
+	.X(FE_OFN18358_u_soc_u_tcam_data_addr_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC1762_addrn_14 (
+	.A(FE_OFN1761_n),
+	.Y(FE_OFN1762_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1761_addrn_14 (
+	.A(FE_OFN1168_addrn_14),
+	.Y(FE_OFN1761_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1760_addrn_8 (
+	.A(FE_OFN1179_addrn_8),
+	.X(FE_OFN1760_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC1759_csbn (
+	.A(csbn),
+	.X(FE_OFN1759_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1220_wdatan_0 (
+	.A(wdatan[0]),
+	.X(FE_OFN1220_wdatan_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1219_wdatan_1 (
+	.A(wdatan[1]),
+	.X(FE_OFN1219_wdatan_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1218_wdatan_2 (
+	.A(wdatan[2]),
+	.X(FE_OFN1218_wdatan_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1217_wdatan_3 (
+	.A(wdatan[3]),
+	.X(FE_OFN1217_wdatan_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1216_wdatan_4 (
+	.A(wdatan[4]),
+	.X(FE_OFN1216_wdatan_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1215_wdatan_5 (
+	.A(wdatan[5]),
+	.X(FE_OFN1215_wdatan_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1214_wdatan_6 (
+	.A(wdatan[6]),
+	.X(FE_OFN1214_wdatan_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1213_wdatan_7 (
+	.A(wdatan[7]),
+	.X(FE_OFN1213_wdatan_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1212_wdatan_8 (
+	.A(wdatan[8]),
+	.X(FE_OFN1212_wdatan_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1211_wdatan_9 (
+	.A(wdatan[9]),
+	.X(FE_OFN1211_wdatan_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1210_wdatan_10 (
+	.A(wdatan[10]),
+	.X(FE_OFN1210_wdatan_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1209_wdatan_11 (
+	.A(wdatan[11]),
+	.X(FE_OFN1209_wdatan_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1208_wdatan_12 (
+	.A(wdatan[12]),
+	.X(FE_OFN1208_wdatan_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1207_wdatan_13 (
+	.A(wdatan[13]),
+	.X(FE_OFN1207_wdatan_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1206_wdatan_14 (
+	.A(wdatan[14]),
+	.X(FE_OFN1206_wdatan_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1205_wdatan_15 (
+	.A(wdatan[15]),
+	.X(FE_OFN1205_wdatan_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1204_wdatan_16 (
+	.A(wdatan[16]),
+	.X(FE_OFN1204_wdatan_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1203_wdatan_17 (
+	.A(wdatan[17]),
+	.X(FE_OFN1203_wdatan_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1202_wdatan_18 (
+	.A(wdatan[18]),
+	.X(FE_OFN1202_wdatan_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1201_wdatan_19 (
+	.A(wdatan[19]),
+	.X(FE_OFN1201_wdatan_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1200_wdatan_20 (
+	.A(wdatan[20]),
+	.X(FE_OFN1200_wdatan_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1199_wdatan_21 (
+	.A(wdatan[21]),
+	.X(FE_OFN1199_wdatan_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1198_wdatan_22 (
+	.A(wdatan[22]),
+	.X(FE_OFN1198_wdatan_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1197_wdatan_23 (
+	.A(wdatan[23]),
+	.X(FE_OFN1197_wdatan_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1196_wdatan_24 (
+	.A(wdatan[24]),
+	.X(FE_OFN1196_wdatan_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1195_wdatan_25 (
+	.A(wdatan[25]),
+	.X(FE_OFN1195_wdatan_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1194_wdatan_26 (
+	.A(wdatan[26]),
+	.X(FE_OFN1194_wdatan_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1193_wdatan_27 (
+	.A(wdatan[27]),
+	.X(FE_OFN1193_wdatan_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1192_wdatan_28 (
+	.A(wdatan[28]),
+	.X(FE_OFN1192_wdatan_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1191_wdatan_29 (
+	.A(wdatan[29]),
+	.X(FE_OFN1191_wdatan_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1190_wdatan_30 (
+	.A(wdatan[30]),
+	.X(FE_OFN1190_wdatan_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1189_wdatan_31 (
+	.A(wdatan[31]),
+	.X(FE_OFN1189_wdatan_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1188_addrn_0 (
+	.A(addrn[0]),
+	.X(FE_OFN1188_addrn_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1187_addrn_1 (
+	.A(addrn[1]),
+	.X(FE_OFN1187_addrn_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1186_addrn_2 (
+	.A(addrn[2]),
+	.X(FE_OFN1186_addrn_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1185_addrn_3 (
+	.A(addrn[3]),
+	.X(FE_OFN1185_addrn_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1184_addrn_4 (
+	.A(FE_PDN4049_addrn_4),
+	.X(FE_OFN1184_addrn_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1183_addrn_5 (
+	.A(FE_PDN3996_addrn_5),
+	.X(FE_OFN1183_addrn_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1182_addrn_6 (
+	.A(addrn[6]),
+	.X(FE_OFN1182_addrn_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1181_addrn_7 (
+	.A(FE_OFN1180_addrn_7),
+	.Y(FE_OFN1181_addrn_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1180_addrn_7 (
+	.A(addrn[7]),
+	.Y(FE_OFN1180_addrn_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1179_addrn_8 (
+	.A(FE_OFN1178_addrn_8),
+	.Y(FE_OFN1179_addrn_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1178_addrn_8 (
+	.A(addrn[8]),
+	.Y(FE_OFN1178_addrn_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1177_addrn_9 (
+	.A(addrn[9]),
+	.X(FE_OFN1177_addrn_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1176_addrn_10 (
+	.A(FE_OFN1175_addrn_10),
+	.Y(FE_OFN1176_addrn_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1175_addrn_10 (
+	.A(addrn[10]),
+	.Y(FE_OFN1175_addrn_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1174_addrn_11 (
+	.A(FE_PDN4045_FE_OFN1173_addrn_11),
+	.Y(FE_OFN1174_addrn_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1173_addrn_11 (
+	.A(addrn[11]),
+	.Y(FE_OFN1173_addrn_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1172_addrn_12 (
+	.A(FE_OFN1171_addrn_12),
+	.Y(FE_OFN1172_addrn_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1171_addrn_12 (
+	.A(addrn[12]),
+	.Y(FE_OFN1171_addrn_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1170_addrn_13 (
+	.A(FE_OFN1169_addrn_13),
+	.Y(FE_OFN1170_addrn_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1169_addrn_13 (
+	.A(addrn[13]),
+	.Y(FE_OFN1169_addrn_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1168_addrn_14 (
+	.A(FE_OFN1167_addrn_14),
+	.Y(FE_OFN1168_addrn_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1167_addrn_14 (
+	.A(addrn[14]),
+	.Y(FE_OFN1167_addrn_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1166_addrn_15 (
+	.A(FE_OFN1165_addrn_15),
+	.Y(FE_OFN1166_addrn_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1165_addrn_15 (
+	.A(addrn[15]),
+	.Y(FE_OFN1165_addrn_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1164_addrn_16 (
+	.A(FE_OFN1163_addrn_16),
+	.Y(FE_OFN1164_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1163_addrn_16 (
+	.A(addrn[16]),
+	.Y(FE_OFN1163_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1162_addrn_17 (
+	.A(FE_OFN1161_addrn_17),
+	.Y(FE_OFN1162_addrn_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1161_addrn_17 (
+	.A(addrn[17]),
+	.Y(FE_OFN1161_addrn_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1160_addrn_18 (
+	.A(FE_OFN1159_addrn_18),
+	.Y(FE_OFN1160_addrn_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_OFC1159_addrn_18 (
+	.A(addrn[18]),
+	.Y(FE_OFN1159_addrn_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1158_addrn_19 (
+	.A(FE_PDN4004_FE_OFN1157_addrn_19),
+	.Y(FE_OFN1158_addrn_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1157_addrn_19 (
+	.A(addrn[19]),
+	.Y(FE_OFN1157_addrn_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1156_addrn_20 (
+	.A(FE_OFN1155_addrn_20),
+	.Y(FE_OFN1156_addrn_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1155_addrn_20 (
+	.A(addrn[20]),
+	.Y(FE_OFN1155_addrn_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC1154_addrn_21 (
+	.A(FE_OFN1153_addrn_21),
+	.Y(FE_OFN1154_addrn_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1153_addrn_21 (
+	.A(addrn[21]),
+	.Y(FE_OFN1153_addrn_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC1152_addrn_22 (
+	.A(FE_OFN1151_addrn_22),
+	.Y(FE_OFN1152_addrn_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1151_addrn_22 (
+	.A(addrn[22]),
+	.Y(FE_OFN1151_addrn_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1150_addrn_23 (
+	.A(addrn[23]),
+	.X(FE_OFN1150_addrn_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1149_addrn_24 (
+	.A(addrn[24]),
+	.X(FE_OFN1149_addrn_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1148_addrn_25 (
+	.A(addrn[25]),
+	.X(FE_OFN1148_addrn_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1147_addrn_26 (
+	.A(addrn[26]),
+	.X(FE_OFN1147_addrn_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1146_addrn_27 (
+	.A(addrn[27]),
+	.X(FE_OFN1146_addrn_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   tcam_32x28 u_tcam (
+	.rdata_o({ rdata_o[5],
+		rdata_o[4],
+		rdata_o[3],
+		rdata_o[2],
+		rdata_o[1],
+		rdata_o[0] }),
+	.wdata_i({ FE_OFN1189_wdatan_31,
+		FE_OFN1190_wdatan_30,
+		FE_OFN1191_wdatan_29,
+		FE_OFN1192_wdatan_28,
+		FE_OFN1193_wdatan_27,
+		FE_OFN1194_wdatan_26,
+		FE_OFN1195_wdatan_25,
+		FE_OFN1196_wdatan_24,
+		FE_OFN1197_wdatan_23,
+		FE_OFN1198_wdatan_22,
+		FE_OFN1199_wdatan_21,
+		FE_OFN1200_wdatan_20,
+		FE_OFN1201_wdatan_19,
+		FE_OFN1202_wdatan_18,
+		FE_OFN1203_wdatan_17,
+		FE_OFN1204_wdatan_16,
+		FE_OFN1205_wdatan_15,
+		FE_OFN1206_wdatan_14,
+		FE_OFN1207_wdatan_13,
+		FE_OFN1208_wdatan_12,
+		FE_OFN1209_wdatan_11,
+		FE_OFN1210_wdatan_10,
+		FE_OFN1211_wdatan_9,
+		FE_OFN1212_wdatan_8,
+		FE_OFN1213_wdatan_7,
+		FE_OFN1214_wdatan_6,
+		FE_OFN1215_wdatan_5,
+		FE_OFN1216_wdatan_4,
+		FE_OFN1217_wdatan_3,
+		FE_OFN1218_wdatan_2,
+		FE_OFN1219_wdatan_1,
+		FE_OFN1220_wdatan_0 }),
+	.addr_i({ FE_OFN1146_addrn_27,
+		FE_OFN1147_addrn_26,
+		FE_OFN1148_addrn_25,
+		FE_OFN1149_addrn_24,
+		FE_OFN1150_addrn_23,
+		FE_OFN1152_addrn_22,
+		FE_OFN1154_addrn_21,
+		FE_OFN1156_addrn_20,
+		FE_OFN1158_addrn_19,
+		FE_OFN1160_addrn_18,
+		FE_PDN3921_FE_OFN1162_addrn_17,
+		FE_OFN19013_FE_OFN1164_addrn_16,
+		FE_OFN19568_FE_OFN1166_addrn_15,
+		FE_PDN4033_FE_OFN1762_n,
+		FE_OFN18957_FE_OFN1170_addrn_13,
+		FE_OFN18987_FE_OFN1172_addrn_12,
+		FE_OFN1174_addrn_11,
+		FE_OFN1176_addrn_10,
+		FE_OFN1177_addrn_9,
+		FE_OFN1760_n,
+		FE_OFN1181_addrn_7,
+		FE_OFN1182_addrn_6,
+		FE_OFN1183_addrn_5,
+		FE_OFN1184_addrn_4,
+		FE_OFN1185_addrn_3,
+		FE_OFN1186_addrn_2,
+		FE_OFN1187_addrn_1,
+		FE_OFN1188_addrn_0 }),
+	.wmask_i(wmaskn),
+	.web_i(FE_OFN19052_FE_OFN1222_webn),
+	.csb_i(FE_OFN1759_n),
+	.clk_i(CTS_1), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[20]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[20]),
+	.Q(addrn[20]),
+	.RESET_B(FE_OFN4_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[5]  (
+	.CLK_N(clk_i_clone2),
+	.D(addr_i[5]),
+	.Q(addrn[5]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[6]  (
+	.CLK_N(clk_i_clone5),
+	.D(addr_i[6]),
+	.Q(addrn[6]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[7]  (
+	.CLK_N(clk_i_clone5),
+	.D(addr_i[7]),
+	.Q(addrn[7]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[8]  (
+	.CLK_N(clk_i_clone5),
+	.D(addr_i[8]),
+	.Q(addrn[8]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[9]  (
+	.CLK_N(clk_i_clone4),
+	.D(addr_i[9]),
+	.Q(addrn[9]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[10]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[10]),
+	.Q(addrn[10]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[11]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[11]),
+	.Q(addrn[11]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[12]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[12]),
+	.Q(addrn[12]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[13]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[13]),
+	.Q(addrn[13]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[14]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[14]),
+	.Q(addrn[14]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[15]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[15]),
+	.Q(addrn[15]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[16]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[16]),
+	.Q(addrn[16]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[17]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[17]),
+	.Q(addrn[17]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[18]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[18]),
+	.Q(addrn[18]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[19]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[19]),
+	.Q(addrn[19]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[4]  (
+	.CLK_N(clk_i_clone2),
+	.D(addr_i[4]),
+	.Q(addrn[4]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[21]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[21]),
+	.Q(addrn[21]),
+	.RESET_B(FE_OFN4_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[22]  (
+	.CLK_N(clk_i_clone1),
+	.D(addr_i[22]),
+	.Q(addrn[22]),
+	.RESET_B(FE_OFN4_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[23]  (
+	.CLK_N(FE_USKN4674_CTS_17),
+	.D(addr_i[23]),
+	.Q(addrn[23]),
+	.RESET_B(FE_OFN2_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[24]  (
+	.CLK_N(clk_i_clone6),
+	.D(FE_OFN18358_u_soc_u_tcam_data_addr_24),
+	.Q(addrn[24]),
+	.RESET_B(FE_OFN2_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[0]  (
+	.CLK_N(clk_i_clone2),
+	.D(addr_i[0]),
+	.Q(addrn[0]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[26]  (
+	.CLK_N(clk_i_clone6),
+	.D(FE_OFN18372_u_soc_u_tcam_data_addr_26),
+	.Q(addrn[26]),
+	.RESET_B(FE_OFN2_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[27]  (
+	.CLK_N(clk_i_clone6),
+	.D(addr_i[27]),
+	.Q(addrn[27]),
+	.RESET_B(FE_OFN2_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[2]  (
+	.CLK_N(clk_i_clone3),
+	.D(wmask_i[2]),
+	.Q(wmaskn[2]),
+	.RESET_B(FE_OFN3_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[3]  (
+	.CLK_N(clk_i_clone3),
+	.D(wmask_i[3]),
+	.Q(wmaskn[3]),
+	.RESET_B(FE_OFN3_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[1]  (
+	.CLK_N(clk_i_clone3),
+	.D(wmask_i[1]),
+	.Q(wmaskn[1]),
+	.RESET_B(FE_OFN3_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[1]  (
+	.CLK_N(clk_i_clone2),
+	.D(addr_i[1]),
+	.Q(addrn[1]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[25]  (
+	.CLK_N(clk_i_clone6),
+	.D(addr_i[25]),
+	.Q(addrn[25]),
+	.RESET_B(FE_OFN2_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[0]  (
+	.CLK_N(clk_i_clone3),
+	.D(wmask_i[0]),
+	.Q(wmaskn[0]),
+	.RESET_B(FE_OFN3_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 webn_reg (
+	.CLK_N(clk_i_clone3),
+	.D(web_i),
+	.Q(webn),
+	.RESET_B(FE_OFN3_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[2]  (
+	.CLK_N(clk_i_clone2),
+	.D(addr_i[2]),
+	.Q(addrn[2]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[31]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[31]),
+	.Q(wdatan[31]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[15]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[15]),
+	.Q(wdatan[15]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[30]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[30]),
+	.Q(wdatan[30]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[29]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[29]),
+	.Q(wdatan[29]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[28]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[28]),
+	.Q(wdatan[28]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[27]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[27]),
+	.Q(wdatan[27]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[26]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[26]),
+	.Q(wdatan[26]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[25]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[25]),
+	.Q(wdatan[25]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[24]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[24]),
+	.Q(wdatan[24]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[23]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[23]),
+	.Q(wdatan[23]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[22]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[22]),
+	.Q(wdatan[22]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[20]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[20]),
+	.Q(wdatan[20]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[19]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[19]),
+	.Q(wdatan[19]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[21]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[21]),
+	.Q(wdatan[21]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[18]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[18]),
+	.Q(wdatan[18]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[17]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[17]),
+	.Q(wdatan[17]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[16]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[16]),
+	.Q(wdatan[16]),
+	.RESET_B(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[3]  (
+	.CLK_N(clk_i_clone2),
+	.D(addr_i[3]),
+	.Q(addrn[3]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[14]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[14]),
+	.Q(wdatan[14]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[13]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[13]),
+	.Q(wdatan[13]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[12]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[12]),
+	.Q(wdatan[12]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[11]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[11]),
+	.Q(wdatan[11]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[10]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[10]),
+	.Q(wdatan[10]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[9]  (
+	.CLK_N(clk_i_clone1),
+	.D(wdata_i[9]),
+	.Q(wdatan[9]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[8]  (
+	.CLK_N(clk_i_clone4),
+	.D(wdata_i[8]),
+	.Q(wdatan[8]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[7]  (
+	.CLK_N(clk_i_clone4),
+	.D(wdata_i[7]),
+	.Q(wdatan[7]),
+	.RESET_B(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[6]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[6]),
+	.Q(wdatan[6]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[5]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[5]),
+	.Q(wdatan[5]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[4]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[4]),
+	.Q(wdatan[4]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[3]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[3]),
+	.Q(wdatan[3]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[2]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[2]),
+	.Q(wdatan[2]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[1]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[1]),
+	.Q(wdatan[1]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[0]  (
+	.CLK_N(clk_i_clone5),
+	.D(wdata_i[0]),
+	.Q(wdatan[0]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfbbn_1 csbn_reg (
+	.CLK_N(clk_i_clone2),
+	.D(csb_i),
+	.Q(csbn),
+	.RESET_B(logic_1_1_net),
+	.SET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_1_cell (
+	.HI(logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module ibex_controller_WritebackStage1_BranchPredictor0(clk_i, rst_ni,
-     ctrl_busy_o, illegal_insn_i, ecall_insn_i, mret_insn_i,
-     dret_insn_i, wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i,
-     instr_valid_i, instr_i, instr_compressed_i, instr_is_compressed_i,
-     instr_bp_taken_i, instr_fetch_err_i, instr_fetch_err_plus2_i,
-     pc_id_i, instr_valid_clear_o, id_in_ready_o, controller_run_o,
-     instr_req_o, pc_set_o, pc_set_spec_o, pc_mux_o,
-     nt_branch_mispredict_o, exc_pc_mux_o, exc_cause_o,
-     lsu_addr_last_i, load_err_i, store_err_i, wb_exception_o,
-     branch_set_i, branch_set_spec_i, branch_not_set_i, jump_set_i,
-     csr_mstatus_mie_i, irq_pending_i, \irqs_i[irq_fast] ,
-     \irqs_i[irq_external] , \irqs_i[irq_timer] , \irqs_i[irq_software]
-     , irq_nm_i, nmi_mode_o, debug_req_i, debug_cause_o,
-     debug_csr_save_o, debug_mode_o, debug_single_step_i,
-     debug_ebreakm_i, debug_ebreaku_i, trigger_match_i, csr_save_if_o,
-     csr_save_id_o, csr_save_wb_o, csr_restore_mret_id_o,
-     csr_restore_dret_id_o, csr_save_cause_o, csr_mtval_o, priv_mode_i,
-     csr_mstatus_tw_i, stall_id_i, stall_wb_i, flush_id_o, ready_wb_i,
-     perf_jump_o, perf_tbranch_o);
-  input clk_i, rst_ni, illegal_insn_i, ecall_insn_i, mret_insn_i,
-       dret_insn_i, wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i,
-       instr_valid_i, instr_is_compressed_i, instr_bp_taken_i,
-       instr_fetch_err_i, instr_fetch_err_plus2_i, load_err_i,
-       store_err_i, branch_set_i, branch_set_spec_i, branch_not_set_i,
-       jump_set_i, csr_mstatus_mie_i, irq_pending_i,
-       \irqs_i[irq_external] , \irqs_i[irq_timer] ,
-       \irqs_i[irq_software] , irq_nm_i, debug_req_i,
-       debug_single_step_i, debug_ebreakm_i, debug_ebreaku_i,
-       trigger_match_i, csr_mstatus_tw_i, stall_id_i, stall_wb_i,
-       ready_wb_i;
-  input [31:0] instr_i, pc_id_i, lsu_addr_last_i;
-  input [15:0] instr_compressed_i;
-  input [14:0] \irqs_i[irq_fast] ;
-  input [1:0] priv_mode_i;
-  output ctrl_busy_o, instr_valid_clear_o, id_in_ready_o,
-       controller_run_o, instr_req_o, pc_set_o, pc_set_spec_o,
-       nt_branch_mispredict_o, wb_exception_o, nmi_mode_o,
-       debug_csr_save_o, debug_mode_o, csr_save_if_o, csr_save_id_o,
-       csr_save_wb_o, csr_restore_mret_id_o, csr_restore_dret_id_o,
-       csr_save_cause_o, flush_id_o, perf_jump_o, perf_tbranch_o;
-  output [2:0] pc_mux_o, debug_cause_o;
-  output [1:0] exc_pc_mux_o;
-  output [5:0] exc_cause_o;
-  output [31:0] csr_mtval_o;
-  wire clk_i, rst_ni, illegal_insn_i, ecall_insn_i, mret_insn_i,
-       dret_insn_i, wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i,
-       instr_valid_i, instr_is_compressed_i, instr_bp_taken_i,
-       instr_fetch_err_i, instr_fetch_err_plus2_i, load_err_i,
-       store_err_i, branch_set_i, branch_set_spec_i, branch_not_set_i,
-       jump_set_i, csr_mstatus_mie_i, irq_pending_i,
-       \irqs_i[irq_external] , \irqs_i[irq_timer] ,
-       \irqs_i[irq_software] , irq_nm_i, debug_req_i,
-       debug_single_step_i, debug_ebreakm_i, debug_ebreaku_i,
-       trigger_match_i, csr_mstatus_tw_i, stall_id_i, stall_wb_i,
-       ready_wb_i;
-  wire [31:0] instr_i, pc_id_i, lsu_addr_last_i;
-  wire [15:0] instr_compressed_i;
-  wire [14:0] \irqs_i[irq_fast] ;
-  wire [1:0] priv_mode_i;
-  wire ctrl_busy_o, instr_valid_clear_o, id_in_ready_o,
-       controller_run_o, instr_req_o, pc_set_o, pc_set_spec_o,
-       nt_branch_mispredict_o, wb_exception_o, nmi_mode_o,
-       debug_csr_save_o, debug_mode_o, csr_save_if_o, csr_save_id_o,
-       csr_save_wb_o, csr_restore_mret_id_o, csr_restore_dret_id_o,
-       csr_save_cause_o, flush_id_o, perf_jump_o, perf_tbranch_o;
-  wire [2:0] pc_mux_o, debug_cause_o;
-  wire [1:0] exc_pc_mux_o;
-  wire [5:0] exc_cause_o;
-  wire [31:0] csr_mtval_o;
-  wire [3:0] ctrl_fsm_cs;
-  wire enter_debug_mode, exc_req_q, handle_irq, illegal_insn_q, n_1,
-       n_2, n_3, n_4;
-  wire n_5, n_6, n_8, n_9, n_10, n_11, n_12, n_13;
-  wire n_15, n_16, n_17, n_18, n_19, n_20, n_21, n_22;
-  wire n_23, n_24, n_25, n_26, n_27, n_28, n_29, n_30;
-  wire n_31, n_32, n_34, n_35, n_36, n_37, n_38, n_39;
-  wire n_40, n_41, n_42, n_44, n_45, n_46, n_47, n_48;
-  wire n_49, n_50, n_51, n_54, n_55, n_56, n_57, n_59;
-  wire n_60, n_61, n_62, n_63, n_64, n_66, n_67, n_68;
-  wire n_69, n_71, n_72, n_73, n_74, n_75, n_76, n_77;
-  wire n_78, n_79, n_80, n_81, n_82, n_83, n_84, n_87;
-  wire n_89, n_90, n_91, n_92, n_93, n_95, n_96, n_97;
-  wire n_98, n_99, n_100, n_101, n_102, n_103, n_104, n_105;
-  wire n_106, n_154, n_155, n_160, n_299, n_300, n_301, n_302;
-  wire n_303, n_304, n_305, n_307, n_308, n_309, n_4292_BAR,
-       special_req_all;
-  sky130_fd_sc_hd__inv_1 g6089(.A (n_154), .Y (n_87));
-  sky130_fd_sc_hd__inv_1 g6090(.A (n_301), .Y (id_in_ready_o));
-  sky130_fd_sc_hd__inv_2 g6091(.A (n_93), .Y (pc_mux_o[2]));
-  sky130_fd_sc_hd__nor2_1 g6118__5107(.A (n_160), .B (n_302), .Y
-       (controller_run_o));
-  sky130_fd_sc_hd__nand2b_1 g6119__6260(.A_N (ctrl_fsm_cs[3]), .B
-       (ctrl_fsm_cs[2]), .Y (n_160));
-  sky130_fd_sc_hd__nand2b_1 g6120__4319(.A_N (ctrl_fsm_cs[1]), .B
-       (ctrl_fsm_cs[0]), .Y (n_302));
-  sky130_fd_sc_hd__o2111ai_1 g6215__8428(.A1 (n_4292_BAR), .A2 (n_84),
-       .B1 (n_103), .C1 (n_101), .D1 (n_80), .Y (n_301));
-  sky130_fd_sc_hd__a21o_1 g6216__5526(.A1 (n_83), .A2 (n_80), .B1
-       (flush_id_o), .X (instr_valid_clear_o));
-  sky130_fd_sc_hd__nor2b_1 g6217__6783(.A (n_92), .B_N (n_83), .Y
-       (n_84));
-  sky130_fd_sc_hd__nand2_1 g6218__3680(.A (special_req_all), .B
-       (controller_run_o), .Y (n_83));
-  sky130_fd_sc_hd__nand2_1 g6219__1617(.A (n_299), .B (n_82), .Y
-       (special_req_all));
-  sky130_fd_sc_hd__nand2_1 g6220__2802(.A (n_81), .B (n_101), .Y
-       (n_299));
-  sky130_fd_sc_hd__o31ai_1 g6221__1705(.A1 (n_99), .A2 (wfi_insn_i),
-       .A3 (csr_pipe_flush_i), .B1 (instr_valid_i), .Y (n_82));
-  sky130_fd_sc_hd__nand3_1 g6222__5122(.A (n_300), .B (n_105), .C
-       (n_106), .Y (n_81));
-  sky130_fd_sc_hd__o21ai_1 g6223__8246(.A1 (n_308), .A2
-       (illegal_insn_i), .B1 (n_101), .Y (n_300));
-  sky130_fd_sc_hd__nor2_1 g6225__7098(.A (stall_id_i), .B (stall_wb_i),
-       .Y (n_80));
-  sky130_fd_sc_hd__nand2_4 g7335__6131(.A (n_45), .B (n_79), .Y
-       (pc_set_o));
-  sky130_fd_sc_hd__or4_1 g7336__1881(.A (exc_cause_o[5]), .B (n_66), .C
-       (n_63), .D (n_75), .X (exc_cause_o[1]));
-  sky130_fd_sc_hd__nand3_1 g7337__5115(.A (debug_cause_o[0]), .B
-       (n_78), .C (n_76), .Y (flush_id_o));
-  sky130_fd_sc_hd__or2_1 g7338__7482(.A (csr_save_id_o), .B
-       (csr_save_if_o), .X (csr_save_cause_o));
-  sky130_fd_sc_hd__o211ai_1 g7339__4733(.A1 (n_41), .A2 (n_64), .B1
-       (n_55), .C1 (n_76), .Y (exc_cause_o[0]));
-  sky130_fd_sc_hd__nor3_1 g7340__6161(.A (n_68), .B (n_77), .C
-       (csr_save_if_o), .Y (n_79));
-  sky130_fd_sc_hd__a22o_1 g7341__9315(.A1 (n_73), .A2 (instr_i[9]), .B1
-       (n_74), .B2 (instr_compressed_i[9]), .X (csr_mtval_o[9]));
-  sky130_fd_sc_hd__o21ai_1 g7342__9945(.A1 (n_309), .A2 (n_69), .B1
-       (n_307), .Y (n_78));
-  sky130_fd_sc_hd__a22o_1 g7343__2883(.A1 (n_73), .A2 (instr_i[15]),
-       .B1 (n_74), .B2 (instr_compressed_i[15]), .X (csr_mtval_o[15]));
-  sky130_fd_sc_hd__a22o_1 g7344__2346(.A1 (n_73), .A2 (instr_i[14]),
-       .B1 (n_74), .B2 (instr_compressed_i[14]), .X (csr_mtval_o[14]));
-  sky130_fd_sc_hd__a22o_1 g7345__1666(.A1 (n_73), .A2 (instr_i[13]),
-       .B1 (n_74), .B2 (instr_compressed_i[13]), .X (csr_mtval_o[13]));
-  sky130_fd_sc_hd__a22o_1 g7346__7410(.A1 (n_73), .A2 (instr_i[12]),
-       .B1 (n_74), .B2 (instr_compressed_i[12]), .X (csr_mtval_o[12]));
-  sky130_fd_sc_hd__a22o_1 g7347__6417(.A1 (n_73), .A2 (instr_i[11]),
-       .B1 (n_74), .B2 (instr_compressed_i[11]), .X (csr_mtval_o[11]));
-  sky130_fd_sc_hd__a22o_1 g7348__5477(.A1 (n_73), .A2 (instr_i[10]),
-       .B1 (n_74), .B2 (instr_compressed_i[10]), .X (csr_mtval_o[10]));
-  sky130_fd_sc_hd__or2_0 g7349__2398(.A (n_72), .B (n_77), .X
-       (csr_save_id_o));
-  sky130_fd_sc_hd__a22o_1 g7350__5107(.A1 (n_73), .A2 (instr_i[0]), .B1
-       (n_74), .B2 (instr_compressed_i[0]), .X (csr_mtval_o[0]));
-  sky130_fd_sc_hd__a22o_1 g7351__6260(.A1 (n_73), .A2 (instr_i[7]), .B1
-       (n_74), .B2 (instr_compressed_i[7]), .X (csr_mtval_o[7]));
-  sky130_fd_sc_hd__a22o_1 g7352__4319(.A1 (n_73), .A2 (instr_i[6]), .B1
-       (n_74), .B2 (instr_compressed_i[6]), .X (csr_mtval_o[6]));
-  sky130_fd_sc_hd__a22o_1 g7353__8428(.A1 (n_73), .A2 (instr_i[5]), .B1
-       (n_74), .B2 (instr_compressed_i[5]), .X (csr_mtval_o[5]));
-  sky130_fd_sc_hd__a22o_1 g7354__5526(.A1 (n_73), .A2 (instr_i[4]), .B1
-       (n_74), .B2 (instr_compressed_i[4]), .X (csr_mtval_o[4]));
-  sky130_fd_sc_hd__a22o_1 g7355__6783(.A1 (n_73), .A2 (instr_i[3]), .B1
-       (n_74), .B2 (instr_compressed_i[3]), .X (csr_mtval_o[3]));
-  sky130_fd_sc_hd__a22o_1 g7356__3680(.A1 (n_73), .A2 (instr_i[2]), .B1
-       (n_74), .B2 (instr_compressed_i[2]), .X (csr_mtval_o[2]));
-  sky130_fd_sc_hd__a22o_1 g7357__1617(.A1 (n_73), .A2 (instr_i[1]), .B1
-       (n_74), .B2 (instr_compressed_i[1]), .X (csr_mtval_o[1]));
-  sky130_fd_sc_hd__a22o_1 g7358__2802(.A1 (n_73), .A2 (instr_i[8]), .B1
-       (n_74), .B2 (instr_compressed_i[8]), .X (csr_mtval_o[8]));
-  sky130_fd_sc_hd__and2_0 g7359__1705(.A (n_73), .B (instr_i[22]), .X
-       (csr_mtval_o[22]));
-  sky130_fd_sc_hd__and2_1 g7360__5122(.A (n_73), .B (instr_i[21]), .X
-       (csr_mtval_o[21]));
-  sky130_fd_sc_hd__and2_1 g7361__8246(.A (n_73), .B (instr_i[20]), .X
-       (csr_mtval_o[20]));
-  sky130_fd_sc_hd__and2_0 g7362__7098(.A (n_73), .B (instr_i[19]), .X
-       (csr_mtval_o[19]));
-  sky130_fd_sc_hd__and2_0 g7363__6131(.A (n_73), .B (instr_i[18]), .X
-       (csr_mtval_o[18]));
-  sky130_fd_sc_hd__and2_1 g7364__1881(.A (n_73), .B (instr_i[17]), .X
-       (csr_mtval_o[17]));
-  sky130_fd_sc_hd__and2_0 g7365__5115(.A (n_73), .B (instr_i[16]), .X
-       (csr_mtval_o[16]));
-  sky130_fd_sc_hd__and2_0 g7366__7482(.A (n_73), .B (instr_i[23]), .X
-       (csr_mtval_o[23]));
-  sky130_fd_sc_hd__and2_0 g7367__4733(.A (n_73), .B (instr_i[31]), .X
-       (csr_mtval_o[31]));
-  sky130_fd_sc_hd__and2_0 g7368__6161(.A (n_73), .B (instr_i[29]), .X
-       (csr_mtval_o[29]));
-  sky130_fd_sc_hd__clkinv_1 g7369(.A (n_75), .Y (n_76));
-  sky130_fd_sc_hd__and2_1 g7370__9315(.A (n_73), .B (instr_i[30]), .X
-       (csr_mtval_o[30]));
-  sky130_fd_sc_hd__and2_0 g7371__9945(.A (n_73), .B (instr_i[28]), .X
-       (csr_mtval_o[28]));
-  sky130_fd_sc_hd__and2_0 g7372__2883(.A (n_73), .B (instr_i[27]), .X
-       (csr_mtval_o[27]));
-  sky130_fd_sc_hd__and2_0 g7373__2346(.A (n_73), .B (instr_i[26]), .X
-       (csr_mtval_o[26]));
-  sky130_fd_sc_hd__and2_0 g7374__1666(.A (n_73), .B (instr_i[25]), .X
-       (csr_mtval_o[25]));
-  sky130_fd_sc_hd__and2_0 g7375__7410(.A (n_73), .B (instr_i[24]), .X
-       (csr_mtval_o[24]));
-  sky130_fd_sc_hd__nand2b_1 g7376__6417(.A_N (n_72), .B
-       (debug_cause_o[0]), .Y (debug_csr_save_o));
-  sky130_fd_sc_hd__nand2_1 g7377__5477(.A (n_71), .B (n_98), .Y
-       (pc_mux_o[1]));
-  sky130_fd_sc_hd__nor2b_1 g7378__2398(.A (n_98), .B_N (n_97), .Y
-       (n_77));
-  sky130_fd_sc_hd__nor3_1 g7379__5107(.A (n_67), .B (n_98), .C (n_100),
-       .Y (n_75));
-  sky130_fd_sc_hd__or2_1 g7382__6260(.A (controller_run_o), .B
-       (csr_restore_mret_id_o), .X (pc_mux_o[0]));
-  sky130_fd_sc_hd__and2_0 g7383__4319(.A (n_61), .B (n_103), .X
-       (instr_req_o));
-  sky130_fd_sc_hd__nand2_1 g7384__8428(.A (n_64), .B (n_55), .Y
-       (exc_cause_o[3]));
-  sky130_fd_sc_hd__and2_1 g7385__5526(.A (n_66), .B
-       (instr_is_compressed_i), .X (n_74));
-  sky130_fd_sc_hd__nor2_1 g7386__6783(.A (instr_is_compressed_i), .B
-       (n_304), .Y (n_73));
-  sky130_fd_sc_hd__o21ai_1 g7387__3680(.A1 (n_36), .A2 (n_98), .B1
-       (n_56), .Y (exc_pc_mux_o[1]));
-  sky130_fd_sc_hd__a31oi_1 g7388__1617(.A1 (n_48), .A2 (mret_insn_i),
-       .A3 (instr_valid_i), .B1 (n_95), .Y (n_71));
-  sky130_fd_sc_hd__nor2_1 g7390__1705(.A (n_101), .B (n_60), .Y (n_69));
-  sky130_fd_sc_hd__o221ai_1 g7391__5122(.A1 (n_38), .A2 (n_59), .B1
-       (n_155), .B2 (n_51), .C1 (n_104), .Y (n_68));
-  sky130_fd_sc_hd__nand2b_1 g7392__8246(.A_N (n_100), .B (n_67), .Y
-       (n_97));
-  sky130_fd_sc_hd__nor3_1 g7393__7098(.A (debug_mode_o), .B (n_104), .C
-       (n_57), .Y (n_72));
-  sky130_fd_sc_hd__inv_1 g7394(.A (n_304), .Y (n_66));
-  sky130_fd_sc_hd__nand2_1 g7395__6131(.A (enter_debug_mode), .B
-       (handle_irq), .Y (n_92));
-  sky130_fd_sc_hd__nor2_1 g7396__1881(.A (trigger_match_i), .B
-       (debug_cause_o[0]), .Y (debug_cause_o[2]));
-  sky130_fd_sc_hd__nor2b_1 g7397__5115(.A (debug_cause_o[0]), .B_N
-       (trigger_match_i), .Y (debug_cause_o[1]));
-  sky130_fd_sc_hd__nor2_1 g7398__7482(.A (n_35), .B (n_59), .Y
-       (csr_restore_mret_id_o));
-  sky130_fd_sc_hd__nand2_1 g7399__4733(.A (n_57), .B (n_36), .Y (n_67));
-  sky130_fd_sc_hd__nand2_1 g7400__6161(.A (n_154), .B (n_102), .Y
-       (n_89));
-  sky130_fd_sc_hd__nor3_1 g7402__9315(.A (n_41), .B (n_98), .C (n_106),
-       .Y (n_63));
-  sky130_fd_sc_hd__a22oi_1 g7403__9945(.A1 (n_47), .A2 (n_41), .B1
-       (dret_insn_i), .B2 (n_36), .Y (n_62));
-  sky130_fd_sc_hd__o32ai_1 g7404__2883(.A1 (ctrl_fsm_cs[1]), .A2
-       (ctrl_fsm_cs[2]), .A3 (n_39), .B1 (ctrl_fsm_cs[3]), .B2 (n_54),
-       .Y (n_61));
-  sky130_fd_sc_hd__nand3b_1 g7405__2346(.A_N (n_59), .B (n_35), .C
-       (dret_insn_i), .Y (n_93));
-  sky130_fd_sc_hd__nor2_1 g7406__1666(.A (n_98), .B (n_100), .Y (n_60));
-  sky130_fd_sc_hd__or3_1 g7407__7410(.A (illegal_insn_q), .B (n_98), .C
-       (n_106), .X (n_64));
-  sky130_fd_sc_hd__nand2_1 g7408__6417(.A (n_56), .B (n_49), .Y (n_95));
-  sky130_fd_sc_hd__nand2_1 g7409__5477(.A (debug_cause_o[0]), .B
-       (n_55), .Y (csr_save_if_o));
-  sky130_fd_sc_hd__nor2b_1 g7411__2398(.A (controller_run_o), .B_N
-       (n_96), .Y (n_4292_BAR));
-  sky130_fd_sc_hd__nor2b_1 g7412__5107(.A (n_103), .B_N
-       (ctrl_fsm_cs[0]), .Y (n_154));
-  sky130_fd_sc_hd__nand2b_1 g7413__6260(.A_N (n_46), .B (n_36), .Y
-       (enter_debug_mode));
-  sky130_fd_sc_hd__nand2b_1 g7414__4319(.A_N (n_90), .B (n_48), .Y
-       (n_59));
-  sky130_fd_sc_hd__nand2_1 g7415__8428(.A (n_48), .B (exc_req_q), .Y
-       (n_98));
-  sky130_fd_sc_hd__clkinv_1 g7417(.A (exc_cause_o[5]), .Y (n_55));
-  sky130_fd_sc_hd__a21oi_1 g7418__5526(.A1 (n_40), .A2
-       (debug_ebreakm_i), .B1 (n_44), .Y (n_57));
-  sky130_fd_sc_hd__and2_1 g7419__6783(.A (n_104), .B (n_307), .X
-       (n_56));
-  sky130_fd_sc_hd__nand2_1 g7420__3680(.A (n_50), .B (n_106), .Y
-       (n_100));
-  sky130_fd_sc_hd__nor2_1 g7421__1617(.A (n_49), .B (handle_irq), .Y
-       (exc_cause_o[5]));
-  sky130_fd_sc_hd__o21bai_1 g7422__2802(.A1 (debug_single_step_i), .A2
-       (trigger_match_i), .B1_N (n_307), .Y (debug_cause_o[0]));
-  sky130_fd_sc_hd__clkinv_1 g7423(.A (n_91), .Y (n_54));
-  sky130_fd_sc_hd__nor2b_1 g7425__1705(.A (n_37), .B_N (n_302), .Y
-       (n_51));
-  sky130_fd_sc_hd__nor2_1 g7426__5122(.A (illegal_insn_q), .B (n_105),
-       .Y (n_50));
-  sky130_fd_sc_hd__nand2_1 g7427__8246(.A (n_39), .B (n_37), .Y (n_91));
-  sky130_fd_sc_hd__nand2b_1 g7428__7098(.A_N (n_160), .B (n_37), .Y
-       (n_96));
-  sky130_fd_sc_hd__or2_2 g7430__6131(.A (n_42), .B (n_302), .X (n_104));
-  sky130_fd_sc_hd__nand2_1 g7431__1881(.A (n_39), .B (ctrl_fsm_cs[1]),
-       .Y (n_103));
-  sky130_fd_sc_hd__inv_2 g7432(.A (n_48), .Y (n_101));
-  sky130_fd_sc_hd__a21o_1 g7433__5115(.A1 (wfi_insn_i), .A2
-       (csr_mstatus_tw_i), .B1 (mret_insn_i), .X (n_47));
-  sky130_fd_sc_hd__a21oi_1 g7434__7482(.A1 (debug_single_step_i), .A2
-       (instr_valid_i), .B1 (trigger_match_i), .Y (n_46));
-  sky130_fd_sc_hd__o21ai_1 g7435__4733(.A1 (jump_set_i), .A2
-       (branch_set_spec_i), .B1 (controller_run_o), .Y (n_45));
-  sky130_fd_sc_hd__nor3b_1 g7436__6161(.A (priv_mode_i[1]), .B
-       (priv_mode_i[0]), .C_N (debug_ebreaku_i), .Y (n_44));
-  sky130_fd_sc_hd__nand3b_1 g7437__9315(.A_N (n_160), .B
-       (ctrl_fsm_cs[0]), .C (ctrl_fsm_cs[1]), .Y (n_49));
-  sky130_fd_sc_hd__or3_1 g7438__9945(.A (debug_single_step_i), .B
-       (debug_mode_o), .C (\irqs_i[irq_external] ), .X (n_102));
-  sky130_fd_sc_hd__nand3_1 g7439__2883(.A (\irqs_i[irq_external] ), .B
-       (n_36), .C (csr_mstatus_mie_i), .Y (handle_irq));
-  sky130_fd_sc_hd__nor3_1 g7440__2346(.A (ctrl_fsm_cs[0]), .B (n_34),
-       .C (n_160), .Y (n_48));
-  sky130_fd_sc_hd__clkinv_1 g7442(.A (n_41), .Y (n_40));
-  sky130_fd_sc_hd__clkinv_1 g7443(.A (n_39), .Y (n_155));
-  sky130_fd_sc_hd__nand2_1 g7444__1666(.A (ebrk_insn_i), .B
-       (instr_valid_i), .Y (n_105));
-  sky130_fd_sc_hd__nand2b_1 g7445__7410(.A_N (ctrl_fsm_cs[2]), .B
-       (ctrl_fsm_cs[3]), .Y (n_42));
-  sky130_fd_sc_hd__nand2_1 g7446__6417(.A (priv_mode_i[1]), .B
-       (priv_mode_i[0]), .Y (n_41));
-  sky130_fd_sc_hd__nor2_1 g7447__5477(.A (ctrl_fsm_cs[3]), .B
-       (ctrl_fsm_cs[2]), .Y (n_39));
-  sky130_fd_sc_hd__inv_1 g7448(.A (n_38), .Y (n_99));
-  sky130_fd_sc_hd__nand2b_1 g7449__2398(.A_N (exc_req_q), .B
-       (instr_valid_i), .Y (n_90));
-  sky130_fd_sc_hd__nor2_1 g7450__5107(.A (dret_insn_i), .B
-       (mret_insn_i), .Y (n_38));
-  sky130_fd_sc_hd__nand2_1 g7451__6260(.A (ecall_insn_i), .B
-       (instr_valid_i), .Y (n_106));
-  sky130_fd_sc_hd__nor2_1 g7452__4319(.A (ctrl_fsm_cs[1]), .B
-       (ctrl_fsm_cs[0]), .Y (n_37));
-  sky130_fd_sc_hd__inv_2 g7454(.A (mret_insn_i), .Y (n_35));
-  sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_32), .Q (ctrl_fsm_cs[2]));
-  sky130_fd_sc_hd__nand4b_1 g6543__8428(.A_N (n_30), .B (n_18), .C
-       (n_24), .D (n_8), .Y (n_32));
-  sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_31), .Q (ctrl_fsm_cs[0]));
-  sky130_fd_sc_hd__or4b_1 g6545__5526(.A (n_11), .B (n_23), .C (n_26),
-       .D_N (n_18), .X (n_31));
-  sky130_fd_sc_hd__o2111ai_1 g6547__6783(.A1 (n_302), .A2 (n_155), .B1
-       (n_89), .C1 (n_27), .D1 (n_21), .Y (n_30));
-  sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_25), .Q (ctrl_fsm_cs[3]));
-  sky130_fd_sc_hd__nand2_1 g6549__3680(.A (n_24), .B (n_28), .Y (n_29));
-  sky130_fd_sc_hd__nor2_1 g6550__1617(.A (n_11), .B (n_22), .Y (n_28));
-  sky130_fd_sc_hd__o21ai_1 g6551__2802(.A1 (n_5), .A2 (n_97), .B1
-       (n_23), .Y (n_27));
-  sky130_fd_sc_hd__o21ai_1 g6552__1705(.A1 (n_4), .A2 (n_19), .B1
-       (n_91), .Y (n_26));
-  sky130_fd_sc_hd__o221ai_1 g6553__5122(.A1 (n_98), .A2 (n_97), .B1
-       (n_101), .B2 (n_13), .C1 (n_20), .Y (n_25));
-  sky130_fd_sc_hd__a211o_1 g6554__8246(.A1 (n_303), .A2 (n_3), .B1
-       (n_4), .C1 (n_15), .X (n_24));
-  sky130_fd_sc_hd__o22ai_1 g6555__7098(.A1 (n_101), .A2 (n_17), .B1
-       (handle_irq), .B2 (n_8), .Y (n_22));
-  sky130_fd_sc_hd__nor3_1 g6556__6131(.A (n_101), .B (n_305), .C
-       (n_16), .Y (n_23));
-  sky130_fd_sc_hd__nand2_1 g6557__1881(.A (n_15), .B
-       (controller_run_o), .Y (n_21));
-  sky130_fd_sc_hd__a21o_1 g6558__5115(.A1 (n_12), .A2 (n_96), .B1
-       (enter_debug_mode), .X (n_20));
-  sky130_fd_sc_hd__a21oi_1 g6559__7482(.A1 (n_303), .A2
-       (enter_debug_mode), .B1 (n_15), .Y (n_19));
-  sky130_fd_sc_hd__nor2_1 g6561__4733(.A (n_95), .B (n_10), .Y (n_18));
-  sky130_fd_sc_hd__inv_1 g6562(.A (n_16), .Y (n_17));
-  sky130_fd_sc_hd__nor4b_1 g6563__6161(.A (n_90), .B (n_99), .C
-       (n_305), .D_N (wfi_insn_i), .Y (n_16));
-  sky130_fd_sc_hd__a22oi_1 g6564__9315(.A1 (n_303), .A2 (n_92), .B1
-       (special_req_all), .B2 (ready_wb_i), .Y (n_15));
-  sky130_fd_sc_hd__inv_1 g6565(.A (n_305), .Y (n_13));
-  sky130_fd_sc_hd__nand2_1 g6566__9945(.A (n_303), .B
-       (controller_run_o), .Y (n_12));
-  sky130_fd_sc_hd__a21oi_1 g6568__2883(.A1 (n_301), .A2 (handle_irq),
-       .B1 (n_8), .Y (n_10));
-  sky130_fd_sc_hd__nand3_1 g6569__2346(.A (debug_cause_o[0]), .B (n_6),
-       .C (n_104), .Y (n_9));
-  sky130_fd_sc_hd__o22ai_1 g6570__1666(.A1 (ctrl_fsm_cs[0]), .A2
-       (n_103), .B1 (n_102), .B2 (n_87), .Y (n_11));
-  sky130_fd_sc_hd__dfrtp_1 illegal_insn_q_reg(.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_1), .Q (illegal_insn_q));
-  sky130_fd_sc_hd__nand2_1 g6573__7410(.A (n_93), .B (debug_mode_o), .Y
-       (n_6));
-  sky130_fd_sc_hd__nand2b_1 g6574__6417(.A_N (n_96), .B
-       (enter_debug_mode), .Y (n_8));
-  sky130_fd_sc_hd__clkinv_1 g6577(.A (controller_run_o), .Y (n_4));
-  sky130_fd_sc_hd__inv_1 g6578(.A (enter_debug_mode), .Y (n_3));
-  sky130_fd_sc_hd__inv_1 g6579(.A (n_299), .Y (n_2));
-  sky130_fd_sc_hd__inv_1 g6580(.A (n_300), .Y (n_1));
-  sky130_fd_sc_hd__dfrbp_1 debug_mode_q_reg(.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_9), .Q (debug_mode_o), .Q_N (n_36));
-  sky130_fd_sc_hd__dfrbp_1 \ctrl_fsm_cs_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_29), .Q (ctrl_fsm_cs[1]), .Q_N (n_34));
-  sky130_fd_sc_hd__dfrbp_1 exc_req_q_reg(.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_2), .Q (exc_req_q), .Q_N (n_5));
-  sky130_fd_sc_hd__nor2b_1 g2__5477(.A (special_req_all), .B_N (n_80),
-       .Y (n_303));
-  sky130_fd_sc_hd__nand2b_1 g7463__2398(.A_N (n_98), .B
-       (illegal_insn_q), .Y (n_304));
-  sky130_fd_sc_hd__o21ba_2 g7464__5107(.A1 (n_100), .A2 (n_57), .B1_N
-       (enter_debug_mode), .X (n_305));
-  sky130_fd_sc_hd__nand2b_1 g7465__6260(.A_N (n_103), .B (n_89), .Y
-       (ctrl_busy_o));
-  sky130_fd_sc_hd__nand2b_1 g7466__4319(.A_N (n_42), .B (n_37), .Y
-       (n_307));
-  sky130_fd_sc_hd__nor2b_1 g7467__8428(.A (n_62), .B_N (instr_valid_i),
-       .Y (n_308));
-  sky130_fd_sc_hd__nand2_1 g2(.A (n_56), .B (n_103), .Y (n_309));
+module ibex_controller_WritebackStage1_BranchPredictor0 (
+	rst_ni, 
+	ctrl_busy_o, 
+	illegal_insn_i, 
+	ecall_insn_i, 
+	mret_insn_i, 
+	dret_insn_i, 
+	wfi_insn_i, 
+	ebrk_insn_i, 
+	csr_pipe_flush_i, 
+	instr_valid_i, 
+	instr_i, 
+	instr_compressed_i, 
+	instr_is_compressed_i, 
+	instr_bp_taken_i, 
+	instr_fetch_err_i, 
+	instr_fetch_err_plus2_i, 
+	pc_id_i, 
+	instr_valid_clear_o, 
+	id_in_ready_o, 
+	controller_run_o, 
+	instr_req_o, 
+	pc_set_o, 
+	pc_set_spec_o, 
+	pc_mux_o, 
+	nt_branch_mispredict_o, 
+	exc_pc_mux_o, 
+	exc_cause_o, 
+	lsu_addr_last_i, 
+	load_err_i, 
+	store_err_i, 
+	wb_exception_o, 
+	branch_set_i, 
+	branch_set_spec_i, 
+	branch_not_set_i, 
+	jump_set_i, 
+	csr_mstatus_mie_i, 
+	irq_pending_i, 
+	\irqs_i[irq_fast] , 
+	\irqs_i[irq_external] , 
+	\irqs_i[irq_timer] , 
+	\irqs_i[irq_software] , 
+	irq_nm_i, 
+	nmi_mode_o, 
+	debug_req_i, 
+	debug_cause_o, 
+	debug_csr_save_o, 
+	debug_mode_o, 
+	debug_single_step_i, 
+	debug_ebreakm_i, 
+	debug_ebreaku_i, 
+	trigger_match_i, 
+	csr_save_if_o, 
+	csr_save_id_o, 
+	csr_save_wb_o, 
+	csr_restore_mret_id_o, 
+	csr_restore_dret_id_o, 
+	csr_save_cause_o, 
+	csr_mtval_o, 
+	priv_mode_i, 
+	csr_mstatus_tw_i, 
+	stall_id_i, 
+	stall_wb_i, 
+	flush_id_o, 
+	ready_wb_i, 
+	perf_jump_o, 
+	perf_tbranch_o, 
+	FE_OFN6_io_out_37, 
+	FE_OFN5_io_out_37, 
+	FE_OFN0_io_out_37, 
+	FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27, 
+	FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30, 
+	FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26, 
+	FE_OFN18534_n, 
+	clk_i_clone1, 
+	clk_i, 
+	vccd1, 
+	vssd1);
+   input rst_ni;
+   output ctrl_busy_o;
+   input illegal_insn_i;
+   input ecall_insn_i;
+   input mret_insn_i;
+   input dret_insn_i;
+   input wfi_insn_i;
+   input ebrk_insn_i;
+   input csr_pipe_flush_i;
+   input instr_valid_i;
+   input [31:0] instr_i;
+   input [15:0] instr_compressed_i;
+   input instr_is_compressed_i;
+   input instr_bp_taken_i;
+   input instr_fetch_err_i;
+   input instr_fetch_err_plus2_i;
+   input [31:0] pc_id_i;
+   output instr_valid_clear_o;
+   output id_in_ready_o;
+   output controller_run_o;
+   output instr_req_o;
+   output pc_set_o;
+   output pc_set_spec_o;
+   output [2:0] pc_mux_o;
+   output nt_branch_mispredict_o;
+   output [1:0] exc_pc_mux_o;
+   output [5:0] exc_cause_o;
+   input [31:0] lsu_addr_last_i;
+   input load_err_i;
+   input store_err_i;
+   output wb_exception_o;
+   input branch_set_i;
+   input branch_set_spec_i;
+   input branch_not_set_i;
+   input jump_set_i;
+   input csr_mstatus_mie_i;
+   input irq_pending_i;
+   input [14:0] \irqs_i[irq_fast] ;
+   input \irqs_i[irq_external] ;
+   input \irqs_i[irq_timer] ;
+   input \irqs_i[irq_software] ;
+   input irq_nm_i;
+   output nmi_mode_o;
+   input debug_req_i;
+   output [2:0] debug_cause_o;
+   output debug_csr_save_o;
+   output debug_mode_o;
+   input debug_single_step_i;
+   input debug_ebreakm_i;
+   input debug_ebreaku_i;
+   input trigger_match_i;
+   output csr_save_if_o;
+   output csr_save_id_o;
+   output csr_save_wb_o;
+   output csr_restore_mret_id_o;
+   output csr_restore_dret_id_o;
+   output csr_save_cause_o;
+   output [31:0] csr_mtval_o;
+   input [1:0] priv_mode_i;
+   input csr_mstatus_tw_i;
+   input stall_id_i;
+   input stall_wb_i;
+   output flush_id_o;
+   input ready_wb_i;
+   output perf_jump_o;
+   output perf_tbranch_o;
+   input FE_OFN6_io_out_37;
+   input FE_OFN5_io_out_37;
+   input FE_OFN0_io_out_37;
+   input FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27;
+   input FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30;
+   input FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26;
+   input FE_OFN18534_n;
+   input clk_i_clone1;
+   input clk_i;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire FE_PSN4434_u_soc_u_top_u_core_instr_valid_id;
+   wire FE_OFN18197_n_301;
+   wire FE_OFN1223_n_73;
+   wire FE_OFN1143_u_soc_u_top_u_core_trigger_match;
+   wire [3:0] ctrl_fsm_cs;
+   wire enter_debug_mode;
+   wire exc_req_q;
+   wire handle_irq;
+   wire illegal_insn_q;
+   wire n_1;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_15;
+   wire n_16;
+   wire n_17;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_41;
+   wire n_42;
+   wire n_44;
+   wire n_45;
+   wire n_46;
+   wire n_47;
+   wire n_48;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_54;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_59;
+   wire n_60;
+   wire n_61;
+   wire n_62;
+   wire n_63;
+   wire n_64;
+   wire n_66;
+   wire n_67;
+   wire n_68;
+   wire n_69;
+   wire n_71;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_81;
+   wire n_82;
+   wire n_83;
+   wire n_84;
+   wire n_87;
+   wire n_89;
+   wire n_90;
+   wire n_91;
+   wire n_92;
+   wire n_93;
+   wire n_95;
+   wire n_96;
+   wire n_97;
+   wire n_98;
+   wire n_99;
+   wire n_100;
+   wire n_101;
+   wire n_102;
+   wire n_103;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_154;
+   wire n_155;
+   wire n_160;
+   wire n_299;
+   wire n_300;
+   wire n_301;
+   wire n_302;
+   wire n_303;
+   wire n_304;
+   wire n_305;
+   wire n_307;
+   wire n_308;
+   wire n_309;
+   wire n_4292_BAR;
+   wire special_req_all;
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4434_u_soc_u_top_u_core_instr_valid_id (
+	.A(instr_valid_i),
+	.X(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_90 (
+	.DIODE(instr_i[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_4 FE_RC_16_0 (
+	.A1(jump_set_i),
+	.A2(branch_set_spec_i),
+	.B1_N(n_4),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2006_n_301 (
+	.A(id_in_ready_o),
+	.Y(FE_OFN18197_n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2005_n_301 (
+	.A(n_301),
+	.Y(id_in_ready_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1223_n_73 (
+	.A(n_73),
+	.X(FE_OFN1223_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1143_u_soc_u_top_u_core_trigger_match (
+	.A(trigger_match_i),
+	.X(FE_OFN1143_u_soc_u_top_u_core_trigger_match), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6089 (
+	.A(n_154),
+	.Y(n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6091 (
+	.A(n_93),
+	.Y(pc_mux_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6118__5107 (
+	.A(n_160),
+	.B(n_302),
+	.Y(controller_run_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6119__6260 (
+	.A_N(ctrl_fsm_cs[3]),
+	.B(ctrl_fsm_cs[2]),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6120__4319 (
+	.A_N(ctrl_fsm_cs[1]),
+	.B(ctrl_fsm_cs[0]),
+	.Y(n_302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g6215__8428 (
+	.A1(n_4292_BAR),
+	.A2(n_84),
+	.B1(n_103),
+	.C1(n_101),
+	.D1(n_80),
+	.Y(n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g6216__5526 (
+	.A1(n_83),
+	.A2(n_80),
+	.B1(flush_id_o),
+	.X(instr_valid_clear_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g6217__6783 (
+	.A(n_92),
+	.B_N(n_83),
+	.Y(n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6218__3680 (
+	.A(special_req_all),
+	.B(controller_run_o),
+	.Y(n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6219__1617 (
+	.A(n_299),
+	.B(n_82),
+	.Y(special_req_all), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6220__2802 (
+	.A(n_81),
+	.B(n_101),
+	.Y(n_299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g6221__1705 (
+	.A1(n_99),
+	.A2(wfi_insn_i),
+	.A3(csr_pipe_flush_i),
+	.B1(instr_valid_i),
+	.Y(n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6222__5122 (
+	.A(n_300),
+	.B(n_105),
+	.C(n_106),
+	.Y(n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6223__8246 (
+	.A1(n_308),
+	.A2(illegal_insn_i),
+	.B1(n_101),
+	.Y(n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6225__7098 (
+	.A(stall_id_i),
+	.B(stall_wb_i),
+	.Y(n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g7335__6131 (
+	.A(n_45),
+	.B(n_79),
+	.Y(pc_set_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g7336__1881 (
+	.A(exc_cause_o[5]),
+	.B(n_66),
+	.C(n_63),
+	.D(n_75),
+	.X(exc_cause_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g7337__5115 (
+	.A(debug_cause_o[0]),
+	.B(n_78),
+	.C(n_76),
+	.Y(flush_id_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g7338__7482 (
+	.A(csr_save_id_o),
+	.B(csr_save_if_o),
+	.X(csr_save_cause_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g7339__4733 (
+	.A1(n_41),
+	.A2(n_64),
+	.B1(n_55),
+	.C1(n_76),
+	.Y(exc_cause_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g7340__6161 (
+	.A(n_68),
+	.B(n_77),
+	.C(csr_save_if_o),
+	.Y(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7341__9315 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[9]),
+	.B1(n_74),
+	.B2(instr_compressed_i[9]),
+	.X(csr_mtval_o[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7342__9945 (
+	.A1(n_309),
+	.A2(n_69),
+	.B1(n_307),
+	.Y(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7343__2883 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[15]),
+	.B1(n_74),
+	.B2(instr_compressed_i[15]),
+	.X(csr_mtval_o[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7344__2346 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[14]),
+	.B1(n_74),
+	.B2(instr_compressed_i[14]),
+	.X(csr_mtval_o[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7345__1666 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[13]),
+	.B1(n_74),
+	.B2(instr_compressed_i[13]),
+	.X(csr_mtval_o[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7346__7410 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[12]),
+	.B1(n_74),
+	.B2(instr_compressed_i[12]),
+	.X(csr_mtval_o[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7347__6417 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[11]),
+	.B1(n_74),
+	.B2(instr_compressed_i[11]),
+	.X(csr_mtval_o[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7348__5477 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[10]),
+	.B1(n_74),
+	.B2(instr_compressed_i[10]),
+	.X(csr_mtval_o[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g7349__2398 (
+	.A(n_72),
+	.B(n_77),
+	.X(csr_save_id_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7350__5107 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[0]),
+	.B1(n_74),
+	.B2(instr_compressed_i[0]),
+	.X(csr_mtval_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7351__6260 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[7]),
+	.B1(n_74),
+	.B2(instr_compressed_i[7]),
+	.X(csr_mtval_o[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7352__4319 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[6]),
+	.B1(n_74),
+	.B2(instr_compressed_i[6]),
+	.X(csr_mtval_o[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7353__8428 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[5]),
+	.B1(n_74),
+	.B2(instr_compressed_i[5]),
+	.X(csr_mtval_o[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7354__5526 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[4]),
+	.B1(n_74),
+	.B2(instr_compressed_i[4]),
+	.X(csr_mtval_o[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7355__6783 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[3]),
+	.B1(n_74),
+	.B2(instr_compressed_i[3]),
+	.X(csr_mtval_o[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7356__3680 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[2]),
+	.B1(n_74),
+	.B2(instr_compressed_i[2]),
+	.X(csr_mtval_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7357__1617 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[1]),
+	.B1(n_74),
+	.B2(instr_compressed_i[1]),
+	.X(csr_mtval_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7358__2802 (
+	.A1(FE_OFN1223_n_73),
+	.A2(instr_i[8]),
+	.B1(n_74),
+	.B2(instr_compressed_i[8]),
+	.X(csr_mtval_o[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7359__1705 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[22]),
+	.X(csr_mtval_o[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7360__5122 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[21]),
+	.X(csr_mtval_o[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7361__8246 (
+	.A(FE_OFN1223_n_73),
+	.B(FE_OFN18534_n),
+	.X(csr_mtval_o[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7362__7098 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[19]),
+	.X(csr_mtval_o[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7363__6131 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[18]),
+	.X(csr_mtval_o[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7364__1881 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[17]),
+	.X(csr_mtval_o[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7365__5115 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[16]),
+	.X(csr_mtval_o[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7366__7482 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[23]),
+	.X(csr_mtval_o[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7367__4733 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[31]),
+	.X(csr_mtval_o[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7368__6161 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[29]),
+	.X(csr_mtval_o[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g7369 (
+	.A(n_75),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7370__9315 (
+	.A(FE_OFN1223_n_73),
+	.B(FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30),
+	.X(csr_mtval_o[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7371__9945 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[28]),
+	.X(csr_mtval_o[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7372__2883 (
+	.A(FE_OFN1223_n_73),
+	.B(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.X(csr_mtval_o[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7373__2346 (
+	.A(FE_OFN1223_n_73),
+	.B(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
+	.X(csr_mtval_o[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7374__1666 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[25]),
+	.X(csr_mtval_o[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7375__7410 (
+	.A(FE_OFN1223_n_73),
+	.B(instr_i[24]),
+	.X(csr_mtval_o[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7376__6417 (
+	.A_N(n_72),
+	.B(debug_cause_o[0]),
+	.Y(debug_csr_save_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7377__5477 (
+	.A(n_71),
+	.B(n_98),
+	.Y(pc_mux_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7378__2398 (
+	.A(n_98),
+	.B_N(n_97),
+	.Y(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g7379__5107 (
+	.A(n_67),
+	.B(n_98),
+	.C(n_100),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g7382__6260 (
+	.A(controller_run_o),
+	.B(csr_restore_mret_id_o),
+	.X(pc_mux_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g7383__4319 (
+	.A(n_61),
+	.B(n_103),
+	.X(instr_req_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7384__8428 (
+	.A(n_64),
+	.B(n_55),
+	.Y(exc_cause_o[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7385__5526 (
+	.A(n_66),
+	.B(instr_is_compressed_i),
+	.X(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7386__6783 (
+	.A(instr_is_compressed_i),
+	.B(n_304),
+	.Y(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7387__3680 (
+	.A1(n_36),
+	.A2(n_98),
+	.B1(n_56),
+	.Y(exc_pc_mux_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g7388__1617 (
+	.A1(n_48),
+	.A2(mret_insn_i),
+	.A3(instr_valid_i),
+	.B1(n_95),
+	.Y(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7390__1705 (
+	.A(n_101),
+	.B(n_60),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g7391__5122 (
+	.A1(n_38),
+	.A2(n_59),
+	.B1(n_155),
+	.B2(n_51),
+	.C1(n_104),
+	.Y(n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7392__8246 (
+	.A_N(n_100),
+	.B(n_67),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g7393__7098 (
+	.A(debug_mode_o),
+	.B(n_104),
+	.C(n_57),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g7394 (
+	.A(n_304),
+	.Y(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7395__6131 (
+	.A(enter_debug_mode),
+	.B(handle_irq),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7396__1881 (
+	.A(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.B(debug_cause_o[0]),
+	.Y(debug_cause_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7397__5115 (
+	.A(debug_cause_o[0]),
+	.B_N(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.Y(debug_cause_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7398__7482 (
+	.A(n_35),
+	.B(n_59),
+	.Y(csr_restore_mret_id_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7399__4733 (
+	.A(n_57),
+	.B(n_36),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7400__6161 (
+	.A(n_154),
+	.B(n_102),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g7402__9315 (
+	.A(n_41),
+	.B(n_98),
+	.C(n_106),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g7403__9945 (
+	.A1(n_47),
+	.A2(n_41),
+	.B1(dret_insn_i),
+	.B2(n_36),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g7404__2883 (
+	.A1(ctrl_fsm_cs[1]),
+	.A2(ctrl_fsm_cs[2]),
+	.A3(n_39),
+	.B1(ctrl_fsm_cs[3]),
+	.B2(n_54),
+	.Y(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g7405__2346 (
+	.A_N(n_59),
+	.B(n_35),
+	.C(dret_insn_i),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7406__1666 (
+	.A(n_98),
+	.B(n_100),
+	.Y(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g7407__7410 (
+	.A(illegal_insn_q),
+	.B(n_98),
+	.C(n_106),
+	.X(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7408__6417 (
+	.A(n_56),
+	.B(n_49),
+	.Y(n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7409__5477 (
+	.A(debug_cause_o[0]),
+	.B(n_55),
+	.Y(csr_save_if_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7411__2398 (
+	.A(controller_run_o),
+	.B_N(n_96),
+	.Y(n_4292_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7412__5107 (
+	.A(n_103),
+	.B_N(ctrl_fsm_cs[0]),
+	.Y(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7413__6260 (
+	.A_N(n_46),
+	.B(n_36),
+	.Y(enter_debug_mode), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7414__4319 (
+	.A_N(n_90),
+	.B(n_48),
+	.Y(n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7415__8428 (
+	.A(n_48),
+	.B(exc_req_q),
+	.Y(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g7417 (
+	.A(exc_cause_o[5]),
+	.Y(n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7418__5526 (
+	.A1(n_40),
+	.A2(debug_ebreakm_i),
+	.B1(n_44),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7419__6783 (
+	.A(n_104),
+	.B(n_307),
+	.X(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7420__3680 (
+	.A(n_50),
+	.B(n_106),
+	.Y(n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7421__1617 (
+	.A(n_49),
+	.B(handle_irq),
+	.Y(exc_cause_o[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g7422__2802 (
+	.A1(debug_single_step_i),
+	.A2(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.B1_N(n_307),
+	.Y(debug_cause_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g7423 (
+	.A(n_91),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7425__1705 (
+	.A(n_37),
+	.B_N(n_302),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7426__5122 (
+	.A(illegal_insn_q),
+	.B(n_105),
+	.Y(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7427__8246 (
+	.A(n_39),
+	.B(n_37),
+	.Y(n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7428__7098 (
+	.A_N(n_160),
+	.B(n_37),
+	.Y(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g7430__6131 (
+	.A(n_42),
+	.B(n_302),
+	.X(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7431__1881 (
+	.A(n_39),
+	.B(ctrl_fsm_cs[1]),
+	.Y(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g7432 (
+	.A(n_48),
+	.Y(n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g7433__5115 (
+	.A1(wfi_insn_i),
+	.A2(csr_mstatus_tw_i),
+	.B1(mret_insn_i),
+	.X(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7434__7482 (
+	.A1(debug_single_step_i),
+	.A2(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id),
+	.B1(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.Y(n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7436__6161 (
+	.A(priv_mode_i[1]),
+	.B(priv_mode_i[0]),
+	.C_N(debug_ebreaku_i),
+	.Y(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g7437__9315 (
+	.A_N(n_160),
+	.B(ctrl_fsm_cs[0]),
+	.C(ctrl_fsm_cs[1]),
+	.Y(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g7438__9945 (
+	.A(debug_single_step_i),
+	.B(debug_mode_o),
+	.C(\irqs_i[irq_external] ),
+	.X(n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g7439__2883 (
+	.A(\irqs_i[irq_external] ),
+	.B(n_36),
+	.C(csr_mstatus_mie_i),
+	.Y(handle_irq), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g7440__2346 (
+	.A(ctrl_fsm_cs[0]),
+	.B(n_34),
+	.C(n_160),
+	.Y(n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g7442 (
+	.A(n_41),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g7443 (
+	.A(n_39),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7444__1666 (
+	.A(ebrk_insn_i),
+	.B(instr_valid_i),
+	.Y(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7445__7410 (
+	.A_N(ctrl_fsm_cs[2]),
+	.B(ctrl_fsm_cs[3]),
+	.Y(n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7446__6417 (
+	.A(priv_mode_i[1]),
+	.B(priv_mode_i[0]),
+	.Y(n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7447__5477 (
+	.A(ctrl_fsm_cs[3]),
+	.B(ctrl_fsm_cs[2]),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g7448 (
+	.A(n_38),
+	.Y(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7449__2398 (
+	.A_N(exc_req_q),
+	.B(instr_valid_i),
+	.Y(n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7450__5107 (
+	.A(dret_insn_i),
+	.B(mret_insn_i),
+	.Y(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7451__6260 (
+	.A(ecall_insn_i),
+	.B(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7452__4319 (
+	.A(ctrl_fsm_cs[1]),
+	.B(ctrl_fsm_cs[0]),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g7454 (
+	.A(mret_insn_i),
+	.Y(n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[2]  (
+	.CLK(clk_i),
+	.D(n_32),
+	.Q(ctrl_fsm_cs[2]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g6543__8428 (
+	.A_N(n_30),
+	.B(n_18),
+	.C(n_24),
+	.D(n_8),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[0]  (
+	.CLK(clk_i),
+	.D(n_31),
+	.Q(ctrl_fsm_cs[0]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g6545__5526 (
+	.A(n_11),
+	.B(n_23),
+	.C(n_26),
+	.D_N(n_18),
+	.X(n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g6547__6783 (
+	.A1(n_302),
+	.A2(n_155),
+	.B1(n_89),
+	.C1(n_27),
+	.D1(n_21),
+	.Y(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[3]  (
+	.CLK(clk_i),
+	.D(n_25),
+	.Q(ctrl_fsm_cs[3]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6549__3680 (
+	.A(n_24),
+	.B(n_28),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6550__1617 (
+	.A(n_11),
+	.B(n_22),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6551__2802 (
+	.A1(n_5),
+	.A2(n_97),
+	.B1(n_23),
+	.Y(n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6552__1705 (
+	.A1(n_4),
+	.A2(n_19),
+	.B1(n_91),
+	.Y(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g6553__5122 (
+	.A1(n_98),
+	.A2(n_97),
+	.B1(n_101),
+	.B2(n_13),
+	.C1(n_20),
+	.Y(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g6554__8246 (
+	.A1(n_303),
+	.A2(n_3),
+	.B1(n_4),
+	.C1(n_15),
+	.X(n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g6555__7098 (
+	.A1(n_101),
+	.A2(n_17),
+	.B1(handle_irq),
+	.B2(n_8),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g6556__6131 (
+	.A(n_101),
+	.B(n_305),
+	.C(n_16),
+	.Y(n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6557__1881 (
+	.A(n_15),
+	.B(controller_run_o),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g6558__5115 (
+	.A1(n_12),
+	.A2(n_96),
+	.B1(enter_debug_mode),
+	.X(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6559__7482 (
+	.A1(n_303),
+	.A2(enter_debug_mode),
+	.B1(n_15),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6561__4733 (
+	.A(n_95),
+	.B(n_10),
+	.Y(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6562 (
+	.A(n_16),
+	.Y(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g6563__6161 (
+	.A(n_90),
+	.B(n_99),
+	.C(n_305),
+	.D_N(wfi_insn_i),
+	.Y(n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6564__9315 (
+	.A1(n_303),
+	.A2(n_92),
+	.B1(special_req_all),
+	.B2(ready_wb_i),
+	.Y(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6565 (
+	.A(n_305),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6566__9945 (
+	.A(n_303),
+	.B(controller_run_o),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6568__2883 (
+	.A1(FE_OFN18197_n_301),
+	.A2(handle_irq),
+	.B1(n_8),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6569__2346 (
+	.A(debug_cause_o[0]),
+	.B(n_6),
+	.C(n_104),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g6570__1666 (
+	.A1(ctrl_fsm_cs[0]),
+	.A2(n_103),
+	.B1(n_102),
+	.B2(n_87),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 illegal_insn_q_reg (
+	.CLK(clk_i),
+	.D(n_1),
+	.Q(illegal_insn_q),
+	.RESET_B(FE_OFN6_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6573__7410 (
+	.A(n_93),
+	.B(debug_mode_o),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6574__6417 (
+	.A_N(n_96),
+	.B(enter_debug_mode),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g6577 (
+	.A(controller_run_o),
+	.Y(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6578 (
+	.A(enter_debug_mode),
+	.Y(n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6579 (
+	.A(n_299),
+	.Y(n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6580 (
+	.A(n_300),
+	.Y(n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 debug_mode_q_reg (
+	.CLK(clk_i_clone1),
+	.D(n_9),
+	.Q(debug_mode_o),
+	.Q_N(n_36),
+	.RESET_B(FE_OFN5_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \ctrl_fsm_cs_reg[1]  (
+	.CLK(clk_i),
+	.D(n_29),
+	.Q(ctrl_fsm_cs[1]),
+	.Q_N(n_34),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 exc_req_q_reg (
+	.CLK(clk_i),
+	.D(n_2),
+	.Q(exc_req_q),
+	.Q_N(n_5),
+	.RESET_B(FE_OFN0_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g2__5477 (
+	.A(special_req_all),
+	.B_N(n_80),
+	.Y(n_303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7463__2398 (
+	.A_N(n_98),
+	.B(illegal_insn_q),
+	.Y(n_304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ba_2 g7464__5107 (
+	.A1(n_100),
+	.A2(n_57),
+	.B1_N(enter_debug_mode),
+	.X(n_305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7465__6260 (
+	.A_N(n_103),
+	.B(n_89),
+	.Y(ctrl_busy_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7466__4319 (
+	.A_N(n_42),
+	.B(n_37),
+	.Y(n_307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7467__8428 (
+	.A(n_62),
+	.B_N(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id),
+	.Y(n_308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g2 (
+	.A(n_56),
+	.B(n_103),
+	.Y(n_309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1(clk_i,
-     rst_ni, illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o,
-     ecall_insn_o, wfi_insn_o, jump_set_o, branch_taken_i,
-     icache_inval_o, instr_first_cycle_i, instr_rdata_i,
-     instr_rdata_alu_i, illegal_c_insn_i, imm_a_mux_sel_o,
-     imm_b_mux_sel_o, bt_a_mux_sel_o, bt_b_mux_sel_o, imm_i_type_o,
-     imm_s_type_o, imm_b_type_o, imm_u_type_o, imm_j_type_o,
-     zimm_rs1_type_o, rf_wdata_sel_o, rf_we_o, rf_raddr_a_o,
-     rf_raddr_b_o, rf_waddr_o, rf_ren_a_o, rf_ren_b_o, alu_operator_o,
-     alu_op_a_mux_sel_o, alu_op_b_mux_sel_o, alu_multicycle_o,
-     mult_en_o, div_en_o, mult_sel_o, div_sel_o, multdiv_operator_o,
-     multdiv_signed_mode_o, csr_access_o, csr_op_o, data_req_o,
-     data_we_o, data_type_o, data_sign_extension_o, jump_in_dec_o,
-     branch_in_dec_o);
-  input clk_i, rst_ni, branch_taken_i, instr_first_cycle_i,
-       illegal_c_insn_i;
-  input [31:0] instr_rdata_i, instr_rdata_alu_i;
-  output illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o,
-       ecall_insn_o, wfi_insn_o, jump_set_o, icache_inval_o,
-       imm_a_mux_sel_o, rf_wdata_sel_o, rf_we_o, rf_ren_a_o,
-       rf_ren_b_o, alu_op_b_mux_sel_o, alu_multicycle_o, mult_en_o,
-       div_en_o, mult_sel_o, div_sel_o, csr_access_o, data_req_o,
-       data_we_o, data_sign_extension_o, jump_in_dec_o, branch_in_dec_o;
-  output [2:0] imm_b_mux_sel_o, bt_b_mux_sel_o;
-  output [1:0] bt_a_mux_sel_o, alu_op_a_mux_sel_o, multdiv_operator_o,
-       multdiv_signed_mode_o, csr_op_o, data_type_o;
-  output [31:0] imm_i_type_o, imm_s_type_o, imm_b_type_o, imm_u_type_o,
-       imm_j_type_o, zimm_rs1_type_o;
-  output [4:0] rf_raddr_a_o, rf_raddr_b_o, rf_waddr_o;
-  output [5:0] alu_operator_o;
-  wire clk_i, rst_ni, branch_taken_i, instr_first_cycle_i,
-       illegal_c_insn_i;
-  wire [31:0] instr_rdata_i, instr_rdata_alu_i;
-  wire illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o,
-       ecall_insn_o, wfi_insn_o, jump_set_o, icache_inval_o,
-       imm_a_mux_sel_o, rf_wdata_sel_o, rf_we_o, rf_ren_a_o,
-       rf_ren_b_o, alu_op_b_mux_sel_o, alu_multicycle_o, mult_en_o,
-       div_en_o, mult_sel_o, div_sel_o, csr_access_o, data_req_o,
-       data_we_o, data_sign_extension_o, jump_in_dec_o, branch_in_dec_o;
-  wire [2:0] imm_b_mux_sel_o, bt_b_mux_sel_o;
-  wire [1:0] bt_a_mux_sel_o, alu_op_a_mux_sel_o, multdiv_operator_o,
-       multdiv_signed_mode_o, csr_op_o, data_type_o;
-  wire [31:0] imm_i_type_o, imm_s_type_o, imm_b_type_o, imm_u_type_o,
-       imm_j_type_o, zimm_rs1_type_o;
-  wire [4:0] rf_raddr_a_o, rf_raddr_b_o, rf_waddr_o;
-  wire [5:0] alu_operator_o;
-  wire n_1, n_3, n_4, n_5, n_6, n_7, n_8, n_9;
-  wire n_11, n_13, n_16, n_17, n_18, n_20, n_21, n_22;
-  wire n_23, n_25, n_26, n_28, n_29, n_30, n_31, n_32;
-  wire n_33, n_34, n_36, n_37, n_38, n_39, n_40, n_42;
-  wire n_44, n_45, n_46, n_47, n_48, n_49, n_50, n_51;
-  wire n_53, n_54, n_55, n_56, n_57, n_58, n_59, n_60;
-  wire n_61, n_62, n_64, n_69, n_70, n_71, n_72, n_73;
-  wire n_74, n_75, n_76, n_77, n_78, n_79, n_80, n_81;
-  wire n_82, n_86, n_89, n_90, n_91, n_92, n_93, n_94;
-  wire n_96, n_97, n_98, n_99, n_102, n_103, n_108, n_109;
-  wire n_110, n_111, n_115, n_116, n_118, n_119, n_120, n_121;
-  wire n_122, n_124, n_126, n_127, n_128, n_129, n_130, n_134;
-  wire n_138, n_140, n_141, n_142, n_145, n_146, n_147, n_153;
-  wire n_155, n_156, n_157, n_158, n_159, n_160, n_164, n_165;
-  wire n_166, n_167, n_168, n_169, n_170, n_171, n_172, n_173;
-  wire n_174, n_176, n_177, n_178, n_182, n_183, n_185, n_186;
-  wire n_188, n_189, n_191, n_192, n_193, n_196, n_207, n_208;
-  wire n_225, n_226, n_227, n_231, n_236, n_237, n_239, n_240;
-  sky130_fd_sc_hd__and2_1 g5902__5526(.A (jump_in_dec_o), .B
-       (instr_first_cycle_i), .X (jump_set_o));
-  sky130_fd_sc_hd__nor2_1 g5903__6783(.A (n_109), .B (illegal_insn_o),
-       .Y (data_req_o));
-  sky130_fd_sc_hd__nor2_1 g5904__3680(.A (n_62), .B (illegal_insn_o),
-       .Y (branch_in_dec_o));
-  sky130_fd_sc_hd__nor2_1 g5905__1617(.A (n_183), .B (illegal_insn_o),
-       .Y (div_en_o));
-  sky130_fd_sc_hd__nor2b_1 g5906__2802(.A (illegal_insn_o), .B_N
-       (mult_sel_o), .Y (mult_en_o));
-  sky130_fd_sc_hd__nor2_1 g5907__1705(.A (n_97), .B (illegal_insn_o),
-       .Y (data_we_o));
-  sky130_fd_sc_hd__nor2_1 g5908__5122(.A (imm_a_mux_sel_o), .B
-       (illegal_insn_o), .Y (csr_access_o));
-  sky130_fd_sc_hd__a21oi_1 g5909__8246(.A1 (n_186), .A2
-       (imm_a_mux_sel_o), .B1 (illegal_insn_o), .Y (rf_we_o));
-  sky130_fd_sc_hd__nor2_1 g5910__7098(.A (n_158), .B (illegal_insn_o),
-       .Y (jump_in_dec_o));
-  sky130_fd_sc_hd__or4_1 g5911__6131(.A (n_177), .B (n_169), .C
-       (n_193), .D (n_208), .X (illegal_insn_o));
-  sky130_fd_sc_hd__nand3_1 g5912__1881(.A (n_240), .B (n_182), .C
-       (n_188), .Y (alu_operator_o[5]));
-  sky130_fd_sc_hd__or4_1 g5914__5115(.A (illegal_c_insn_i), .B (n_147),
-       .C (n_165), .D (n_207), .X (n_208));
-  sky130_fd_sc_hd__a31oi_1 g5915__7482(.A1 (n_160), .A2 (n_171), .A3
-       (n_174), .B1 (n_70), .Y (n_207));
-  sky130_fd_sc_hd__nand2_2 g5916__4733(.A (n_240), .B (n_191), .Y
-       (alu_operator_o[1]));
-  sky130_fd_sc_hd__nand3_1 g5920__6161(.A (n_196), .B (n_236), .C
-       (n_227), .Y (alu_op_b_mux_sel_o));
-  sky130_fd_sc_hd__nor3_1 g5921__9315(.A (instr_rdata_alu_i[27]), .B
-       (instr_rdata_alu_i[29]), .C (n_172), .Y (wfi_insn_o));
-  sky130_fd_sc_hd__nand3_1 g5924__9945(.A (n_196), .B (n_167), .C
-       (n_142), .Y (alu_op_a_mux_sel_o[1]));
-  sky130_fd_sc_hd__o211ai_1 g5927__2883(.A1 (n_33), .A2 (n_57), .B1
-       (n_167), .C1 (n_176), .Y (alu_op_a_mux_sel_o[0]));
-  sky130_fd_sc_hd__o221ai_1 g5928__2346(.A1 (n_225), .A2 (n_145), .B1
-       (n_31), .B2 (n_109), .C1 (n_146), .Y (n_193));
-  sky130_fd_sc_hd__nor2_1 g5929__1666(.A (n_118), .B (n_177), .Y
-       (n_196));
-  sky130_fd_sc_hd__a21oi_1 g5930__7410(.A1 (n_155), .A2 (n_59), .B1
-       (n_173), .Y (n_191));
-  sky130_fd_sc_hd__nor3_1 g5932__6417(.A (n_159), .B (n_121), .C
-       (n_157), .Y (n_189));
-  sky130_fd_sc_hd__o31ai_1 g5933__5477(.A1 (n_74), .A2 (n_60), .A3
-       (n_94), .B1 (n_178), .Y (n_192));
-  sky130_fd_sc_hd__inv_1 g5934(.A (n_185), .Y (n_186));
-  sky130_fd_sc_hd__inv_2 g5935(.A (n_183), .Y (div_sel_o));
-  sky130_fd_sc_hd__a21oi_1 g5936__2398(.A1 (n_110), .A2 (n_23), .B1
-       (n_165), .Y (n_182));
-  sky130_fd_sc_hd__nor2_1 g5937__5107(.A (n_61), .B (n_153), .Y
-       (multdiv_signed_mode_o[0]));
-  sky130_fd_sc_hd__a21oi_1 g5938__6260(.A1 (n_58), .A2 (n_17), .B1
-       (n_153), .Y (multdiv_signed_mode_o[1]));
-  sky130_fd_sc_hd__nor2_1 g5939__4319(.A (n_48), .B (n_153), .Y
-       (multdiv_operator_o[0]));
-  sky130_fd_sc_hd__nand2_1 g5940__8428(.A (n_155), .B (n_23), .Y
-       (n_188));
-  sky130_fd_sc_hd__nand2_1 g5941__5526(.A (n_166), .B
-       (instr_rdata_alu_i[14]), .Y (n_178));
-  sky130_fd_sc_hd__nor2_1 g5942__6783(.A (instr_rdata_alu_i[14]), .B
-       (n_153), .Y (mult_sel_o));
-  sky130_fd_sc_hd__nand2_1 g5943__3680(.A (n_236), .B (n_103), .Y
-       (n_185));
-  sky130_fd_sc_hd__nand2_1 g5944__1617(.A (n_237), .B
-       (instr_rdata_alu_i[14]), .Y (n_183));
-  sky130_fd_sc_hd__inv_2 g5945(.A (n_176), .Y (n_177));
-  sky130_fd_sc_hd__a222oi_1 g5947__2802(.A1 (n_54), .A2 (n_89), .B1
-       (n_55), .B2 (n_32), .C1 (n_78), .C2 (n_91), .Y (n_174));
-  sky130_fd_sc_hd__o22ai_1 g5948__1705(.A1 (n_75), .A2 (n_111), .B1
-       (n_30), .B2 (n_138), .Y (n_173));
-  sky130_fd_sc_hd__nand3b_1 g5949__5122(.A_N (n_37), .B (n_130), .C
-       (instr_rdata_alu_i[28]), .Y (n_172));
-  sky130_fd_sc_hd__a21o_1 g5950__8246(.A1 (n_92), .A2 (n_134), .B1
-       (n_55), .X (n_171));
-  sky130_fd_sc_hd__o21ai_1 g5951__7098(.A1 (n_29), .A2 (n_140), .B1
-       (n_94), .Y (n_170));
-  sky130_fd_sc_hd__o2111ai_1 g5952__6131(.A1 (n_226), .A2 (n_64), .B1
-       (n_90), .C1 (n_128), .D1 (n_126), .Y (n_169));
-  sky130_fd_sc_hd__o2bb2ai_1 g5953__1881(.A1_N (n_48), .A2_N (n_110),
-       .B1 (n_124), .B2 (n_138), .Y (n_168));
-  sky130_fd_sc_hd__nand4_1 g5954__5115(.A (n_127), .B (n_103), .C
-       (n_129), .D (n_94), .Y (n_176));
-  sky130_fd_sc_hd__nor2_1 g5955__7482(.A (n_99), .B (n_122), .Y
-       (n_164));
-  sky130_fd_sc_hd__nand2b_1 g5956__4733(.A_N (bt_b_mux_sel_o[0]), .B
-       (n_72), .Y (bt_b_mux_sel_o[2]));
-  sky130_fd_sc_hd__nand2_1 g5957__6161(.A (n_141), .B (n_142), .Y
-       (imm_b_mux_sel_o[0]));
-  sky130_fd_sc_hd__nor2_1 g5958__9315(.A (imm_a_mux_sel_o), .B (n_119),
-       .Y (csr_op_o[0]));
-  sky130_fd_sc_hd__o41ai_1 g5959__9945(.A1 (n_71), .A2
-       (instr_rdata_i[11]), .A3 (instr_rdata_i[7]), .A4
-       (instr_rdata_i[8]), .B1 (n_54), .Y (n_160));
-  sky130_fd_sc_hd__o32ai_1 g5960__2883(.A1 (instr_rdata_alu_i[25]), .A2
-       (n_31), .A3 (n_103), .B1 (n_111), .B2 (n_79), .Y (n_159));
-  sky130_fd_sc_hd__nor2_1 g5961__2346(.A (imm_b_mux_sel_o[2]), .B
-       (bt_b_mux_sel_o[0]), .Y (n_158));
-  sky130_fd_sc_hd__nor2_1 g5962__1666(.A (n_77), .B (n_138), .Y
-       (n_157));
-  sky130_fd_sc_hd__a21oi_1 g5963__7410(.A1 (n_94), .A2 (n_111), .B1
-       (n_58), .Y (n_156));
-  sky130_fd_sc_hd__a21oi_1 g5964__6417(.A1 (n_96), .A2
-       (instr_rdata_alu_i[14]), .B1 (n_99), .Y (n_167));
-  sky130_fd_sc_hd__nor2_1 g5965__5477(.A (n_53), .B (n_140), .Y
-       (n_166));
-  sky130_fd_sc_hd__nor2_1 g5966__2398(.A (n_76), .B (n_138), .Y
-       (n_165));
-  sky130_fd_sc_hd__inv_2 g5967(.A (n_237), .Y (n_153));
-  sky130_fd_sc_hd__and4b_1 g5969__5107(.A_N (instr_rdata_i[22]), .B
-       (n_130), .C (n_239), .D (instr_rdata_i[20]), .X (ebrk_insn_o));
-  sky130_fd_sc_hd__nor4_1 g5970__6260(.A (n_7), .B (n_73), .C (n_47),
-       .D (n_98), .Y (dret_insn_o));
-  sky130_fd_sc_hd__and3_1 g5971__4319(.A (n_130), .B (n_36), .C
-       (n_239), .X (ecall_insn_o));
-  sky130_fd_sc_hd__nor3_1 g5972__8428(.A (n_28), .B (n_58), .C (n_94),
-       .Y (n_147));
-  sky130_fd_sc_hd__o22a_1 g5973__5526(.A1 (n_54), .A2
-       (bt_a_mux_sel_o[1]), .B1 (n_4), .B2 (n_97), .X (n_146));
-  sky130_fd_sc_hd__a21oi_1 g5974__6783(.A1 (n_93), .A2 (n_20), .B1
-       (n_102), .Y (n_145));
-  sky130_fd_sc_hd__o221ai_1 g5975__3680(.A1 (instr_rdata_alu_i[14]),
-       .A2 (imm_a_mux_sel_o), .B1 (n_34), .B2 (n_44), .C1 (n_81), .Y
-       (rf_ren_a_o));
-  sky130_fd_sc_hd__and3_1 g5976__1617(.A (n_71), .B (n_96), .C
-       (instr_rdata_alu_i[13]), .X (csr_op_o[1]));
-  sky130_fd_sc_hd__o21ai_1 g5977__2802(.A1 (instr_rdata_alu_i[25]), .A2
-       (n_103), .B1 (n_94), .Y (n_155));
-  sky130_fd_sc_hd__nor2_1 g5981__1705(.A (instr_rdata_alu_i[14]), .B
-       (n_115), .Y (data_sign_extension_o));
-  sky130_fd_sc_hd__nor2b_1 g5982__5122(.A (n_109), .B_N (n_32), .Y
-       (data_type_o[1]));
-  sky130_fd_sc_hd__a21oi_1 g5983__8246(.A1 (n_73), .A2
-       (instr_rdata_alu_i[30]), .B1 (instr_rdata_i[10]), .Y (n_134));
-  sky130_fd_sc_hd__or2_1 g5984__7098(.A (n_69), .B (n_118), .X
-       (imm_b_mux_sel_o[1]));
-  sky130_fd_sc_hd__nor2_1 g5986__6131(.A (n_23), .B (n_111), .Y
-       (alu_operator_o[4]));
-  sky130_fd_sc_hd__nor2_1 g5987__1881(.A (n_69), .B
-       (imm_b_mux_sel_o[2]), .Y (n_142));
-  sky130_fd_sc_hd__nand2b_1 g5988__5115(.A_N (n_97), .B (n_4), .Y
-       (n_141));
-  sky130_fd_sc_hd__nand2_1 g5989__7482(.A (n_102), .B (n_225), .Y
-       (n_140));
-  sky130_fd_sc_hd__nor2_1 g5990__4733(.A (n_64), .B (n_58), .Y
-       (bt_b_mux_sel_o[0]));
-  sky130_fd_sc_hd__nand2_1 g5991__6161(.A (n_102), .B
-       (instr_rdata_alu_i[30]), .Y (n_138));
-  sky130_fd_sc_hd__nor3_1 g5992__9315(.A (bt_b_mux_sel_o[1]), .B
-       (n_69), .C (n_116), .Y (n_129));
-  sky130_fd_sc_hd__or3_1 g5993__9945(.A (n_6), .B (n_4), .C (n_115), .X
-       (n_128));
-  sky130_fd_sc_hd__nor2_1 g5994__2883(.A (imm_b_mux_sel_o[2]), .B
-       (n_108), .Y (n_127));
-  sky130_fd_sc_hd__nand3_1 g5995__2346(.A (n_93), .B (n_20), .C
-       (instr_rdata_alu_i[25]), .Y (n_126));
-  sky130_fd_sc_hd__nor4_1 g5996__1666(.A (n_29), .B (n_231), .C (n_47),
-       .D (n_98), .Y (mret_insn_o));
-  sky130_fd_sc_hd__nand3_1 g5997__7410(.A (n_225), .B (n_25), .C
-       (n_30), .Y (n_124));
-  sky130_fd_sc_hd__o22ai_1 g5998__6417(.A1 (n_1), .A2 (n_81), .B1
-       (n_21), .B2 (n_45), .Y (rf_ren_b_o));
-  sky130_fd_sc_hd__nor3_1 g5999__5477(.A (n_60), .B (n_86), .C (n_94),
-       .Y (n_122));
-  sky130_fd_sc_hd__nor3b_1 g6000__2398(.A (n_26), .B (n_94), .C_N
-       (n_60), .Y (n_121));
-  sky130_fd_sc_hd__nand3_1 g6001__5107(.A (n_109), .B (n_62), .C
-       (n_64), .Y (n_120));
-  sky130_fd_sc_hd__a21oi_1 g6002__6260(.A1 (n_71), .A2
-       (instr_rdata_alu_i[12]), .B1 (n_20), .Y (n_119));
-  sky130_fd_sc_hd__nor4_1 g6003__4319(.A (instr_rdata_i[24]), .B
-       (instr_rdata_i[21]), .C (n_29), .D (n_98), .Y (n_130));
-  sky130_fd_sc_hd__inv_2 g6007(.A (n_111), .Y (n_110));
-  sky130_fd_sc_hd__inv_2 g6008(.A (n_108), .Y (n_109));
-  sky130_fd_sc_hd__inv_1 g6013(.A (n_102), .Y (n_103));
-  sky130_fd_sc_hd__nor2_1 g6015__8428(.A (instr_first_cycle_i), .B
-       (n_62), .Y (n_118));
-  sky130_fd_sc_hd__nand2_1 g6016__5526(.A (n_70), .B (n_64), .Y
-       (n_116));
-  sky130_fd_sc_hd__nand2_1 g6017__6783(.A (n_80), .B (n_38), .Y
-       (n_115));
-  sky130_fd_sc_hd__nand2_1 g6020__3680(.A (bt_b_mux_sel_o[1]), .B
-       (instr_first_cycle_i), .Y (n_111));
-  sky130_fd_sc_hd__nor2_1 g6021__1617(.A (instr_rdata_alu_i[4]), .B
-       (n_81), .Y (n_108));
-  sky130_fd_sc_hd__nor2_1 g6022__2802(.A (n_33), .B (n_81), .Y (n_102));
-  sky130_fd_sc_hd__inv_2 g6023(.A (n_96), .Y (imm_a_mux_sel_o));
-  sky130_fd_sc_hd__inv_1 g6024(.A (n_94), .Y (n_93));
-  sky130_fd_sc_hd__a21oi_1 g6025__1705(.A1 (n_47), .A2
-       (instr_rdata_alu_i[29]), .B1 (instr_rdata_i[9]), .Y (n_92));
-  sky130_fd_sc_hd__o21ai_1 g6026__5122(.A1 (instr_rdata_alu_i[29]), .A2
-       (n_51), .B1 (instr_rdata_alu_i[28]), .Y (n_91));
-  sky130_fd_sc_hd__nand2_1 g6027__8246(.A (bt_b_mux_sel_o[1]), .B
-       (n_23), .Y (n_90));
-  sky130_fd_sc_hd__o21ai_1 g6028__7098(.A1 (instr_rdata_alu_i[30]), .A2
-       (n_40), .B1 (n_49), .Y (n_89));
-  sky130_fd_sc_hd__nor2_1 g6029__6131(.A (n_64), .B (n_54), .Y (n_99));
-  sky130_fd_sc_hd__nand3b_1 g6030__1881(.A_N (n_70), .B (n_49), .C
-       (n_54), .Y (n_98));
-  sky130_fd_sc_hd__nand2_1 g6031__5115(.A (n_80), .B (n_22), .Y (n_97));
-  sky130_fd_sc_hd__nor2_1 g6032__7482(.A (n_70), .B (n_54), .Y (n_96));
-  sky130_fd_sc_hd__nand3_1 g6033__4733(.A (n_80), .B (n_1), .C
-       (instr_rdata_alu_i[4]), .Y (n_94));
-  sky130_fd_sc_hd__clkinv_1 g6036(.A (n_74), .Y (n_86));
-  sky130_fd_sc_hd__buf_1 g6039(.A (n_82), .X (bt_a_mux_sel_o[1]));
-  sky130_fd_sc_hd__inv_2 g6040(.A (n_81), .Y (n_80));
-  sky130_fd_sc_hd__and2_1 g6041__6161(.A (n_59), .B (n_6), .X (n_79));
-  sky130_fd_sc_hd__o31a_1 g6042__9315(.A1 (instr_rdata_alu_i[28]), .A2
-       (instr_rdata_i[22]), .A3 (instr_rdata_i[21]), .B1 (n_54), .X
-       (n_78));
-  sky130_fd_sc_hd__nor2_1 g6043__9945(.A (instr_rdata_alu_i[25]), .B
-       (n_59), .Y (n_77));
-  sky130_fd_sc_hd__nor2b_1 g6044__2883(.A (n_59), .B_N (n_30), .Y
-       (n_76));
-  sky130_fd_sc_hd__nor3b_1 g6045__2346(.A (n_32), .B (n_25), .C_N
-       (n_31), .Y (n_75));
-  sky130_fd_sc_hd__nand2_1 g6046__1666(.A (n_239), .B (n_3), .Y (n_74));
-  sky130_fd_sc_hd__nand2b_1 g6047__7410(.A_N (n_44), .B (n_56), .Y
-       (n_82));
-  sky130_fd_sc_hd__nand2_2 g6048__6417(.A (n_46), .B (n_8), .Y (n_81));
-  sky130_fd_sc_hd__clkinv_1 g6053(.A (bt_b_mux_sel_o[1]), .Y (n_62));
-  sky130_fd_sc_hd__o21a_1 g6054__5477(.A1 (instr_rdata_alu_i[12]), .A2
-       (n_226), .B1 (n_58), .X (n_61));
-  sky130_fd_sc_hd__nand4_1 g6055__2398(.A (instr_rdata_i[24]), .B
-       (instr_rdata_alu_i[25]), .C (instr_rdata_alu_i[27]), .D
-       (instr_rdata_alu_i[29]), .Y (n_73));
-  sky130_fd_sc_hd__nand4_1 g6056__5107(.A (n_56), .B (n_22), .C
-       (instr_rdata_alu_i[3]), .D (instr_rdata_alu_i[6]), .Y (n_72));
-  sky130_fd_sc_hd__or3_1 g6057__6260(.A (instr_rdata_i[18]), .B
-       (instr_rdata_i[19]), .C (n_42), .X (n_71));
-  sky130_fd_sc_hd__nand2_1 g6058__4319(.A (n_46), .B (n_50), .Y (n_70));
-  sky130_fd_sc_hd__nor2_1 g6059__8428(.A (n_39), .B (n_57), .Y (n_69));
-  sky130_fd_sc_hd__nand4_1 g6060__5526(.A (n_56), .B (n_38), .C
-       (instr_rdata_alu_i[3]), .D (n_8), .Y (n_64));
-  sky130_fd_sc_hd__inv_2 g6062(.A (n_57), .Y (n_56));
-  sky130_fd_sc_hd__inv_2 g6063(.A (n_55), .Y (n_54));
-  sky130_fd_sc_hd__nand2_1 g6064__6783(.A (n_30), .B
-       (instr_rdata_alu_i[12]), .Y (n_53));
-  sky130_fd_sc_hd__nor2_1 g6066__3680(.A (instr_rdata_i[21]), .B
-       (n_37), .Y (n_51));
-  sky130_fd_sc_hd__nor2_1 g6067__1617(.A (n_8), .B (n_33), .Y (n_50));
-  sky130_fd_sc_hd__nand2_1 g6068__2802(.A (n_20), .B
-       (instr_rdata_alu_i[14]), .Y (n_60));
-  sky130_fd_sc_hd__nor2b_1 g6069__1705(.A (n_25), .B_N (n_26), .Y
-       (n_59));
-  sky130_fd_sc_hd__nand2_1 g6070__5122(.A (n_226), .B
-       (instr_rdata_alu_i[12]), .Y (n_58));
-  sky130_fd_sc_hd__nand3_1 g6071__8246(.A (instr_rdata_alu_i[1]), .B
-       (instr_rdata_alu_i[0]), .C (instr_rdata_alu_i[2]), .Y (n_57));
-  sky130_fd_sc_hd__nand2_1 g6072__7098(.A (n_226), .B (n_5), .Y (n_55));
-  sky130_fd_sc_hd__inv_2 g6073(.A (n_45), .Y (n_46));
-  sky130_fd_sc_hd__or3_1 g6074__6131(.A (instr_rdata_i[15]), .B
-       (instr_rdata_i[17]), .C (instr_rdata_i[16]), .X (n_42));
-  sky130_fd_sc_hd__nor3_1 g6076__1881(.A (instr_rdata_alu_i[27]), .B
-       (instr_rdata_alu_i[25]), .C (instr_rdata_i[24]), .Y (n_40));
-  sky130_fd_sc_hd__nand3_1 g6077__5115(.A (n_8), .B (n_11), .C
-       (instr_rdata_alu_i[4]), .Y (n_39));
-  sky130_fd_sc_hd__nor3_1 g6078__7482(.A (instr_rdata_alu_i[31]), .B
-       (instr_rdata_alu_i[26]), .C (instr_rdata_i[23]), .Y (n_49));
-  sky130_fd_sc_hd__a21oi_1 g6079__4733(.A1 (instr_rdata_alu_i[12]), .A2
-       (n_4), .B1 (instr_rdata_alu_i[13]), .Y (n_48));
-  sky130_fd_sc_hd__nand3_1 g6080__6161(.A (n_36), .B
-       (instr_rdata_i[21]), .C (instr_rdata_alu_i[28]), .Y (n_47));
-  sky130_fd_sc_hd__nand4_1 g6081__9315(.A (n_13), .B (n_11), .C
-       (instr_rdata_alu_i[1]), .D (instr_rdata_alu_i[0]), .Y (n_45));
-  sky130_fd_sc_hd__nand2_1 g6082__9945(.A (n_22), .B (n_18), .Y (n_44));
-  sky130_fd_sc_hd__inv_2 g6085(.A (n_28), .Y (n_29));
-  sky130_fd_sc_hd__nor2_1 g6087__2883(.A (instr_rdata_alu_i[4]), .B
-       (instr_rdata_alu_i[5]), .Y (n_38));
-  sky130_fd_sc_hd__nand2_1 g6088__2346(.A (instr_rdata_i[22]), .B
-       (instr_rdata_i[20]), .Y (n_37));
-  sky130_fd_sc_hd__nor2_1 g6089__1666(.A (instr_rdata_i[20]), .B
-       (instr_rdata_i[22]), .Y (n_36));
-  sky130_fd_sc_hd__nand2_1 g6090__7410(.A (instr_rdata_alu_i[1]), .B
-       (instr_rdata_alu_i[0]), .Y (n_34));
-  sky130_fd_sc_hd__nand2_1 g6091__6417(.A (instr_rdata_alu_i[5]), .B
-       (instr_rdata_alu_i[4]), .Y (n_33));
-  sky130_fd_sc_hd__nor2_1 g6092__5477(.A (instr_rdata_alu_i[13]), .B
-       (instr_rdata_alu_i[12]), .Y (n_32));
-  sky130_fd_sc_hd__nand2_1 g6093__2398(.A (instr_rdata_alu_i[12]), .B
-       (instr_rdata_alu_i[13]), .Y (n_31));
-  sky130_fd_sc_hd__nor2_1 g6094__5107(.A (instr_rdata_alu_i[13]), .B
-       (instr_rdata_alu_i[25]), .Y (n_30));
-  sky130_fd_sc_hd__nor2_1 g6095__6260(.A (instr_rdata_alu_i[25]), .B
-       (instr_rdata_alu_i[30]), .Y (n_28));
-  sky130_fd_sc_hd__inv_2 g6097(.A (n_21), .Y (n_22));
-  sky130_fd_sc_hd__nor2_1 g6099__4319(.A (instr_rdata_alu_i[3]), .B
-       (n_8), .Y (n_18));
-  sky130_fd_sc_hd__nand2_1 g6100__8428(.A (n_5), .B
-       (instr_rdata_alu_i[14]), .Y (n_17));
-  sky130_fd_sc_hd__nor2_1 g6101__5526(.A (n_6), .B
-       (instr_rdata_alu_i[12]), .Y (n_16));
-  sky130_fd_sc_hd__nand2_1 g6103__6783(.A (instr_rdata_alu_i[12]), .B
-       (instr_rdata_alu_i[14]), .Y (n_26));
-  sky130_fd_sc_hd__nor2_1 g6104__3680(.A (instr_rdata_alu_i[14]), .B
-       (instr_rdata_alu_i[12]), .Y (n_25));
-  sky130_fd_sc_hd__nor2_1 g6106__1617(.A (instr_rdata_alu_i[14]), .B
-       (n_6), .Y (n_23));
-  sky130_fd_sc_hd__nand2_1 g6107__2802(.A (n_9), .B
-       (instr_rdata_alu_i[5]), .Y (n_21));
-  sky130_fd_sc_hd__and2_1 g6108__1705(.A (n_6), .B
-       (instr_rdata_alu_i[12]), .X (n_20));
-  sky130_fd_sc_hd__inv_2 g6109(.A (instr_rdata_alu_i[2]), .Y (n_13));
-  sky130_fd_sc_hd__inv_2 g6111(.A (instr_rdata_alu_i[3]), .Y (n_11));
-  sky130_fd_sc_hd__inv_2 g6113(.A (instr_rdata_alu_i[4]), .Y (n_9));
-  sky130_fd_sc_hd__inv_2 g6114(.A (instr_rdata_alu_i[6]), .Y (n_8));
-  sky130_fd_sc_hd__clkinv_1 g6115(.A (instr_rdata_alu_i[30]), .Y (n_7));
-  sky130_fd_sc_hd__inv_2 g6116(.A (instr_rdata_alu_i[13]), .Y (n_6));
-  sky130_fd_sc_hd__inv_1 g6117(.A (instr_rdata_alu_i[12]), .Y (n_5));
-  sky130_fd_sc_hd__inv_2 g6118(.A (instr_rdata_alu_i[14]), .Y (n_4));
-  sky130_fd_sc_hd__inv_1 g6119(.A (instr_rdata_alu_i[31]), .Y (n_3));
-  sky130_fd_sc_hd__clkinv_1 g6121(.A (instr_rdata_alu_i[5]), .Y (n_1));
-  sky130_fd_sc_hd__nand2_1 g6123__5122(.A (n_82), .B (n_72), .Y
-       (imm_b_mux_sel_o[2]));
-  sky130_fd_sc_hd__nor2b_1 g2__8246(.A (instr_rdata_alu_i[26]), .B_N
-       (n_86), .Y (n_225));
-  sky130_fd_sc_hd__and2_0 g6124__7098(.A (n_4), .B (n_6), .X (n_226));
-  sky130_fd_sc_hd__and3b_1 g6125__6131(.A_N (n_116), .B (n_141), .C
-       (n_115), .X (n_227));
-  sky130_fd_sc_hd__nor2b_1 g6127__1881(.A (n_109), .B_N (n_20), .Y
-       (data_type_o[0]));
-  sky130_fd_sc_hd__or3b_1 g6129__5115(.A (instr_rdata_i[24]), .B
-       (instr_rdata_alu_i[27]), .C_N (instr_rdata_alu_i[29]), .X
-       (n_231));
-  sky130_fd_sc_hd__nand3_1 g6130__7482(.A (n_240), .B (n_188), .C
-       (n_189), .Y (alu_operator_o[2]));
-  sky130_fd_sc_hd__a221o_2 g6131__4733(.A1 (n_192), .A2 (n_7), .B1
-       (n_170), .B2 (n_16), .C1 (n_168), .X (alu_operator_o[0]));
-  sky130_fd_sc_hd__a211o_1 g6132__6161(.A1 (n_166), .A2 (n_7), .B1
-       (n_192), .C1 (n_156), .X (alu_operator_o[3]));
-  sky130_fd_sc_hd__nor3b_1 g6134__9315(.A (imm_b_mux_sel_o[2]), .B
-       (n_69), .C_N (n_94), .Y (n_236));
-  sky130_fd_sc_hd__and3b_1 g6135__9945(.A_N (n_140), .B (n_7), .C
-       (instr_rdata_alu_i[25]), .X (n_237));
-  sky130_fd_sc_hd__nor3b_2 g6136__2883(.A (n_44), .B (n_34), .C_N
-       (n_13), .Y (bt_b_mux_sel_o[1]));
-  sky130_fd_sc_hd__nor3_1 g6137__2346(.A (instr_rdata_alu_i[29]), .B
-       (instr_rdata_alu_i[28]), .C (instr_rdata_alu_i[27]), .Y (n_239));
-  sky130_fd_sc_hd__o221a_1 g6138__1666(.A1 (n_120), .A2 (n_185), .B1
-       (n_225), .B2 (n_103), .C1 (n_164), .X (n_240));
+module ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1 (
+	clk_i, 
+	rst_ni, 
+	illegal_insn_o, 
+	ebrk_insn_o, 
+	mret_insn_o, 
+	dret_insn_o, 
+	ecall_insn_o, 
+	wfi_insn_o, 
+	jump_set_o, 
+	branch_taken_i, 
+	icache_inval_o, 
+	instr_first_cycle_i, 
+	instr_rdata_i, 
+	instr_rdata_alu_i, 
+	illegal_c_insn_i, 
+	imm_a_mux_sel_o, 
+	imm_b_mux_sel_o, 
+	bt_a_mux_sel_o, 
+	bt_b_mux_sel_o, 
+	imm_i_type_o, 
+	imm_s_type_o, 
+	imm_b_type_o, 
+	imm_u_type_o, 
+	imm_j_type_o, 
+	zimm_rs1_type_o, 
+	rf_wdata_sel_o, 
+	rf_we_o, 
+	rf_raddr_a_o, 
+	rf_raddr_b_o, 
+	rf_waddr_o, 
+	rf_ren_a_o, 
+	rf_ren_b_o, 
+	alu_operator_o, 
+	alu_op_a_mux_sel_o, 
+	alu_op_b_mux_sel_o, 
+	alu_multicycle_o, 
+	mult_en_o, 
+	div_en_o, 
+	mult_sel_o, 
+	div_sel_o, 
+	multdiv_operator_o, 
+	multdiv_signed_mode_o, 
+	csr_access_o, 
+	csr_op_o, 
+	data_req_o, 
+	data_we_o, 
+	data_type_o, 
+	data_sign_extension_o, 
+	jump_in_dec_o, 
+	branch_in_dec_o, 
+	FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30, 
+	FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29, 
+	FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27, 
+	FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24, 
+	FE_OFN16_u_soc_u_top_u_core_instr_rdata_id_14, 
+	FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12, 
+	FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30, 
+	FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31, 
+	FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28, 
+	FE_OFN25_n, 
+	FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14, 
+	FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25, 
+	FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12, 
+	FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12, 
+	FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30, 
+	vccd1, 
+	vssd1);
+   input clk_i;
+   input rst_ni;
+   output illegal_insn_o;
+   output ebrk_insn_o;
+   output mret_insn_o;
+   output dret_insn_o;
+   output ecall_insn_o;
+   output wfi_insn_o;
+   output jump_set_o;
+   input branch_taken_i;
+   output icache_inval_o;
+   input instr_first_cycle_i;
+   input [31:0] instr_rdata_i;
+   input [31:0] instr_rdata_alu_i;
+   input illegal_c_insn_i;
+   output imm_a_mux_sel_o;
+   output [2:0] imm_b_mux_sel_o;
+   output [1:0] bt_a_mux_sel_o;
+   output [2:0] bt_b_mux_sel_o;
+   output [31:0] imm_i_type_o;
+   output [31:0] imm_s_type_o;
+   output [31:0] imm_b_type_o;
+   output [31:0] imm_u_type_o;
+   output [31:0] imm_j_type_o;
+   output [31:0] zimm_rs1_type_o;
+   output rf_wdata_sel_o;
+   output rf_we_o;
+   output [4:0] rf_raddr_a_o;
+   output [4:0] rf_raddr_b_o;
+   output [4:0] rf_waddr_o;
+   output rf_ren_a_o;
+   output rf_ren_b_o;
+   output [5:0] alu_operator_o;
+   output [1:0] alu_op_a_mux_sel_o;
+   output alu_op_b_mux_sel_o;
+   output alu_multicycle_o;
+   output mult_en_o;
+   output div_en_o;
+   output mult_sel_o;
+   output div_sel_o;
+   output [1:0] multdiv_operator_o;
+   output [1:0] multdiv_signed_mode_o;
+   output csr_access_o;
+   output [1:0] csr_op_o;
+   output data_req_o;
+   output data_we_o;
+   output [1:0] data_type_o;
+   output data_sign_extension_o;
+   output jump_in_dec_o;
+   output branch_in_dec_o;
+   input FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30;
+   input FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29;
+   input FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27;
+   input FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24;
+   input FE_OFN16_u_soc_u_top_u_core_instr_rdata_id_14;
+   input FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12;
+   input FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30;
+   input FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31;
+   input FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28;
+   input FE_OFN25_n;
+   input FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14;
+   input FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25;
+   input FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12;
+   input FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12;
+   input FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire FE_COEN4873_n_153;
+   wire FE_COEN4868_n_54;
+   wire FE_COEN4865_n_109;
+   wire FE_PSN4839_n_44;
+   wire FE_PSN4832_n_11;
+   wire FE_PSN4830_n_103;
+   wire FE_PSN4829_n_108;
+   wire FE_PSN4827_n_240;
+   wire FE_PSN4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_PSN4803_n_239;
+   wire FE_PSN4799_n_236;
+   wire FE_PSN4798_n_56;
+   wire FE_PSRN_2;
+   wire FE_COEN4704_n_58;
+   wire FE_COEN4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
+   wire FE_COEN4682_n_165;
+   wire FE_COEN4679_n_115;
+   wire FE_PSN4642_n_94;
+   wire FE_PSN4460_u_soc_u_top_u_core_mult_sel_ex;
+   wire FE_PSN4446_n_185;
+   wire FE_PSN4445_n_81;
+   wire FE_PSN4442_n_70;
+   wire FE_PSN4441_n_166;
+   wire FE_PSN4437_n_99;
+   wire FE_PSN4435_n_86;
+   wire FE_PSN4386_n_94;
+   wire FE_COEN4326_n_32;
+   wire FE_COEN4305_FE_RN_115_0;
+   wire FE_COEN4296_n_60;
+   wire FE_COEN4291_n_239;
+   wire FE_COEN4286_n_225;
+   wire FE_COEN4284_n_54;
+   wire FE_COEN4281_n_176;
+   wire FE_COEN4280_n_226;
+   wire FE_RN_128_0;
+   wire FE_RN_127_0;
+   wire FE_RN_126_0;
+   wire FE_RN_121_0;
+   wire FE_RN_116_0;
+   wire FE_RN_115_0;
+   wire FE_RN_100_0;
+   wire FE_RN_99_0;
+   wire FE_RN_98_0;
+   wire FE_RN_1;
+   wire FE_OFN18289_n_45;
+   wire FE_OFN18288_n_57;
+   wire FE_OFN18284_u_soc_u_top_u_core_instr_rdata_id_6;
+   wire FE_OFN18255_n_74;
+   wire FE_OFN18253_n_55;
+   wire FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
+   wire n_1;
+   wire n_4;
+   wire n_6;
+   wire n_8;
+   wire n_9;
+   wire n_11;
+   wire n_13;
+   wire n_16;
+   wire n_17;
+   wire n_18;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_25;
+   wire n_26;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_33;
+   wire n_34;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_42;
+   wire n_44;
+   wire n_45;
+   wire n_46;
+   wire n_47;
+   wire n_48;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_53;
+   wire n_54;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_59;
+   wire n_60;
+   wire n_61;
+   wire n_62;
+   wire n_64;
+   wire n_69;
+   wire n_70;
+   wire n_71;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_81;
+   wire n_86;
+   wire n_89;
+   wire n_90;
+   wire n_91;
+   wire n_92;
+   wire n_93;
+   wire n_94;
+   wire n_96;
+   wire n_97;
+   wire n_98;
+   wire n_99;
+   wire n_102;
+   wire n_103;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_115;
+   wire n_116;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_121;
+   wire n_122;
+   wire n_124;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_134;
+   wire n_138;
+   wire n_140;
+   wire n_141;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_153;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_164;
+   wire n_165;
+   wire n_166;
+   wire n_167;
+   wire n_168;
+   wire n_169;
+   wire n_170;
+   wire n_171;
+   wire n_172;
+   wire n_173;
+   wire n_174;
+   wire n_176;
+   wire n_177;
+   wire n_178;
+   wire n_182;
+   wire n_185;
+   wire n_186;
+   wire n_188;
+   wire n_189;
+   wire n_191;
+   wire n_192;
+   wire n_193;
+   wire n_196;
+   wire n_207;
+   wire n_208;
+   wire n_225;
+   wire n_226;
+   wire n_227;
+   wire n_231;
+   wire n_236;
+   wire n_237;
+   wire n_239;
+   wire n_240;
+
+   // Module instantiations
+   sky130_fd_sc_hd__buf_6 FE_COEC4873_n_153 (
+	.A(n_153),
+	.X(FE_COEN4873_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4868_n_54 (
+	.A(n_54),
+	.X(FE_COEN4868_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4865_n_109 (
+	.A(n_109),
+	.X(FE_COEN4865_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4839_n_44 (
+	.A(n_44),
+	.X(FE_PSN4839_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4832_n_11 (
+	.A(n_11),
+	.X(FE_PSN4832_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4830_n_103 (
+	.A(n_103),
+	.X(FE_PSN4830_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4829_n_108 (
+	.A(n_108),
+	.X(FE_PSN4829_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4827_n_240 (
+	.A(n_240),
+	.X(FE_PSN4827_n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(bt_a_mux_sel_o[1]),
+	.X(FE_PSN4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4803_n_239 (
+	.A(n_239),
+	.X(FE_PSN4803_n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4799_n_236 (
+	.A(n_236),
+	.X(FE_PSN4799_n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4798_n_56 (
+	.A(n_56),
+	.X(FE_PSN4798_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4797_u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec_2 (
+	.A(FE_PSRN_2),
+	.X(imm_b_mux_sel_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4704_n_58 (
+	.A(n_58),
+	.X(FE_COEN4704_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
+	.A(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.X(FE_COEN4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4682_n_165 (
+	.A(n_165),
+	.X(FE_COEN4682_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4679_n_115 (
+	.A(n_115),
+	.X(FE_COEN4679_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4642_n_94 (
+	.A(n_94),
+	.X(FE_PSN4642_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4460_u_soc_u_top_u_core_mult_sel_ex (
+	.A(mult_sel_o),
+	.X(FE_PSN4460_u_soc_u_top_u_core_mult_sel_ex), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4446_n_185 (
+	.A(n_185),
+	.X(FE_PSN4446_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4445_n_81 (
+	.A(n_81),
+	.X(FE_PSN4445_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4442_n_70 (
+	.A(n_70),
+	.X(FE_PSN4442_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4441_n_166 (
+	.A(n_166),
+	.X(FE_PSN4441_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4437_n_99 (
+	.A(n_99),
+	.X(FE_PSN4437_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4435_n_86 (
+	.A(n_86),
+	.X(FE_PSN4435_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4386_n_94 (
+	.A(n_94),
+	.X(FE_PSN4386_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4326_n_32 (
+	.A(n_32),
+	.X(FE_COEN4326_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4305_FE_RN_115_0 (
+	.A(FE_RN_115_0),
+	.X(FE_COEN4305_FE_RN_115_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4296_n_60 (
+	.A(n_60),
+	.X(FE_COEN4296_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4291_n_239 (
+	.A(FE_PSN4803_n_239),
+	.X(FE_COEN4291_n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4286_n_225 (
+	.A(n_225),
+	.X(FE_COEN4286_n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4284_n_54 (
+	.A(FE_COEN4868_n_54),
+	.X(FE_COEN4284_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4281_n_176 (
+	.A(n_176),
+	.X(FE_COEN4281_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4280_n_226 (
+	.A(n_226),
+	.X(FE_COEN4280_n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_209_0 (
+	.A(FE_OFN18255_n_74),
+	.B(n_94),
+	.Y(FE_RN_127_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 FE_RC_208_0 (
+	.A_N(n_60),
+	.B(FE_RN_127_0),
+	.Y(FE_RN_128_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_207_0 (
+	.A(n_178),
+	.B(FE_RN_128_0),
+	.Y(n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 FE_RC_206_0 (
+	.A(n_8),
+	.B(instr_rdata_alu_i[3]),
+	.X(FE_RN_126_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 FE_RC_205_0 (
+	.A(n_38),
+	.B(FE_PSN4798_n_56),
+	.C(FE_RN_126_0),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC3856_n_74 (
+	.A(n_74),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_RC_194_0 (
+	.A(FE_RN_121_0),
+	.Y(n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_4 FE_RC_193_0 (
+	.A_N(n_140),
+	.B(FE_RN_1),
+	.C(instr_rdata_alu_i[25]),
+	.Y(FE_RN_121_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 FE_RC_182_0 (
+	.A(n_69),
+	.B(FE_PSRN_2),
+	.Y(FE_RN_115_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_181_0 (
+	.A(FE_RN_116_0),
+	.Y(n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_180_0 (
+	.A(FE_RN_115_0),
+	.B(n_94),
+	.Y(FE_RN_116_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_156_0 (
+	.A(n_13),
+	.Y(FE_RN_100_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 FE_RC_155_0 (
+	.A(n_34),
+	.B(FE_RN_100_0),
+	.C(n_44),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 FE_RC_154_0 (
+	.A(n_103),
+	.B(FE_COEN4286_n_225),
+	.X(FE_RN_98_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_RC_153_0 (
+	.A(FE_RN_99_0),
+	.Y(n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 FE_RC_152_0 (
+	.A1(n_120),
+	.A2(n_185),
+	.B1(n_164),
+	.C1(FE_RN_98_0),
+	.Y(FE_RN_99_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_4 FE_RC_133_0 (
+	.A(instr_rdata_alu_i[27]),
+	.B(instr_rdata_alu_i[28]),
+	.C(instr_rdata_alu_i[29]),
+	.Y(n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2853_u_soc_u_top_u_core_instr_rdata_id_4 (
+	.A(instr_rdata_alu_i[4]),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2828_n_28 (
+	.A(n_28),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2821_n_108 (
+	.A(n_108),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2820_u_soc_u_top_u_core_instr_rdata_id_5 (
+	.A(instr_rdata_alu_i[5]),
+	.Y(n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2809_u_soc_u_top_u_core_instr_rdata_id_6 (
+	.A(n_8),
+	.Y(FE_OFN18284_u_soc_u_top_u_core_instr_rdata_id_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC2808_u_soc_u_top_u_core_instr_rdata_id_6 (
+	.A(instr_rdata_alu_i[6]),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2771_n_56 (
+	.A(FE_PSN4798_n_56),
+	.Y(FE_OFN18288_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2768_n_55 (
+	.A(n_55),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2698_u_soc_u_top_u_core_instr_rdata_id_14 (
+	.A(instr_rdata_alu_i[14]),
+	.Y(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2621_u_soc_u_top_u_core_instr_rdata_id_30 (
+	.A(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.Y(FE_RN_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2563_n_111 (
+	.A(n_111),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2560_n_81 (
+	.A(n_81),
+	.Y(n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2362_n_96 (
+	.A(n_96),
+	.Y(imm_a_mux_sel_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2334_n_102 (
+	.A(n_102),
+	.Y(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2322_n_45 (
+	.A(n_46),
+	.Y(FE_OFN18289_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2321_n_45 (
+	.A(n_45),
+	.Y(n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2319_n_57 (
+	.A(n_57),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2236_u_soc_u_top_u_core_instr_rdata_id_13 (
+	.A(instr_rdata_alu_i[13]),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2223_n_74 (
+	.A(FE_PSN4435_n_86),
+	.Y(FE_OFN18255_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2213_n_55 (
+	.A(FE_COEN4284_n_54),
+	.Y(FE_OFN18253_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2208_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
+	.A(bt_b_mux_sel_o[1]),
+	.Y(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2207_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
+	.A(n_62),
+	.X(bt_b_mux_sel_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g5902__5526 (
+	.A(jump_in_dec_o),
+	.B(instr_first_cycle_i),
+	.X(jump_set_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g5903__6783 (
+	.A(n_109),
+	.B(illegal_insn_o),
+	.Y(data_req_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5904__3680 (
+	.A(FE_COEN4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.B(illegal_insn_o),
+	.Y(branch_in_dec_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5905__1617 (
+	.A(div_sel_o),
+	.B(illegal_insn_o),
+	.Y(div_en_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g5906__2802 (
+	.A(illegal_insn_o),
+	.B_N(FE_PSN4460_u_soc_u_top_u_core_mult_sel_ex),
+	.Y(mult_en_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5907__1705 (
+	.A(n_97),
+	.B(illegal_insn_o),
+	.Y(data_we_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5908__5122 (
+	.A(imm_a_mux_sel_o),
+	.B(illegal_insn_o),
+	.Y(csr_access_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5909__8246 (
+	.A1(n_186),
+	.A2(imm_a_mux_sel_o),
+	.B1(illegal_insn_o),
+	.Y(rf_we_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5910__7098 (
+	.A(n_158),
+	.B(illegal_insn_o),
+	.Y(jump_in_dec_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g5911__6131 (
+	.A(n_177),
+	.B(n_169),
+	.C(n_193),
+	.D(n_208),
+	.X(illegal_insn_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g5912__1881 (
+	.A(n_240),
+	.B(n_182),
+	.C(n_188),
+	.Y(alu_operator_o[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g5914__5115 (
+	.A(illegal_c_insn_i),
+	.B(n_147),
+	.C(FE_COEN4682_n_165),
+	.D(n_207),
+	.X(n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g5915__7482 (
+	.A1(n_160),
+	.A2(n_171),
+	.A3(n_174),
+	.B1(FE_PSN4442_n_70),
+	.Y(n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g5916__4733 (
+	.A(n_240),
+	.B(n_191),
+	.Y(alu_operator_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g5920__6161 (
+	.A(n_196),
+	.B(FE_PSN4799_n_236),
+	.C(n_227),
+	.Y(alu_op_b_mux_sel_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5921__9315 (
+	.A(instr_rdata_alu_i[27]),
+	.B(instr_rdata_alu_i[29]),
+	.C(n_172),
+	.Y(wfi_insn_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g5924__9945 (
+	.A(n_196),
+	.B(n_167),
+	.C(FE_COEN4305_FE_RN_115_0),
+	.Y(alu_op_a_mux_sel_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_2 g5927__2883 (
+	.A1(n_33),
+	.A2(FE_OFN18288_n_57),
+	.B1(n_167),
+	.C1(FE_COEN4281_n_176),
+	.Y(alu_op_a_mux_sel_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g5928__2346 (
+	.A1(n_225),
+	.A2(n_145),
+	.B1(n_31),
+	.B2(n_109),
+	.C1(n_146),
+	.Y(n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g5929__1666 (
+	.A(n_118),
+	.B(n_177),
+	.Y(n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5930__7410 (
+	.A1(n_155),
+	.A2(n_59),
+	.B1(n_173),
+	.Y(n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5932__6417 (
+	.A(n_159),
+	.B(n_121),
+	.C(n_157),
+	.Y(n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g5934 (
+	.A(FE_PSN4446_n_185),
+	.Y(n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5936__2398 (
+	.A1(n_110),
+	.A2(n_23),
+	.B1(n_165),
+	.Y(n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5937__5107 (
+	.A(n_61),
+	.B(FE_COEN4873_n_153),
+	.Y(multdiv_signed_mode_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5938__6260 (
+	.A1(FE_COEN4704_n_58),
+	.A2(n_17),
+	.B1(FE_COEN4873_n_153),
+	.Y(multdiv_signed_mode_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g5939__4319 (
+	.A(n_48),
+	.B(FE_COEN4873_n_153),
+	.Y(multdiv_operator_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5940__8428 (
+	.A(n_155),
+	.B(n_23),
+	.Y(n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5941__5526 (
+	.A(n_166),
+	.B(instr_rdata_alu_i[14]),
+	.Y(n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5942__6783 (
+	.A(instr_rdata_alu_i[14]),
+	.B(n_153),
+	.Y(mult_sel_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g5943__3680 (
+	.A(n_236),
+	.B(n_103),
+	.Y(n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5944__1617 (
+	.A(n_237),
+	.B(instr_rdata_alu_i[14]),
+	.Y(div_sel_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5945 (
+	.A(n_176),
+	.Y(n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g5947__2802 (
+	.A1(FE_COEN4284_n_54),
+	.A2(n_89),
+	.B1(FE_OFN18253_n_55),
+	.B2(FE_COEN4326_n_32),
+	.C1(n_78),
+	.C2(n_91),
+	.Y(n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g5948__1705 (
+	.A1(n_75),
+	.A2(n_111),
+	.B1(n_30),
+	.B2(n_138),
+	.Y(n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g5949__5122 (
+	.A_N(n_37),
+	.B(n_130),
+	.C(instr_rdata_alu_i[28]),
+	.Y(n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g5950__8246 (
+	.A1(n_92),
+	.A2(n_134),
+	.B1(FE_OFN18253_n_55),
+	.X(n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g5951__7098 (
+	.A1(n_29),
+	.A2(n_140),
+	.B1(n_94),
+	.Y(n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g5952__6131 (
+	.A1(n_226),
+	.A2(n_64),
+	.B1(n_90),
+	.C1(n_128),
+	.D1(n_126),
+	.Y(n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g5953__1881 (
+	.A1_N(n_48),
+	.A2_N(n_110),
+	.B1(n_124),
+	.B2(n_138),
+	.Y(n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g5954__5115 (
+	.A(n_127),
+	.B(n_103),
+	.C(n_129),
+	.D(FE_PSN4642_n_94),
+	.Y(n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5955__7482 (
+	.A(n_99),
+	.B(n_122),
+	.Y(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g5956__4733 (
+	.A_N(bt_b_mux_sel_o[0]),
+	.B(n_72),
+	.Y(bt_b_mux_sel_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5957__6161 (
+	.A(n_141),
+	.B(FE_COEN4305_FE_RN_115_0),
+	.Y(imm_b_mux_sel_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5958__9315 (
+	.A(imm_a_mux_sel_o),
+	.B(n_119),
+	.Y(csr_op_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g5959__9945 (
+	.A1(n_71),
+	.A2(instr_rdata_i[11]),
+	.A3(instr_rdata_i[7]),
+	.A4(instr_rdata_i[8]),
+	.B1(FE_COEN4284_n_54),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g5960__2883 (
+	.A1(instr_rdata_alu_i[25]),
+	.A2(n_31),
+	.A3(FE_PSN4830_n_103),
+	.B1(n_111),
+	.B2(n_79),
+	.Y(n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5961__2346 (
+	.A(imm_b_mux_sel_o[2]),
+	.B(bt_b_mux_sel_o[0]),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5962__1666 (
+	.A(n_77),
+	.B(n_138),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5963__7410 (
+	.A1(FE_PSN4386_n_94),
+	.A2(n_111),
+	.B1(n_58),
+	.Y(n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g5964__6417 (
+	.A1(n_96),
+	.A2(instr_rdata_alu_i[14]),
+	.B1(FE_PSN4437_n_99),
+	.Y(n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g5965__5477 (
+	.A(n_53),
+	.B(n_140),
+	.Y(n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g5966__2398 (
+	.A(n_76),
+	.B(n_138),
+	.Y(n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g5967 (
+	.A(n_237),
+	.Y(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g5969__5107 (
+	.A_N(instr_rdata_i[22]),
+	.B(n_130),
+	.C(FE_COEN4291_n_239),
+	.D(instr_rdata_i[20]),
+	.X(ebrk_insn_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g5970__6260 (
+	.A(FE_RN_1),
+	.B(n_73),
+	.C(n_47),
+	.D(n_98),
+	.Y(dret_insn_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g5971__4319 (
+	.A(n_130),
+	.B(n_36),
+	.C(FE_COEN4291_n_239),
+	.X(ecall_insn_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5972__8428 (
+	.A(n_28),
+	.B(n_58),
+	.C(n_94),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g5973__5526 (
+	.A1(FE_COEN4868_n_54),
+	.A2(FE_PSN4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_4),
+	.B2(n_97),
+	.X(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5974__6783 (
+	.A1(n_93),
+	.A2(n_20),
+	.B1(n_102),
+	.Y(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g5975__3680 (
+	.A1(instr_rdata_alu_i[14]),
+	.A2(imm_a_mux_sel_o),
+	.B1(n_34),
+	.B2(FE_PSN4839_n_44),
+	.C1(FE_PSN4445_n_81),
+	.Y(rf_ren_a_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g5976__1617 (
+	.A(n_71),
+	.B(n_96),
+	.C(instr_rdata_alu_i[13]),
+	.X(csr_op_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g5977__2802 (
+	.A1(instr_rdata_alu_i[25]),
+	.A2(FE_PSN4830_n_103),
+	.B1(FE_PSN4386_n_94),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5981__1705 (
+	.A(FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14),
+	.B(FE_COEN4679_n_115),
+	.Y(data_sign_extension_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g5982__5122 (
+	.A(FE_COEN4865_n_109),
+	.B_N(n_32),
+	.Y(data_type_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5983__8246 (
+	.A1(n_73),
+	.A2(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.B1(instr_rdata_i[10]),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g5984__7098 (
+	.A(n_69),
+	.B(n_118),
+	.X(imm_b_mux_sel_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5986__6131 (
+	.A(n_23),
+	.B(n_111),
+	.Y(alu_operator_o[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g5988__5115 (
+	.A_N(n_97),
+	.B(n_4),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g5989__7482 (
+	.A(n_102),
+	.B(n_225),
+	.Y(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5990__4733 (
+	.A(n_64),
+	.B(n_58),
+	.Y(bt_b_mux_sel_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5991__6161 (
+	.A(n_102),
+	.B(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g5992__9315 (
+	.A(bt_b_mux_sel_o[1]),
+	.B(n_69),
+	.C(n_116),
+	.Y(n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g5993__9945 (
+	.A(n_6),
+	.B(n_4),
+	.C(FE_COEN4679_n_115),
+	.X(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5994__2883 (
+	.A(FE_PSRN_2),
+	.B(FE_PSN4829_n_108),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g5995__2346 (
+	.A(n_93),
+	.B(n_20),
+	.C(instr_rdata_alu_i[25]),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g5996__1666 (
+	.A(n_29),
+	.B(n_231),
+	.C(n_47),
+	.D(n_98),
+	.Y(mret_insn_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g5997__7410 (
+	.A(FE_COEN4286_n_225),
+	.B(n_25),
+	.C(n_30),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g5998__6417 (
+	.A1(n_1),
+	.A2(FE_PSN4445_n_81),
+	.B1(n_21),
+	.B2(FE_OFN18289_n_45),
+	.Y(rf_ren_b_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g5999__5477 (
+	.A(n_60),
+	.B(FE_PSN4435_n_86),
+	.C(n_94),
+	.Y(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g6000__2398 (
+	.A(n_26),
+	.B(FE_PSN4386_n_94),
+	.C_N(FE_COEN4296_n_60),
+	.Y(n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6001__5107 (
+	.A(n_109),
+	.B(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.C(n_64),
+	.Y(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6002__6260 (
+	.A1(n_71),
+	.A2(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B1(n_20),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g6003__4319 (
+	.A(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
+	.B(instr_rdata_i[21]),
+	.C(n_29),
+	.D(n_98),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g6015__8428 (
+	.A(instr_first_cycle_i),
+	.B(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g6016__5526 (
+	.A(n_70),
+	.B(n_64),
+	.Y(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6017__6783 (
+	.A(n_80),
+	.B(n_38),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g6020__3680 (
+	.A(bt_b_mux_sel_o[1]),
+	.B(instr_first_cycle_i),
+	.Y(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6021__1617 (
+	.A(n_9),
+	.B(n_81),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g6022__2802 (
+	.A(n_33),
+	.B(n_81),
+	.Y(n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6024 (
+	.A(n_94),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6025__1705 (
+	.A1(n_47),
+	.A2(instr_rdata_alu_i[29]),
+	.B1(instr_rdata_i[9]),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6026__5122 (
+	.A1(FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29),
+	.A2(n_51),
+	.B1(FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28),
+	.Y(n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6027__8246 (
+	.A(bt_b_mux_sel_o[1]),
+	.B(n_23),
+	.Y(n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6028__7098 (
+	.A1(FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30),
+	.A2(n_40),
+	.B1(n_49),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6029__6131 (
+	.A(n_64),
+	.B(n_54),
+	.Y(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g6030__1881 (
+	.A_N(FE_PSN4442_n_70),
+	.B(n_49),
+	.C(FE_COEN4284_n_54),
+	.Y(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6031__5115 (
+	.A(n_80),
+	.B(n_22),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g6032__7482 (
+	.A(n_70),
+	.B(FE_COEN4868_n_54),
+	.Y(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 g6033__4733 (
+	.A(n_80),
+	.B(n_1),
+	.C(n_9),
+	.Y(n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g6041__6161 (
+	.A(n_59),
+	.B(n_6),
+	.X(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g6042__9315 (
+	.A1(FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28),
+	.A2(instr_rdata_i[22]),
+	.A3(instr_rdata_i[21]),
+	.B1(FE_COEN4284_n_54),
+	.X(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6043__9945 (
+	.A(instr_rdata_alu_i[25]),
+	.B(n_59),
+	.Y(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g6044__2883 (
+	.A(n_59),
+	.B_N(n_30),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g6045__2346 (
+	.A(n_32),
+	.B(n_25),
+	.C_N(n_31),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g6046__1666 (
+	.A(n_239),
+	.B(instr_rdata_alu_i[31]),
+	.Y(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g6047__7410 (
+	.A_N(n_44),
+	.B(n_56),
+	.Y(bt_a_mux_sel_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g6048__6417 (
+	.A(n_46),
+	.B(n_8),
+	.Y(n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g6054__5477 (
+	.A1(FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A2(FE_COEN4280_n_226),
+	.B1(FE_COEN4704_n_58),
+	.X(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g6055__2398 (
+	.A(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
+	.B(FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25),
+	.C(FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27),
+	.D(instr_rdata_alu_i[29]),
+	.Y(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g6056__5107 (
+	.A(n_56),
+	.B(n_22),
+	.C(instr_rdata_alu_i[3]),
+	.D(FE_OFN18284_u_soc_u_top_u_core_instr_rdata_id_6),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g6057__6260 (
+	.A(instr_rdata_i[18]),
+	.B(instr_rdata_i[19]),
+	.C(n_42),
+	.X(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6058__4319 (
+	.A(n_46),
+	.B(n_50),
+	.Y(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g6059__8428 (
+	.A(n_39),
+	.B(FE_OFN18288_n_57),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6064__6783 (
+	.A(n_30),
+	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6066__3680 (
+	.A(instr_rdata_i[21]),
+	.B(n_37),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6067__1617 (
+	.A(n_8),
+	.B(n_33),
+	.Y(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g6068__2802 (
+	.A(n_20),
+	.B(instr_rdata_alu_i[14]),
+	.Y(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g6069__1705 (
+	.A(n_25),
+	.B_N(n_26),
+	.Y(n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6070__5122 (
+	.A(n_226),
+	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6071__8246 (
+	.A(instr_rdata_alu_i[1]),
+	.B(instr_rdata_alu_i[0]),
+	.C(instr_rdata_alu_i[2]),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6072__7098 (
+	.A(n_226),
+	.B(FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g6074__6131 (
+	.A(instr_rdata_i[15]),
+	.B(instr_rdata_i[17]),
+	.C(instr_rdata_i[16]),
+	.X(n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g6076__1881 (
+	.A(FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27),
+	.B(FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25),
+	.C(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6077__5115 (
+	.A(n_8),
+	.B(FE_PSN4832_n_11),
+	.C(n_9),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g6078__7482 (
+	.A(FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31),
+	.B(instr_rdata_alu_i[26]),
+	.C(instr_rdata_i[23]),
+	.Y(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g6079__4733 (
+	.A1(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A2(n_4),
+	.B1(instr_rdata_alu_i[13]),
+	.Y(n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6080__6161 (
+	.A(n_36),
+	.B(instr_rdata_i[21]),
+	.C(instr_rdata_alu_i[28]),
+	.Y(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_4 g6081__9315 (
+	.A(n_13),
+	.B(n_11),
+	.C(instr_rdata_alu_i[1]),
+	.D(instr_rdata_alu_i[0]),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g6082__9945 (
+	.A(n_22),
+	.B(n_18),
+	.Y(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6087__2883 (
+	.A(n_9),
+	.B(instr_rdata_alu_i[5]),
+	.Y(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6088__2346 (
+	.A(instr_rdata_i[22]),
+	.B(FE_OFN25_n),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6089__1666 (
+	.A(instr_rdata_i[20]),
+	.B(instr_rdata_i[22]),
+	.Y(n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6090__7410 (
+	.A(instr_rdata_alu_i[1]),
+	.B(instr_rdata_alu_i[0]),
+	.Y(n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g6091__6417 (
+	.A(instr_rdata_alu_i[5]),
+	.B(n_9),
+	.Y(n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6092__5477 (
+	.A(instr_rdata_alu_i[13]),
+	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6093__2398 (
+	.A(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(instr_rdata_alu_i[13]),
+	.Y(n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6094__5107 (
+	.A(instr_rdata_alu_i[13]),
+	.B(instr_rdata_alu_i[25]),
+	.Y(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6095__6260 (
+	.A(instr_rdata_alu_i[25]),
+	.B(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6097 (
+	.A(n_21),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g6099__4319 (
+	.A(instr_rdata_alu_i[3]),
+	.B(n_8),
+	.Y(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6100__8428 (
+	.A(FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14),
+	.Y(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6101__5526 (
+	.A(n_6),
+	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6103__6783 (
+	.A(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(instr_rdata_alu_i[14]),
+	.Y(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6104__3680 (
+	.A(instr_rdata_alu_i[14]),
+	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6106__1617 (
+	.A(instr_rdata_alu_i[14]),
+	.B(n_6),
+	.Y(n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g6107__2802 (
+	.A(instr_rdata_alu_i[4]),
+	.B(instr_rdata_alu_i[5]),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g6108__1705 (
+	.A(n_6),
+	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.X(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6109 (
+	.A(instr_rdata_alu_i[2]),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 g6111 (
+	.A(instr_rdata_alu_i[3]),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g6123__5122 (
+	.A(bt_a_mux_sel_o[1]),
+	.B(n_72),
+	.Y(FE_PSRN_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g2__8246 (
+	.A(instr_rdata_alu_i[26]),
+	.B_N(n_86),
+	.Y(n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g6124__7098 (
+	.A(n_4),
+	.B(n_6),
+	.X(n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g6125__6131 (
+	.A_N(n_116),
+	.B(n_141),
+	.C(n_115),
+	.X(n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g6127__1881 (
+	.A(FE_COEN4865_n_109),
+	.B_N(n_20),
+	.Y(data_type_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g6129__5115 (
+	.A(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
+	.B(FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27),
+	.C_N(instr_rdata_alu_i[29]),
+	.X(n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g6130__7482 (
+	.A(FE_PSN4827_n_240),
+	.B(n_188),
+	.C(n_189),
+	.Y(alu_operator_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_4 g6131__4733 (
+	.A1(n_192),
+	.A2(FE_RN_1),
+	.B1(n_170),
+	.B2(n_16),
+	.C1(n_168),
+	.X(alu_operator_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_2 g6132__6161 (
+	.A1(FE_PSN4441_n_166),
+	.A2(FE_RN_1),
+	.B1(n_192),
+	.C1(n_156),
+	.X(alu_operator_o[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module uart_rx_prog(clk_i, rst_ni, i_Rx_Serial, CLKS_PER_BIT, o_Rx_DV,
-     o_Rx_Byte);
-  input clk_i, rst_ni, i_Rx_Serial;
-  input [15:0] CLKS_PER_BIT;
-  output o_Rx_DV;
-  output [7:0] o_Rx_Byte;
-  wire clk_i, rst_ni, i_Rx_Serial;
-  wire [15:0] CLKS_PER_BIT;
-  wire o_Rx_DV;
-  wire [7:0] o_Rx_Byte;
-  wire [2:0] r_Bit_Index;
-  wire [15:0] r_Clock_Count;
-  wire [2:0] r_SM_Main;
-  wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;
-  wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15;
-  wire n_16, n_17, n_18, n_19, n_20, n_21, n_22, n_23;
-  wire n_24, n_25, n_26, n_27, n_28, n_29, n_30, n_31;
-  wire n_32, n_33, n_34, n_35, n_36, n_37, n_38, n_39;
-  wire n_40, n_41, n_42, n_43, n_44, n_45, n_46, n_47;
-  wire n_48, n_49, n_50, n_51, n_52, n_53, n_54, n_55;
-  wire n_56, n_57, n_58, n_59, n_60, n_61, n_62, n_63;
-  wire n_64, n_65, n_66, n_67, n_68, n_69, n_70, n_71;
-  wire n_72, n_73, n_74, n_75, n_76, n_77, n_78, n_79;
-  wire n_80, n_81, n_82, n_83, n_84, n_85, n_86, n_87;
-  wire n_88, n_89, n_90, n_91, n_92, n_93, n_94, n_95;
-  wire n_96, n_97, n_98, n_99, n_100, n_101, n_102, n_103;
-  wire n_104, n_105, n_106, n_107, n_108, n_109, n_110, n_111;
-  wire n_112, n_113, n_114, n_115, n_116, n_117, n_118, n_119;
-  wire n_120, n_121, n_122, n_123, n_124, n_125, n_126, n_127;
-  wire n_128, n_129, n_130, n_131, n_132, n_133, n_134, n_135;
-  wire n_136, n_137, n_138, n_139, n_140, n_141, n_142, n_143;
-  wire n_144, n_145, n_146, n_147, n_148, n_149, n_150, n_151;
-  wire n_152, n_153, n_154, n_155, n_156, n_157, n_158, n_159;
-  wire n_160, n_161, n_162, n_163, n_164, n_165, n_166, n_167;
-  wire n_168, n_169, n_170, n_171, n_172, n_173, n_174, n_175;
-  wire n_176, n_177, n_178, n_179, n_180, n_181, n_182, n_183;
-  wire n_184, n_185, n_186, n_187, n_188, n_189, n_190, n_191;
-  wire n_192, n_193, n_194, n_195, n_196, n_197, n_198, n_199;
-  wire n_200, n_201, n_202, n_203, r_Rx_Data, r_Rx_Data_R;
-  sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_140), .Q (r_Bit_Index[0]));
-  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_174), .SCD (n_180), .SCE (r_Clock_Count[0]),
-       .Q (r_Clock_Count[0]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_192), .Q (r_Clock_Count[1]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_194), .Q (r_Clock_Count[2]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_187), .Q (r_Clock_Count[5]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_189), .Q (r_Clock_Count[6]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_190), .Q (r_Clock_Count[7]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_191), .Q (r_Clock_Count[8]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_188), .Q (r_Clock_Count[9]));
-  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[10] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_178), .SCD (n_182), .SCE
-       (r_Clock_Count[10]), .Q (r_Clock_Count[10]));
-  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[13] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_176), .SCD (n_186), .SCE
-       (r_Clock_Count[13]), .Q (r_Clock_Count[13]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[15] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_203), .Q (r_Clock_Count[15]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_166), .Q (o_Rx_Byte[0]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_168), .Q (o_Rx_Byte[1]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_165), .Q (o_Rx_Byte[2]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_162), .Q (o_Rx_Byte[3]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_163), .Q (o_Rx_Byte[4]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_164), .Q (o_Rx_Byte[5]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_167), .Q (o_Rx_Byte[6]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_155), .Q (o_Rx_Byte[7]));
-  sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg(.RESET_B (rst_ni), .CLK (clk_i),
-       .D (n_131), .Q (o_Rx_DV));
-  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg(.CLK (clk_i), .D (n_11), .Q
-       (r_Rx_Data_R));
-  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg(.CLK (clk_i), .D (n_27), .Q
-       (r_Rx_Data));
-  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_177), .Q (r_SM_Main[0]));
-  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_175), .Q (r_SM_Main[1]));
-  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_125), .Q (r_SM_Main[2]));
-  sky130_fd_sc_hd__a32o_1 g7980__7410(.A1 (n_179), .A2
-       (r_Clock_Count[14]), .A3 (r_Clock_Count[13]), .B1 (n_199), .B2
-       (r_Clock_Count[15]), .X (n_203));
-  sky130_fd_sc_hd__a32o_1 g7985__6417(.A1 (n_176), .A2 (n_0), .A3
-       (r_Clock_Count[13]), .B1 (n_196), .B2 (r_Clock_Count[14]), .X
-       (n_202));
-  sky130_fd_sc_hd__a32o_1 g7986__5477(.A1 (n_178), .A2 (n_5), .A3
-       (r_Clock_Count[10]), .B1 (n_195), .B2 (r_Clock_Count[11]), .X
-       (n_201));
-  sky130_fd_sc_hd__o21ai_1 g7987__2398(.A1 (n_1), .A2 (n_193), .B1
-       (n_185), .Y (n_200));
-  sky130_fd_sc_hd__a21o_1 g7994__5107(.A1 (n_174), .A2 (n_0), .B1
-       (n_196), .X (n_199));
-  sky130_fd_sc_hd__o32ai_1 g7995__6260(.A1 (r_Clock_Count[3]), .A2
-       (n_33), .A3 (n_173), .B1 (n_4), .B2 (n_183), .Y (n_198));
-  sky130_fd_sc_hd__a22o_1 g7996__4319(.A1 (n_184), .A2
-       (r_Clock_Count[4]), .B1 (n_174), .B2 (n_64), .X (n_197));
-  sky130_fd_sc_hd__o21bai_1 g8000__8428(.A1 (r_Clock_Count[10]), .A2
-       (n_173), .B1_N (n_182), .Y (n_195));
-  sky130_fd_sc_hd__a22o_1 g8001__5526(.A1 (n_174), .A2 (n_37), .B1
-       (n_180), .B2 (r_Clock_Count[2]), .X (n_194));
-  sky130_fd_sc_hd__a21oi_1 g8002__6783(.A1 (n_174), .A2 (n_13), .B1
-       (n_182), .Y (n_193));
-  sky130_fd_sc_hd__a22o_1 g8003__3680(.A1 (n_174), .A2 (n_17), .B1
-       (n_180), .B2 (r_Clock_Count[1]), .X (n_192));
-  sky130_fd_sc_hd__o21bai_1 g8004__1617(.A1 (r_Clock_Count[13]), .A2
-       (n_173), .B1_N (n_186), .Y (n_196));
-  sky130_fd_sc_hd__a22o_1 g8005__2802(.A1 (n_174), .A2 (n_118), .B1
-       (n_180), .B2 (r_Clock_Count[8]), .X (n_191));
-  sky130_fd_sc_hd__a22o_1 g8006__1705(.A1 (n_174), .A2 (n_112), .B1
-       (n_180), .B2 (r_Clock_Count[7]), .X (n_190));
-  sky130_fd_sc_hd__a22o_1 g8007__5122(.A1 (n_174), .A2 (n_101), .B1
-       (n_180), .B2 (r_Clock_Count[6]), .X (n_189));
-  sky130_fd_sc_hd__a22o_1 g8008__8246(.A1 (n_174), .A2 (n_123), .B1
-       (n_180), .B2 (r_Clock_Count[9]), .X (n_188));
-  sky130_fd_sc_hd__a22o_1 g8009__7098(.A1 (n_174), .A2 (n_83), .B1
-       (n_180), .B2 (r_Clock_Count[5]), .X (n_187));
-  sky130_fd_sc_hd__nand3b_1 g8010__6131(.A_N (n_13), .B (n_178), .C
-       (n_1), .Y (n_185));
-  sky130_fd_sc_hd__o21ai_1 g8011__1881(.A1 (n_136), .A2 (n_173), .B1
-       (n_181), .Y (n_186));
-  sky130_fd_sc_hd__inv_2 g8012(.A (n_183), .Y (n_184));
-  sky130_fd_sc_hd__a21oi_1 g8013__5115(.A1 (n_174), .A2 (n_33), .B1
-       (n_180), .Y (n_183));
-  sky130_fd_sc_hd__o21ai_1 g8014__7482(.A1 (n_127), .A2 (n_173), .B1
-       (n_181), .Y (n_182));
-  sky130_fd_sc_hd__inv_2 g8017(.A (n_181), .Y (n_180));
-  sky130_fd_sc_hd__nor2b_1 g8018__4733(.A (r_Clock_Count[15]), .B_N
-       (n_176), .Y (n_179));
-  sky130_fd_sc_hd__nand4_1 g8019__6161(.A (n_170), .B (n_25), .C
-       (n_21), .D (n_23), .Y (n_181));
-  sky130_fd_sc_hd__o211ai_1 g8020__9315(.A1 (r_Rx_Data), .A2 (n_23),
-       .B1 (n_171), .C1 (n_137), .Y (n_177));
-  sky130_fd_sc_hd__nor2b_1 g8021__9945(.A (n_173), .B_N (n_127), .Y
-       (n_178));
-  sky130_fd_sc_hd__nand2_1 g8022__2883(.A (n_172), .B (n_25), .Y
-       (n_175));
-  sky130_fd_sc_hd__nor2b_1 g8023__2346(.A (n_173), .B_N (n_136), .Y
-       (n_176));
-  sky130_fd_sc_hd__inv_2 g8024(.A (n_174), .Y (n_173));
-  sky130_fd_sc_hd__o21ai_1 g8025__1666(.A1 (n_25), .A2 (n_120), .B1
-       (n_171), .Y (n_174));
-  sky130_fd_sc_hd__a31oi_1 g8026__7410(.A1 (n_160), .A2 (n_26), .A3
-       (n_35), .B1 (n_126), .Y (n_172));
-  sky130_fd_sc_hd__nand2_1 g8031__6417(.A (n_169), .B (n_26), .Y
-       (n_170));
-  sky130_fd_sc_hd__a21oi_1 g8033__5477(.A1 (n_159), .A2 (n_26), .B1
-       (n_126), .Y (n_171));
-  sky130_fd_sc_hd__nand2_1 g8035__2398(.A (n_160), .B (r_Rx_Data), .Y
-       (n_169));
-  sky130_fd_sc_hd__a32o_1 g8036__5107(.A1 (n_146), .A2 (n_23), .A3
-       (o_Rx_Byte[1]), .B1 (n_145), .B2 (r_Rx_Data), .X (n_168));
-  sky130_fd_sc_hd__o21ai_1 g8039__6260(.A1 (n_35), .A2 (n_141), .B1
-       (n_158), .Y (n_167));
-  sky130_fd_sc_hd__o21ai_1 g8040__4319(.A1 (n_35), .A2 (n_142), .B1
-       (n_161), .Y (n_166));
-  sky130_fd_sc_hd__a32o_1 g8041__8428(.A1 (n_148), .A2 (n_23), .A3
-       (o_Rx_Byte[2]), .B1 (n_147), .B2 (r_Rx_Data), .X (n_165));
-  sky130_fd_sc_hd__o21ai_1 g8042__5526(.A1 (n_35), .A2 (n_143), .B1
-       (n_157), .Y (n_164));
-  sky130_fd_sc_hd__o21ai_1 g8043__6783(.A1 (n_35), .A2 (n_149), .B1
-       (n_156), .Y (n_163));
-  sky130_fd_sc_hd__o21ai_1 g8044__3680(.A1 (n_35), .A2 (n_134), .B1
-       (n_151), .Y (n_162));
-  sky130_fd_sc_hd__nand3_1 g8045__1617(.A (n_142), .B (n_23), .C
-       (o_Rx_Byte[0]), .Y (n_161));
-  sky130_fd_sc_hd__inv_2 g8048(.A (n_160), .Y (n_159));
-  sky130_fd_sc_hd__nand3_1 g8049__2802(.A (n_141), .B (n_23), .C
-       (o_Rx_Byte[6]), .Y (n_158));
-  sky130_fd_sc_hd__nand3_1 g8050__1705(.A (n_143), .B (n_23), .C
-       (o_Rx_Byte[5]), .Y (n_157));
-  sky130_fd_sc_hd__nand3_1 g8051__5122(.A (n_149), .B (n_23), .C
-       (o_Rx_Byte[4]), .Y (n_156));
-  sky130_fd_sc_hd__o21ai_1 g8052__8246(.A1 (n_35), .A2 (n_137), .B1
-       (n_152), .Y (n_155));
-  sky130_fd_sc_hd__a21oi_1 g8053__7098(.A1 (r_Clock_Count[3]), .A2
-       (n_47), .B1 (n_150), .Y (n_160));
-  sky130_fd_sc_hd__nand2_1 g8054__6131(.A (n_144), .B (n_135), .Y
-       (n_154));
-  sky130_fd_sc_hd__o21ai_1 g8055__1881(.A1 (n_2), .A2 (n_133), .B1
-       (n_134), .Y (n_153));
-  sky130_fd_sc_hd__nand3_1 g8057__5115(.A (n_137), .B (n_23), .C
-       (o_Rx_Byte[7]), .Y (n_152));
-  sky130_fd_sc_hd__nand3_1 g8058__7482(.A (n_134), .B (n_23), .C
-       (o_Rx_Byte[3]), .Y (n_151));
-  sky130_fd_sc_hd__nand4_1 g8059__4733(.A (n_132), .B (n_62), .C
-       (n_69), .D (n_61), .Y (n_150));
-  sky130_fd_sc_hd__inv_1 g8060(.A (n_147), .Y (n_148));
-  sky130_fd_sc_hd__inv_1 g8061(.A (n_145), .Y (n_146));
-  sky130_fd_sc_hd__o21ai_1 g8062__6161(.A1 (n_30), .A2 (n_129), .B1
-       (r_Bit_Index[1]), .Y (n_144));
-  sky130_fd_sc_hd__nand2_1 g8063__9315(.A (n_139), .B (r_Bit_Index[2]),
-       .Y (n_149));
-  sky130_fd_sc_hd__nor2_1 g8064__9945(.A (r_Bit_Index[2]), .B (n_138),
-       .Y (n_147));
-  sky130_fd_sc_hd__nor2_1 g8065__2883(.A (r_Bit_Index[2]), .B (n_135),
-       .Y (n_145));
-  sky130_fd_sc_hd__a21o_1 g8066__2346(.A1 (n_129), .A2
-       (r_Bit_Index[0]), .B1 (n_128), .X (n_140));
-  sky130_fd_sc_hd__nand2b_1 g8067__1666(.A_N (n_135), .B
-       (r_Bit_Index[2]), .Y (n_143));
-  sky130_fd_sc_hd__nand2_1 g8068__7410(.A (n_139), .B (n_2), .Y
-       (n_142));
-  sky130_fd_sc_hd__nand2b_1 g8069__6417(.A_N (n_138), .B
-       (r_Bit_Index[2]), .Y (n_141));
-  sky130_fd_sc_hd__nor2b_1 g8070__5477(.A (r_Bit_Index[1]), .B_N
-       (n_128), .Y (n_139));
-  sky130_fd_sc_hd__nand2_1 g8071__2398(.A (n_128), .B (r_Bit_Index[1]),
-       .Y (n_138));
-  sky130_fd_sc_hd__nand2_1 g8073__5107(.A (n_130), .B (r_Bit_Index[2]),
-       .Y (n_137));
-  sky130_fd_sc_hd__a21oi_1 g8074__6260(.A1 (n_24), .A2 (n_10), .B1
-       (n_129), .Y (n_133));
-  sky130_fd_sc_hd__a211oi_1 g8075__4319(.A1 (r_Clock_Count[9]), .A2
-       (n_74), .B1 (n_87), .C1 (n_121), .Y (n_132));
-  sky130_fd_sc_hd__nor3b_1 g8076__8428(.A (n_1), .B (n_13), .C_N
-       (n_127), .Y (n_136));
-  sky130_fd_sc_hd__nand3_1 g8077__5526(.A (n_122), .B (n_6), .C
-       (r_Bit_Index[0]), .Y (n_135));
-  sky130_fd_sc_hd__nand2_1 g8078__6783(.A (n_130), .B (n_2), .Y
-       (n_134));
-  sky130_fd_sc_hd__nand2_1 g8079__3680(.A (n_124), .B (n_18), .Y
-       (n_131));
-  sky130_fd_sc_hd__nor2b_1 g8081__1617(.A (n_10), .B_N (n_122), .Y
-       (n_130));
-  sky130_fd_sc_hd__nor2_1 g8082__2802(.A (n_22), .B (n_122), .Y
-       (n_129));
-  sky130_fd_sc_hd__nor2b_1 g8083__1705(.A (r_Bit_Index[0]), .B_N
-       (n_122), .Y (n_128));
-  sky130_fd_sc_hd__inv_1 g8084(.A (n_124), .Y (n_125));
-  sky130_fd_sc_hd__ha_1 g8085__5122(.A (r_Clock_Count[9]), .B (n_117),
-       .COUT (n_127), .SUM (n_123));
-  sky130_fd_sc_hd__nor2_1 g8086__8246(.A (n_21), .B (n_120), .Y
-       (n_126));
-  sky130_fd_sc_hd__nand2b_1 g8087__7098(.A_N (n_21), .B (n_120), .Y
-       (n_124));
-  sky130_fd_sc_hd__o2111ai_1 g8088__6131(.A1 (n_48), .A2
-       (r_Clock_Count[8]), .B1 (n_66), .C1 (n_98), .D1 (n_119), .Y
-       (n_121));
-  sky130_fd_sc_hd__and2_1 g8089__1881(.A (n_120), .B (n_24), .X
-       (n_122));
-  sky130_fd_sc_hd__o21a_1 g8090__5115(.A1 (n_113), .A2 (n_115), .B1
-       (n_69), .X (n_120));
-  sky130_fd_sc_hd__a21oi_1 g8091__7482(.A1 (r_Clock_Count[11]), .A2
-       (n_80), .B1 (n_116), .Y (n_119));
-  sky130_fd_sc_hd__ha_1 g8092__4733(.A (r_Clock_Count[8]), .B (n_111),
-       .COUT (n_117), .SUM (n_118));
-  sky130_fd_sc_hd__o21ai_1 g8093__6161(.A1 (n_80), .A2
-       (r_Clock_Count[11]), .B1 (n_114), .Y (n_116));
-  sky130_fd_sc_hd__nor4_1 g8094__9315(.A (n_85), .B (n_95), .C (n_105),
-       .D (n_109), .Y (n_115));
-  sky130_fd_sc_hd__a211oi_1 g8095__9945(.A1 (r_Clock_Count[10]), .A2
-       (n_55), .B1 (r_Clock_Count[15]), .C1 (n_110), .Y (n_114));
-  sky130_fd_sc_hd__o311ai_1 g8096__2883(.A1 (n_85), .A2 (n_105), .A3
-       (n_107), .B1 (n_99), .C1 (n_106), .Y (n_113));
-  sky130_fd_sc_hd__ha_1 g8097__2346(.A (r_Clock_Count[7]), .B (n_100),
-       .COUT (n_111), .SUM (n_112));
-  sky130_fd_sc_hd__o211ai_1 g8098__1666(.A1 (n_55), .A2
-       (r_Clock_Count[10]), .B1 (n_90), .C1 (n_104), .Y (n_110));
-  sky130_fd_sc_hd__o21ai_1 g8099__7410(.A1 (n_68), .A2
-       (r_Clock_Count[8]), .B1 (n_108), .Y (n_109));
-  sky130_fd_sc_hd__o2111ai_1 g8100__6417(.A1 (n_72), .A2 (n_60), .B1
-       (n_88), .C1 (n_94), .D1 (n_92), .Y (n_108));
-  sky130_fd_sc_hd__a21oi_1 g8101__5477(.A1 (n_12), .A2 (n_74), .B1
-       (n_103), .Y (n_107));
-  sky130_fd_sc_hd__a2bb2oi_1 g8102__2398(.A1_N (n_102), .A2_N (n_97),
-       .B1 (n_67), .B2 (r_Clock_Count[15]), .Y (n_106));
-  sky130_fd_sc_hd__o21bai_1 g8103__5107(.A1 (n_80), .A2
-       (r_Clock_Count[12]), .B1_N (n_102), .Y (n_105));
-  sky130_fd_sc_hd__a21oi_1 g8104__6260(.A1 (r_Clock_Count[13]), .A2
-       (n_93), .B1 (n_96), .Y (n_104));
-  sky130_fd_sc_hd__o22ai_1 g8105__4319(.A1 (n_78), .A2 (n_95), .B1
-       (n_71), .B2 (n_91), .Y (n_103));
-  sky130_fd_sc_hd__ha_1 g8106__8428(.A (r_Clock_Count[6]), .B (n_82),
-       .COUT (n_100), .SUM (n_101));
-  sky130_fd_sc_hd__nand3b_1 g8107__5526(.A_N (n_76), .B
-       (r_Clock_Count[14]), .C (n_93), .Y (n_99));
-  sky130_fd_sc_hd__o21bai_1 g8108__6783(.A1 (n_93), .A2
-       (r_Clock_Count[14]), .B1_N (n_76), .Y (n_102));
-  sky130_fd_sc_hd__nor4_1 g8109__3680(.A (n_77), .B (n_63), .C (n_52),
-       .D (n_79), .Y (n_98));
-  sky130_fd_sc_hd__a32oi_1 g8110__1617(.A1 (n_84), .A2
-       (r_Clock_Count[12]), .A3 (n_80), .B1 (r_Clock_Count[13]), .B2
-       (n_65), .Y (n_97));
-  sky130_fd_sc_hd__o221ai_1 g8111__2802(.A1 (n_93), .A2
-       (r_Clock_Count[13]), .B1 (n_67), .B2 (r_Clock_Count[14]), .C1
-       (n_81), .Y (n_96));
-  sky130_fd_sc_hd__a21o_1 g8112__1705(.A1 (n_5), .A2 (n_71), .B1
-       (n_89), .X (n_95));
-  sky130_fd_sc_hd__nand3_1 g8113__5122(.A (n_86), .B
-       (r_Clock_Count[4]), .C (n_47), .Y (n_94));
-  sky130_fd_sc_hd__o211ai_1 g8114__8246(.A1 (n_47), .A2
-       (r_Clock_Count[4]), .B1 (n_86), .C1 (n_73), .Y (n_92));
-  sky130_fd_sc_hd__a21oi_1 g8115__7098(.A1 (r_Clock_Count[10]), .A2
-       (n_74), .B1 (r_Clock_Count[11]), .Y (n_91));
-  sky130_fd_sc_hd__a21oi_1 g8116__6131(.A1 (n_58), .A2
-       (CLKS_PER_BIT[14]), .B1 (n_56), .Y (n_93));
-  sky130_fd_sc_hd__a2bb2oi_1 g8117__1881(.A1_N (n_65), .A2_N
-       (r_Clock_Count[12]), .B1 (n_67), .B2 (r_Clock_Count[14]), .Y
-       (n_90));
-  sky130_fd_sc_hd__o22ai_1 g8118__5115(.A1 (n_74), .A2
-       (r_Clock_Count[10]), .B1 (n_48), .B2 (r_Clock_Count[9]), .Y
-       (n_89));
-  sky130_fd_sc_hd__nand3_1 g8119__7482(.A (n_75), .B
-       (r_Clock_Count[5]), .C (n_40), .Y (n_88));
-  sky130_fd_sc_hd__o22ai_1 g8120__4733(.A1 (n_74), .A2
-       (r_Clock_Count[9]), .B1 (n_32), .B2 (r_Clock_Count[2]), .Y
-       (n_87));
-  sky130_fd_sc_hd__inv_1 g8121(.A (n_85), .Y (n_84));
-  sky130_fd_sc_hd__ha_1 g8122__6161(.A (r_Clock_Count[5]), .B (n_57),
-       .COUT (n_82), .SUM (n_83));
-  sky130_fd_sc_hd__nand2_1 g8123__9315(.A (r_Clock_Count[12]), .B
-       (n_65), .Y (n_81));
-  sky130_fd_sc_hd__nor3_1 g8124__9945(.A (n_50), .B (n_70), .C (n_60),
-       .Y (n_86));
-  sky130_fd_sc_hd__nor2_1 g8125__2883(.A (n_65), .B
-       (r_Clock_Count[13]), .Y (n_85));
-  sky130_fd_sc_hd__o2bb2ai_1 g8126__2346(.A1_N (n_54), .A2_N
-       (r_Clock_Count[5]), .B1 (n_68), .B2 (r_Clock_Count[7]), .Y
-       (n_79));
-  sky130_fd_sc_hd__a22oi_1 g8127__1666(.A1 (r_Clock_Count[9]), .A2
-       (n_48), .B1 (r_Clock_Count[8]), .B2 (n_68), .Y (n_78));
-  sky130_fd_sc_hd__o2bb2ai_1 g8128__7410(.A1_N (n_68), .A2_N
-       (r_Clock_Count[7]), .B1 (n_54), .B2 (r_Clock_Count[5]), .Y
-       (n_77));
-  sky130_fd_sc_hd__a21oi_1 g8129__6417(.A1 (n_49), .A2
-       (CLKS_PER_BIT[12]), .B1 (n_46), .Y (n_80));
-  sky130_fd_sc_hd__nor2_1 g8130__5477(.A (n_70), .B (n_60), .Y (n_75));
-  sky130_fd_sc_hd__nor2_1 g8131__2398(.A (n_67), .B
-       (r_Clock_Count[15]), .Y (n_76));
-  sky130_fd_sc_hd__maj3_1 g8132__5107(.A (r_Clock_Count[3]), .B (n_59),
-       .C (n_32), .X (n_73));
-  sky130_fd_sc_hd__a22oi_1 g8133__6260(.A1 (r_Clock_Count[7]), .A2
-       (n_42), .B1 (r_Clock_Count[6]), .B2 (n_54), .Y (n_72));
-  sky130_fd_sc_hd__a21oi_1 g8134__4319(.A1 (n_44), .A2
-       (CLKS_PER_BIT[10]), .B1 (n_43), .Y (n_74));
-  sky130_fd_sc_hd__inv_1 g8135(.A (n_55), .Y (n_71));
-  sky130_fd_sc_hd__nand2_1 g8136__8428(.A (r_Clock_Count[8]), .B
-       (n_48), .Y (n_66));
-  sky130_fd_sc_hd__nor2_1 g8137__5526(.A (n_54), .B (r_Clock_Count[6]),
-       .Y (n_70));
-  sky130_fd_sc_hd__nand2b_1 g8138__6783(.A_N (CLKS_PER_BIT[15]), .B
-       (n_56), .Y (n_69));
-  sky130_fd_sc_hd__a21oi_1 g8139__3680(.A1 (n_39), .A2
-       (CLKS_PER_BIT[8]), .B1 (n_38), .Y (n_68));
-  sky130_fd_sc_hd__nand2b_1 g8140__1617(.A_N (n_56), .B
-       (CLKS_PER_BIT[15]), .Y (n_67));
-  sky130_fd_sc_hd__o22ai_1 g8141__2802(.A1 (r_Clock_Count[4]), .A2
-       (n_51), .B1 (r_Clock_Count[3]), .B2 (n_3), .Y (n_64));
-  sky130_fd_sc_hd__o2bb2ai_1 g8142__1705(.A1_N (n_42), .A2_N
-       (r_Clock_Count[6]), .B1 (n_42), .B2 (r_Clock_Count[6]), .Y
-       (n_63));
-  sky130_fd_sc_hd__a21oi_1 g8143__5122(.A1 (r_Clock_Count[0]), .A2
-       (n_20), .B1 (n_53), .Y (n_62));
-  sky130_fd_sc_hd__a2bb2oi_1 g8144__8246(.A1_N (n_47), .A2_N
-       (r_Clock_Count[3]), .B1 (n_32), .B2 (r_Clock_Count[2]), .Y
-       (n_61));
-  sky130_fd_sc_hd__xnor2_1 g8145__7098(.A (CLKS_PER_BIT[13]), .B
-       (n_46), .Y (n_65));
-  sky130_fd_sc_hd__maj3_1 g8146__6131(.A (r_Clock_Count[2]), .B (n_36),
-       .C (n_41), .X (n_59));
-  sky130_fd_sc_hd__nand2b_1 g8147__1881(.A_N (CLKS_PER_BIT[13]), .B
-       (n_46), .Y (n_58));
-  sky130_fd_sc_hd__nor2_1 g8148__5115(.A (n_42), .B (r_Clock_Count[7]),
-       .Y (n_60));
-  sky130_fd_sc_hd__nor2_1 g8149__7482(.A (n_3), .B (n_51), .Y (n_57));
-  sky130_fd_sc_hd__o221ai_1 g8150__4733(.A1 (n_20), .A2
-       (r_Clock_Count[0]), .B1 (n_41), .B2 (r_Clock_Count[1]), .C1
-       (n_45), .Y (n_53));
-  sky130_fd_sc_hd__o2bb2ai_1 g8151__6161(.A1_N (n_40), .A2_N
-       (r_Clock_Count[4]), .B1 (n_40), .B2 (r_Clock_Count[4]), .Y
-       (n_52));
-  sky130_fd_sc_hd__nor3b_1 g8152__9315(.A (CLKS_PER_BIT[14]), .B
-       (CLKS_PER_BIT[13]), .C_N (n_46), .Y (n_56));
-  sky130_fd_sc_hd__xnor2_1 g8153__9945(.A (CLKS_PER_BIT[11]), .B
-       (n_43), .Y (n_55));
-  sky130_fd_sc_hd__a21oi_1 g8154__2883(.A1 (n_34), .A2
-       (CLKS_PER_BIT[6]), .B1 (n_31), .Y (n_54));
-  sky130_fd_sc_hd__nor2_1 g8155__2346(.A (n_40), .B (r_Clock_Count[5]),
-       .Y (n_50));
-  sky130_fd_sc_hd__nand2b_1 g8156__1666(.A_N (CLKS_PER_BIT[11]), .B
-       (n_43), .Y (n_49));
-  sky130_fd_sc_hd__nand2b_1 g8157__7410(.A_N (n_33), .B
-       (r_Clock_Count[3]), .Y (n_51));
-  sky130_fd_sc_hd__xnor2_1 g8158__6417(.A (CLKS_PER_BIT[9]), .B (n_38),
-       .Y (n_48));
-  sky130_fd_sc_hd__a21oi_1 g8159__5477(.A1 (n_29), .A2
-       (CLKS_PER_BIT[4]), .B1 (n_28), .Y (n_47));
-  sky130_fd_sc_hd__nor3b_1 g8160__2398(.A (CLKS_PER_BIT[12]), .B
-       (CLKS_PER_BIT[11]), .C_N (n_43), .Y (n_46));
-  sky130_fd_sc_hd__nand2_1 g8161__5107(.A (r_Clock_Count[1]), .B
-       (n_41), .Y (n_45));
-  sky130_fd_sc_hd__nand2b_1 g8162__6260(.A_N (CLKS_PER_BIT[9]), .B
-       (n_38), .Y (n_44));
-  sky130_fd_sc_hd__nor3b_1 g8163__4319(.A (CLKS_PER_BIT[10]), .B
-       (CLKS_PER_BIT[9]), .C_N (n_38), .Y (n_43));
-  sky130_fd_sc_hd__xnor2_1 g8164__8428(.A (CLKS_PER_BIT[7]), .B (n_31),
-       .Y (n_42));
-  sky130_fd_sc_hd__nand2b_1 g8165__5526(.A_N (CLKS_PER_BIT[7]), .B
-       (n_31), .Y (n_39));
-  sky130_fd_sc_hd__a21oi_1 g8166__6783(.A1 (n_16), .A2
-       (CLKS_PER_BIT[2]), .B1 (n_19), .Y (n_41));
-  sky130_fd_sc_hd__xnor2_1 g8167__3680(.A (CLKS_PER_BIT[5]), .B (n_28),
-       .Y (n_40));
-  sky130_fd_sc_hd__o2bb2ai_1 g8168__1617(.A1_N (r_Clock_Count[2]),
-       .A2_N (n_8), .B1 (r_Clock_Count[2]), .B2 (n_8), .Y (n_37));
-  sky130_fd_sc_hd__maj3_1 g8169__2802(.A (r_Clock_Count[1]), .B (n_7),
-       .C (n_20), .X (n_36));
-  sky130_fd_sc_hd__nor3b_1 g8170__1705(.A (CLKS_PER_BIT[8]), .B
-       (CLKS_PER_BIT[7]), .C_N (n_31), .Y (n_38));
-  sky130_fd_sc_hd__inv_2 g8171(.A (r_Rx_Data), .Y (n_35));
-  sky130_fd_sc_hd__nand2b_1 g8172__5122(.A_N (CLKS_PER_BIT[5]), .B
-       (n_28), .Y (n_34));
-  sky130_fd_sc_hd__nand2b_1 g8174__8246(.A_N (n_8), .B
-       (r_Clock_Count[2]), .Y (n_33));
-  sky130_fd_sc_hd__xnor2_1 g8175__7098(.A (CLKS_PER_BIT[3]), .B (n_19),
-       .Y (n_32));
-  sky130_fd_sc_hd__nor3b_1 g8176__6131(.A (CLKS_PER_BIT[6]), .B
-       (CLKS_PER_BIT[5]), .C_N (n_28), .Y (n_31));
-  sky130_fd_sc_hd__nor2_1 g8177__1881(.A (r_Bit_Index[0]), .B (n_25),
-       .Y (n_30));
-  sky130_fd_sc_hd__nand2b_1 g8178__5115(.A_N (CLKS_PER_BIT[3]), .B
-       (n_19), .Y (n_29));
-  sky130_fd_sc_hd__nand2b_1 g8179__7482(.A_N (r_Rx_Data_R), .B
-       (rst_ni), .Y (n_27));
-  sky130_fd_sc_hd__nor3b_1 g8180__4733(.A (CLKS_PER_BIT[4]), .B
-       (CLKS_PER_BIT[3]), .C_N (n_19), .Y (n_28));
-  sky130_fd_sc_hd__inv_1 g8181(.A (n_25), .Y (n_24));
-  sky130_fd_sc_hd__inv_2 g8182(.A (n_22), .Y (n_23));
-  sky130_fd_sc_hd__nor2_1 g8184__6161(.A (r_SM_Main[1]), .B (n_9), .Y
-       (n_26));
-  sky130_fd_sc_hd__nand2_1 g8185__9315(.A (n_14), .B (r_SM_Main[1]), .Y
-       (n_25));
-  sky130_fd_sc_hd__nor2b_1 g8186__9945(.A (r_SM_Main[1]), .B_N (n_14),
-       .Y (n_22));
-  sky130_fd_sc_hd__o21ai_1 g8187__2883(.A1 (r_SM_Main[0]), .A2
-       (r_SM_Main[1]), .B1 (o_Rx_DV), .Y (n_18));
-  sky130_fd_sc_hd__xor2_1 g8188__2346(.A (r_Clock_Count[0]), .B
-       (r_Clock_Count[1]), .X (n_17));
-  sky130_fd_sc_hd__nand2b_1 g8189__1666(.A_N (n_9), .B (r_SM_Main[1]),
-       .Y (n_21));
-  sky130_fd_sc_hd__a21oi_1 g8190__7410(.A1 (CLKS_PER_BIT[1]), .A2
-       (CLKS_PER_BIT[0]), .B1 (n_15), .Y (n_20));
-  sky130_fd_sc_hd__nor3_1 g8191__6417(.A (CLKS_PER_BIT[0]), .B
-       (CLKS_PER_BIT[2]), .C (CLKS_PER_BIT[1]), .Y (n_19));
-  sky130_fd_sc_hd__inv_1 g8192(.A (n_15), .Y (n_16));
-  sky130_fd_sc_hd__clkinv_1 g8193(.A (n_13), .Y (n_12));
-  sky130_fd_sc_hd__nand2b_1 g8194__5477(.A_N (i_Rx_Serial), .B
-       (rst_ni), .Y (n_11));
-  sky130_fd_sc_hd__nor2_1 g8195__2398(.A (CLKS_PER_BIT[1]), .B
-       (CLKS_PER_BIT[0]), .Y (n_15));
-  sky130_fd_sc_hd__nor2_1 g8196__5107(.A (r_SM_Main[0]), .B
-       (r_SM_Main[2]), .Y (n_14));
-  sky130_fd_sc_hd__nand2_1 g8197__6260(.A (r_Clock_Count[11]), .B
-       (r_Clock_Count[10]), .Y (n_13));
-  sky130_fd_sc_hd__or2_0 g8198__4319(.A (CLKS_PER_BIT[0]), .B
-       (r_Clock_Count[0]), .X (n_7));
-  sky130_fd_sc_hd__nand2_1 g8199__8428(.A (r_Bit_Index[0]), .B
-       (r_Bit_Index[1]), .Y (n_10));
-  sky130_fd_sc_hd__nand2b_1 g8200__5526(.A_N (r_SM_Main[2]), .B
-       (r_SM_Main[0]), .Y (n_9));
-  sky130_fd_sc_hd__nand2_1 g8201__6783(.A (r_Clock_Count[1]), .B
-       (r_Clock_Count[0]), .Y (n_8));
-  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_154), .Q (r_Bit_Index[1]), .Q_N (n_6));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[11] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_201), .Q (r_Clock_Count[11]), .Q_N (n_5));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[3] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_198), .Q (r_Clock_Count[3]), .Q_N (n_4));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[4] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_197), .Q (r_Clock_Count[4]), .Q_N (n_3));
-  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_153), .Q (r_Bit_Index[2]), .Q_N (n_2));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[12] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_200), .Q (r_Clock_Count[12]), .Q_N (n_1));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_202), .Q (r_Clock_Count[14]), .Q_N (n_0));
+module uart_rx_prog (
+	rst_ni, 
+	i_Rx_Serial, 
+	CLKS_PER_BIT, 
+	o_Rx_DV, 
+	o_Rx_Byte, 
+	FE_OFN20_n_470, 
+	FE_OFN19_n_470, 
+	clk_i_clone1, 
+	clk_i_clone2, 
+	clk_i, 
+	vccd1, 
+	vssd1);
+   input rst_ni;
+   input i_Rx_Serial;
+   input [15:0] CLKS_PER_BIT;
+   output o_Rx_DV;
+   output [7:0] o_Rx_Byte;
+   input FE_OFN20_n_470;
+   input FE_OFN19_n_470;
+   input clk_i_clone1;
+   input clk_i_clone2;
+   input clk_i;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire FE_PDN4097_FE_OFN18745_n_120;
+   wire FE_PDN3927_FE_OFN18730_n_181;
+   wire FE_PDN3873_FE_OFN18745_n_120;
+   wire FE_OFN18745_n_120;
+   wire FE_OFN18730_n_181;
+   wire FE_OFN1596_n_174;
+   wire FE_OFN1595_n_174;
+   wire FE_OFN1226_n_160;
+   wire FE_OFN1225_n_160;
+   wire [2:0] r_Bit_Index;
+   wire [15:0] r_Clock_Count;
+   wire [2:0] r_SM_Main;
+   wire n_0;
+   wire n_1;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_14;
+   wire n_15;
+   wire n_16;
+   wire n_17;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_33;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_41;
+   wire n_42;
+   wire n_43;
+   wire n_44;
+   wire n_45;
+   wire n_46;
+   wire n_47;
+   wire n_48;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_52;
+   wire n_53;
+   wire n_54;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_59;
+   wire n_60;
+   wire n_61;
+   wire n_62;
+   wire n_63;
+   wire n_64;
+   wire n_65;
+   wire n_66;
+   wire n_67;
+   wire n_68;
+   wire n_69;
+   wire n_70;
+   wire n_71;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_81;
+   wire n_82;
+   wire n_83;
+   wire n_84;
+   wire n_85;
+   wire n_86;
+   wire n_87;
+   wire n_88;
+   wire n_89;
+   wire n_90;
+   wire n_91;
+   wire n_92;
+   wire n_93;
+   wire n_94;
+   wire n_95;
+   wire n_96;
+   wire n_97;
+   wire n_98;
+   wire n_99;
+   wire n_100;
+   wire n_101;
+   wire n_102;
+   wire n_103;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_107;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_121;
+   wire n_122;
+   wire n_123;
+   wire n_124;
+   wire n_125;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_137;
+   wire n_138;
+   wire n_139;
+   wire n_140;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_144;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_150;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_161;
+   wire n_162;
+   wire n_163;
+   wire n_164;
+   wire n_165;
+   wire n_166;
+   wire n_167;
+   wire n_168;
+   wire n_169;
+   wire n_170;
+   wire n_171;
+   wire n_172;
+   wire n_173;
+   wire n_174;
+   wire n_175;
+   wire n_176;
+   wire n_177;
+   wire n_178;
+   wire n_179;
+   wire n_180;
+   wire n_181;
+   wire n_182;
+   wire n_183;
+   wire n_184;
+   wire n_185;
+   wire n_186;
+   wire n_187;
+   wire n_188;
+   wire n_189;
+   wire n_190;
+   wire n_191;
+   wire n_192;
+   wire n_193;
+   wire n_194;
+   wire n_195;
+   wire n_196;
+   wire n_197;
+   wire n_198;
+   wire n_199;
+   wire n_200;
+   wire n_201;
+   wire n_202;
+   wire n_203;
+   wire r_Rx_Data;
+   wire r_Rx_Data_R;
+
+   // Module instantiations
+   sky130_fd_sc_hd__buf_1 FE_PDC4097_FE_OFN18745_n_120 (
+	.A(FE_PDN3873_FE_OFN18745_n_120),
+	.X(FE_PDN4097_FE_OFN18745_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3927_FE_OFN18730_n_181 (
+	.A(FE_OFN18730_n_181),
+	.X(FE_PDN3927_FE_OFN18730_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3873_FE_OFN18745_n_120 (
+	.A(FE_OFN18745_n_120),
+	.X(FE_PDN3873_FE_OFN18745_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3004_n_120 (
+	.A(n_120),
+	.X(FE_OFN18745_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2989_n_181 (
+	.A(n_181),
+	.X(FE_OFN18730_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1596_n_174 (
+	.A(FE_OFN1595_n_174),
+	.Y(FE_OFN1596_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1595_n_174 (
+	.A(n_174),
+	.Y(FE_OFN1595_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1226_n_160 (
+	.A(FE_OFN1225_n_160),
+	.Y(FE_OFN1226_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1225_n_160 (
+	.A(n_160),
+	.Y(FE_OFN1225_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_140),
+	.Q(r_Bit_Index[0]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0]  (
+	.CLK(clk_i),
+	.D(FE_OFN1596_n_174),
+	.Q(r_Clock_Count[0]),
+	.RESET_B(rst_ni),
+	.SCD(n_180),
+	.SCE(r_Clock_Count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1]  (
+	.CLK(clk_i),
+	.D(n_192),
+	.Q(r_Clock_Count[1]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2]  (
+	.CLK(clk_i),
+	.D(n_194),
+	.Q(r_Clock_Count[2]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5]  (
+	.CLK(clk_i),
+	.D(n_187),
+	.Q(r_Clock_Count[5]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6]  (
+	.CLK(clk_i),
+	.D(n_189),
+	.Q(r_Clock_Count[6]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7]  (
+	.CLK(clk_i),
+	.D(n_190),
+	.Q(r_Clock_Count[7]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8]  (
+	.CLK(clk_i),
+	.D(n_191),
+	.Q(r_Clock_Count[8]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9]  (
+	.CLK(clk_i),
+	.D(n_188),
+	.Q(r_Clock_Count[9]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[10]  (
+	.CLK(clk_i),
+	.D(n_178),
+	.Q(r_Clock_Count[10]),
+	.RESET_B(rst_ni),
+	.SCD(n_182),
+	.SCE(r_Clock_Count[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[13]  (
+	.CLK(clk_i),
+	.D(n_176),
+	.Q(r_Clock_Count[13]),
+	.RESET_B(rst_ni),
+	.SCD(n_186),
+	.SCE(r_Clock_Count[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[15]  (
+	.CLK(clk_i),
+	.D(n_203),
+	.Q(r_Clock_Count[15]),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_166),
+	.Q(o_Rx_Byte[0]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_168),
+	.Q(o_Rx_Byte[1]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2]  (
+	.CLK(clk_i_clone2),
+	.D(n_165),
+	.Q(o_Rx_Byte[2]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3]  (
+	.CLK(clk_i_clone2),
+	.D(n_162),
+	.Q(o_Rx_Byte[3]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4]  (
+	.CLK(clk_i_clone2),
+	.D(n_163),
+	.Q(o_Rx_Byte[4]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5]  (
+	.CLK(clk_i_clone2),
+	.D(n_164),
+	.Q(o_Rx_Byte[5]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6]  (
+	.CLK(clk_i_clone2),
+	.D(n_167),
+	.Q(o_Rx_Byte[6]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7]  (
+	.CLK(clk_i_clone2),
+	.D(n_155),
+	.Q(o_Rx_Byte[7]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg (
+	.CLK(clk_i_clone2),
+	.D(n_131),
+	.Q(o_Rx_DV),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg (
+	.CLK(clk_i_clone1),
+	.D(n_11),
+	.Q(r_Rx_Data_R), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg (
+	.CLK(clk_i_clone2),
+	.D(n_27),
+	.Q(r_Rx_Data), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_177),
+	.Q(r_SM_Main[0]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_175),
+	.Q(r_SM_Main[1]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2]  (
+	.CLK(clk_i_clone2),
+	.D(n_125),
+	.Q(r_SM_Main[2]),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g7980__7410 (
+	.A1(n_179),
+	.A2(r_Clock_Count[14]),
+	.A3(r_Clock_Count[13]),
+	.B1(n_199),
+	.B2(r_Clock_Count[15]),
+	.X(n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g7985__6417 (
+	.A1(n_176),
+	.A2(n_0),
+	.A3(r_Clock_Count[13]),
+	.B1(n_196),
+	.B2(r_Clock_Count[14]),
+	.X(n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g7986__5477 (
+	.A1(n_178),
+	.A2(n_5),
+	.A3(r_Clock_Count[10]),
+	.B1(n_195),
+	.B2(r_Clock_Count[11]),
+	.X(n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7987__2398 (
+	.A1(n_1),
+	.A2(n_193),
+	.B1(n_185),
+	.Y(n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g7994__5107 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_0),
+	.B1(n_196),
+	.X(n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g7995__6260 (
+	.A1(r_Clock_Count[3]),
+	.A2(n_33),
+	.A3(n_173),
+	.B1(n_4),
+	.B2(n_183),
+	.Y(n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7996__4319 (
+	.A1(n_184),
+	.A2(r_Clock_Count[4]),
+	.B1(FE_OFN1596_n_174),
+	.B2(n_64),
+	.X(n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g8000__8428 (
+	.A1(r_Clock_Count[10]),
+	.A2(n_173),
+	.B1_N(n_182),
+	.Y(n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8001__5526 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_37),
+	.B1(n_180),
+	.B2(r_Clock_Count[2]),
+	.X(n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8002__6783 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_13),
+	.B1(n_182),
+	.Y(n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8003__3680 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_17),
+	.B1(n_180),
+	.B2(r_Clock_Count[1]),
+	.X(n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g8004__1617 (
+	.A1(r_Clock_Count[13]),
+	.A2(n_173),
+	.B1_N(n_186),
+	.Y(n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8005__2802 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_118),
+	.B1(n_180),
+	.B2(r_Clock_Count[8]),
+	.X(n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8006__1705 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_112),
+	.B1(n_180),
+	.B2(r_Clock_Count[7]),
+	.X(n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8007__5122 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_101),
+	.B1(n_180),
+	.B2(r_Clock_Count[6]),
+	.X(n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8008__8246 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_123),
+	.B1(n_180),
+	.B2(r_Clock_Count[9]),
+	.X(n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g8009__7098 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_83),
+	.B1(n_180),
+	.B2(r_Clock_Count[5]),
+	.X(n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g8010__6131 (
+	.A_N(n_13),
+	.B(n_178),
+	.C(n_1),
+	.Y(n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8011__1881 (
+	.A1(n_136),
+	.A2(n_173),
+	.B1(FE_PDN3927_FE_OFN18730_n_181),
+	.Y(n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g8012 (
+	.A(n_183),
+	.Y(n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8013__5115 (
+	.A1(FE_OFN1596_n_174),
+	.A2(n_33),
+	.B1(n_180),
+	.Y(n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8014__7482 (
+	.A1(n_127),
+	.A2(n_173),
+	.B1(FE_PDN3927_FE_OFN18730_n_181),
+	.Y(n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g8017 (
+	.A(FE_PDN3927_FE_OFN18730_n_181),
+	.Y(n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8018__4733 (
+	.A(r_Clock_Count[15]),
+	.B_N(n_176),
+	.Y(n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g8019__6161 (
+	.A(n_170),
+	.B(n_25),
+	.C(n_21),
+	.D(n_23),
+	.Y(n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g8020__9315 (
+	.A1(r_Rx_Data),
+	.A2(n_23),
+	.B1(n_171),
+	.C1(n_137),
+	.Y(n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8021__9945 (
+	.A(n_173),
+	.B_N(n_127),
+	.Y(n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8022__2883 (
+	.A(n_172),
+	.B(n_25),
+	.Y(n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8023__2346 (
+	.A(n_173),
+	.B_N(n_136),
+	.Y(n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g8024 (
+	.A(FE_OFN1596_n_174),
+	.Y(n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8025__1666 (
+	.A1(n_25),
+	.A2(FE_PDN4097_FE_OFN18745_n_120),
+	.B1(n_171),
+	.Y(n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g8026__7410 (
+	.A1(FE_OFN1226_n_160),
+	.A2(n_26),
+	.A3(n_35),
+	.B1(n_126),
+	.Y(n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8031__6417 (
+	.A(n_169),
+	.B(n_26),
+	.Y(n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8033__5477 (
+	.A1(n_159),
+	.A2(n_26),
+	.B1(n_126),
+	.Y(n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8035__2398 (
+	.A(FE_OFN1226_n_160),
+	.B(r_Rx_Data),
+	.Y(n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g8036__5107 (
+	.A1(n_146),
+	.A2(n_23),
+	.A3(o_Rx_Byte[1]),
+	.B1(n_145),
+	.B2(r_Rx_Data),
+	.X(n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8039__6260 (
+	.A1(n_35),
+	.A2(n_141),
+	.B1(n_158),
+	.Y(n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8040__4319 (
+	.A1(n_35),
+	.A2(n_142),
+	.B1(n_161),
+	.Y(n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g8041__8428 (
+	.A1(n_148),
+	.A2(n_23),
+	.A3(o_Rx_Byte[2]),
+	.B1(n_147),
+	.B2(r_Rx_Data),
+	.X(n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8042__5526 (
+	.A1(n_35),
+	.A2(n_143),
+	.B1(n_157),
+	.Y(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8043__6783 (
+	.A1(n_35),
+	.A2(n_149),
+	.B1(n_156),
+	.Y(n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8044__3680 (
+	.A1(n_35),
+	.A2(n_134),
+	.B1(n_151),
+	.Y(n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8045__1617 (
+	.A(n_142),
+	.B(n_23),
+	.C(o_Rx_Byte[0]),
+	.Y(n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g8048 (
+	.A(FE_OFN1226_n_160),
+	.Y(n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8049__2802 (
+	.A(n_141),
+	.B(n_23),
+	.C(o_Rx_Byte[6]),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8050__1705 (
+	.A(n_143),
+	.B(n_23),
+	.C(o_Rx_Byte[5]),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8051__5122 (
+	.A(n_149),
+	.B(n_23),
+	.C(o_Rx_Byte[4]),
+	.Y(n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8052__8246 (
+	.A1(n_35),
+	.A2(n_137),
+	.B1(n_152),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8053__7098 (
+	.A1(r_Clock_Count[3]),
+	.A2(n_47),
+	.B1(n_150),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8054__6131 (
+	.A(n_144),
+	.B(n_135),
+	.Y(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8055__1881 (
+	.A1(n_2),
+	.A2(n_133),
+	.B1(n_134),
+	.Y(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8057__5115 (
+	.A(n_137),
+	.B(n_23),
+	.C(o_Rx_Byte[7]),
+	.Y(n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8058__7482 (
+	.A(n_134),
+	.B(n_23),
+	.C(o_Rx_Byte[3]),
+	.Y(n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g8059__4733 (
+	.A(n_132),
+	.B(n_62),
+	.C(n_69),
+	.D(n_61),
+	.Y(n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8060 (
+	.A(n_147),
+	.Y(n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8061 (
+	.A(n_145),
+	.Y(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8062__6161 (
+	.A1(n_30),
+	.A2(n_129),
+	.B1(r_Bit_Index[1]),
+	.Y(n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8063__9315 (
+	.A(n_139),
+	.B(r_Bit_Index[2]),
+	.Y(n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8064__9945 (
+	.A(r_Bit_Index[2]),
+	.B(n_138),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8065__2883 (
+	.A(r_Bit_Index[2]),
+	.B(n_135),
+	.Y(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g8066__2346 (
+	.A1(n_129),
+	.A2(r_Bit_Index[0]),
+	.B1(n_128),
+	.X(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8067__1666 (
+	.A_N(n_135),
+	.B(r_Bit_Index[2]),
+	.Y(n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8068__7410 (
+	.A(n_139),
+	.B(n_2),
+	.Y(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8069__6417 (
+	.A_N(n_138),
+	.B(r_Bit_Index[2]),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8070__5477 (
+	.A(r_Bit_Index[1]),
+	.B_N(n_128),
+	.Y(n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8071__2398 (
+	.A(n_128),
+	.B(r_Bit_Index[1]),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8073__5107 (
+	.A(n_130),
+	.B(r_Bit_Index[2]),
+	.Y(n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8074__6260 (
+	.A1(n_24),
+	.A2(n_10),
+	.B1(n_129),
+	.Y(n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g8075__4319 (
+	.A1(r_Clock_Count[9]),
+	.A2(n_74),
+	.B1(n_87),
+	.C1(n_121),
+	.Y(n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8076__8428 (
+	.A(n_1),
+	.B(n_13),
+	.C_N(n_127),
+	.Y(n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8077__5526 (
+	.A(n_122),
+	.B(n_6),
+	.C(r_Bit_Index[0]),
+	.Y(n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8078__6783 (
+	.A(n_130),
+	.B(n_2),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8079__3680 (
+	.A(n_124),
+	.B(n_18),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8081__1617 (
+	.A(n_10),
+	.B_N(n_122),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8082__2802 (
+	.A(n_22),
+	.B(n_122),
+	.Y(n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8083__1705 (
+	.A(r_Bit_Index[0]),
+	.B_N(n_122),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8084 (
+	.A(n_124),
+	.Y(n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g8085__5122 (
+	.A(r_Clock_Count[9]),
+	.B(n_117),
+	.COUT(n_127),
+	.SUM(n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8086__8246 (
+	.A(n_21),
+	.B(FE_PDN4097_FE_OFN18745_n_120),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8087__7098 (
+	.A_N(n_21),
+	.B(FE_PDN4097_FE_OFN18745_n_120),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g8088__6131 (
+	.A1(n_48),
+	.A2(r_Clock_Count[8]),
+	.B1(n_66),
+	.C1(n_98),
+	.D1(n_119),
+	.Y(n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g8089__1881 (
+	.A(FE_PDN4097_FE_OFN18745_n_120),
+	.B(n_24),
+	.X(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g8090__5115 (
+	.A1(n_113),
+	.A2(n_115),
+	.B1(n_69),
+	.X(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8091__7482 (
+	.A1(r_Clock_Count[11]),
+	.A2(n_80),
+	.B1(n_116),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g8092__4733 (
+	.A(r_Clock_Count[8]),
+	.B(n_111),
+	.COUT(n_117),
+	.SUM(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8093__6161 (
+	.A1(n_80),
+	.A2(r_Clock_Count[11]),
+	.B1(n_114),
+	.Y(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g8094__9315 (
+	.A(n_85),
+	.B(n_95),
+	.C(n_105),
+	.D(n_109),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g8095__9945 (
+	.A1(r_Clock_Count[10]),
+	.A2(n_55),
+	.B1(r_Clock_Count[15]),
+	.C1(n_110),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g8096__2883 (
+	.A1(n_85),
+	.A2(n_105),
+	.A3(n_107),
+	.B1(n_99),
+	.C1(n_106),
+	.Y(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g8097__2346 (
+	.A(r_Clock_Count[7]),
+	.B(n_100),
+	.COUT(n_111),
+	.SUM(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g8098__1666 (
+	.A1(n_55),
+	.A2(r_Clock_Count[10]),
+	.B1(n_90),
+	.C1(n_104),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8099__7410 (
+	.A1(n_68),
+	.A2(r_Clock_Count[8]),
+	.B1(n_108),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g8100__6417 (
+	.A1(n_72),
+	.A2(n_60),
+	.B1(n_88),
+	.C1(n_94),
+	.D1(n_92),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8101__5477 (
+	.A1(n_12),
+	.A2(n_74),
+	.B1(n_103),
+	.Y(n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g8102__2398 (
+	.A1_N(n_102),
+	.A2_N(n_97),
+	.B1(n_67),
+	.B2(r_Clock_Count[15]),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g8103__5107 (
+	.A1(n_80),
+	.A2(r_Clock_Count[12]),
+	.B1_N(n_102),
+	.Y(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8104__6260 (
+	.A1(r_Clock_Count[13]),
+	.A2(n_93),
+	.B1(n_96),
+	.Y(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g8105__4319 (
+	.A1(n_78),
+	.A2(n_95),
+	.B1(n_71),
+	.B2(n_91),
+	.Y(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g8106__8428 (
+	.A(r_Clock_Count[6]),
+	.B(n_82),
+	.COUT(n_100),
+	.SUM(n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g8107__5526 (
+	.A_N(n_76),
+	.B(r_Clock_Count[14]),
+	.C(n_93),
+	.Y(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g8108__6783 (
+	.A1(n_93),
+	.A2(r_Clock_Count[14]),
+	.B1_N(n_76),
+	.Y(n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g8109__3680 (
+	.A(n_77),
+	.B(n_63),
+	.C(n_52),
+	.D(n_79),
+	.Y(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g8110__1617 (
+	.A1(n_84),
+	.A2(r_Clock_Count[12]),
+	.A3(n_80),
+	.B1(r_Clock_Count[13]),
+	.B2(n_65),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g8111__2802 (
+	.A1(n_93),
+	.A2(r_Clock_Count[13]),
+	.B1(n_67),
+	.B2(r_Clock_Count[14]),
+	.C1(n_81),
+	.Y(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g8112__1705 (
+	.A1(n_5),
+	.A2(n_71),
+	.B1(n_89),
+	.X(n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8113__5122 (
+	.A(n_86),
+	.B(r_Clock_Count[4]),
+	.C(n_47),
+	.Y(n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g8114__8246 (
+	.A1(n_47),
+	.A2(r_Clock_Count[4]),
+	.B1(n_86),
+	.C1(n_73),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8115__7098 (
+	.A1(r_Clock_Count[10]),
+	.A2(n_74),
+	.B1(r_Clock_Count[11]),
+	.Y(n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8116__6131 (
+	.A1(n_58),
+	.A2(CLKS_PER_BIT[14]),
+	.B1(n_56),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g8117__1881 (
+	.A1_N(n_65),
+	.A2_N(r_Clock_Count[12]),
+	.B1(n_67),
+	.B2(r_Clock_Count[14]),
+	.Y(n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g8118__5115 (
+	.A1(n_74),
+	.A2(r_Clock_Count[10]),
+	.B1(n_48),
+	.B2(r_Clock_Count[9]),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g8119__7482 (
+	.A(n_75),
+	.B(r_Clock_Count[5]),
+	.C(n_40),
+	.Y(n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g8120__4733 (
+	.A1(n_74),
+	.A2(r_Clock_Count[9]),
+	.B1(n_32),
+	.B2(r_Clock_Count[2]),
+	.Y(n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8121 (
+	.A(n_85),
+	.Y(n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g8122__6161 (
+	.A(r_Clock_Count[5]),
+	.B(n_57),
+	.COUT(n_82),
+	.SUM(n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8123__9315 (
+	.A(r_Clock_Count[12]),
+	.B(n_65),
+	.Y(n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g8124__9945 (
+	.A(n_50),
+	.B(n_70),
+	.C(n_60),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8125__2883 (
+	.A(n_65),
+	.B(r_Clock_Count[13]),
+	.Y(n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g8126__2346 (
+	.A1_N(n_54),
+	.A2_N(r_Clock_Count[5]),
+	.B1(n_68),
+	.B2(r_Clock_Count[7]),
+	.Y(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g8127__1666 (
+	.A1(r_Clock_Count[9]),
+	.A2(n_48),
+	.B1(r_Clock_Count[8]),
+	.B2(n_68),
+	.Y(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g8128__7410 (
+	.A1_N(n_68),
+	.A2_N(r_Clock_Count[7]),
+	.B1(n_54),
+	.B2(r_Clock_Count[5]),
+	.Y(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8129__6417 (
+	.A1(n_49),
+	.A2(CLKS_PER_BIT[12]),
+	.B1(n_46),
+	.Y(n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8130__5477 (
+	.A(n_70),
+	.B(n_60),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8131__2398 (
+	.A(n_67),
+	.B(r_Clock_Count[15]),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g8132__5107 (
+	.A(r_Clock_Count[3]),
+	.B(n_59),
+	.C(n_32),
+	.X(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g8133__6260 (
+	.A1(r_Clock_Count[7]),
+	.A2(n_42),
+	.B1(r_Clock_Count[6]),
+	.B2(n_54),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8134__4319 (
+	.A1(n_44),
+	.A2(CLKS_PER_BIT[10]),
+	.B1(n_43),
+	.Y(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8135 (
+	.A(n_55),
+	.Y(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8136__8428 (
+	.A(r_Clock_Count[8]),
+	.B(n_48),
+	.Y(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8137__5526 (
+	.A(n_54),
+	.B(r_Clock_Count[6]),
+	.Y(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8138__6783 (
+	.A_N(CLKS_PER_BIT[15]),
+	.B(n_56),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8139__3680 (
+	.A1(n_39),
+	.A2(CLKS_PER_BIT[8]),
+	.B1(n_38),
+	.Y(n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8140__1617 (
+	.A_N(n_56),
+	.B(CLKS_PER_BIT[15]),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g8141__2802 (
+	.A1(r_Clock_Count[4]),
+	.A2(n_51),
+	.B1(r_Clock_Count[3]),
+	.B2(n_3),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g8142__1705 (
+	.A1_N(n_42),
+	.A2_N(r_Clock_Count[6]),
+	.B1(n_42),
+	.B2(r_Clock_Count[6]),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8143__5122 (
+	.A1(r_Clock_Count[0]),
+	.A2(n_20),
+	.B1(n_53),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g8144__8246 (
+	.A1_N(n_47),
+	.A2_N(r_Clock_Count[3]),
+	.B1(n_32),
+	.B2(r_Clock_Count[2]),
+	.Y(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g8145__7098 (
+	.A(CLKS_PER_BIT[13]),
+	.B(n_46),
+	.Y(n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g8146__6131 (
+	.A(r_Clock_Count[2]),
+	.B(n_36),
+	.C(n_41),
+	.X(n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8147__1881 (
+	.A_N(CLKS_PER_BIT[13]),
+	.B(n_46),
+	.Y(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8148__5115 (
+	.A(n_42),
+	.B(r_Clock_Count[7]),
+	.Y(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8149__7482 (
+	.A(n_3),
+	.B(n_51),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g8150__4733 (
+	.A1(n_20),
+	.A2(r_Clock_Count[0]),
+	.B1(n_41),
+	.B2(r_Clock_Count[1]),
+	.C1(n_45),
+	.Y(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g8151__6161 (
+	.A1_N(n_40),
+	.A2_N(r_Clock_Count[4]),
+	.B1(n_40),
+	.B2(r_Clock_Count[4]),
+	.Y(n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8152__9315 (
+	.A(CLKS_PER_BIT[14]),
+	.B(CLKS_PER_BIT[13]),
+	.C_N(n_46),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g8153__9945 (
+	.A(CLKS_PER_BIT[11]),
+	.B(n_43),
+	.Y(n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8154__2883 (
+	.A1(n_34),
+	.A2(CLKS_PER_BIT[6]),
+	.B1(n_31),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8155__2346 (
+	.A(n_40),
+	.B(r_Clock_Count[5]),
+	.Y(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8156__1666 (
+	.A_N(CLKS_PER_BIT[11]),
+	.B(n_43),
+	.Y(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8157__7410 (
+	.A_N(n_33),
+	.B(r_Clock_Count[3]),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g8158__6417 (
+	.A(CLKS_PER_BIT[9]),
+	.B(n_38),
+	.Y(n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8159__5477 (
+	.A1(n_29),
+	.A2(CLKS_PER_BIT[4]),
+	.B1(n_28),
+	.Y(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8160__2398 (
+	.A(CLKS_PER_BIT[12]),
+	.B(CLKS_PER_BIT[11]),
+	.C_N(n_43),
+	.Y(n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8161__5107 (
+	.A(r_Clock_Count[1]),
+	.B(n_41),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8162__6260 (
+	.A_N(CLKS_PER_BIT[9]),
+	.B(n_38),
+	.Y(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8163__4319 (
+	.A(CLKS_PER_BIT[10]),
+	.B(CLKS_PER_BIT[9]),
+	.C_N(n_38),
+	.Y(n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g8164__8428 (
+	.A(CLKS_PER_BIT[7]),
+	.B(n_31),
+	.Y(n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8165__5526 (
+	.A_N(CLKS_PER_BIT[7]),
+	.B(n_31),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8166__6783 (
+	.A1(n_16),
+	.A2(CLKS_PER_BIT[2]),
+	.B1(n_19),
+	.Y(n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g8167__3680 (
+	.A(CLKS_PER_BIT[5]),
+	.B(n_28),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g8168__1617 (
+	.A1_N(r_Clock_Count[2]),
+	.A2_N(n_8),
+	.B1(r_Clock_Count[2]),
+	.B2(n_8),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g8169__2802 (
+	.A(r_Clock_Count[1]),
+	.B(n_7),
+	.C(n_20),
+	.X(n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8170__1705 (
+	.A(CLKS_PER_BIT[8]),
+	.B(CLKS_PER_BIT[7]),
+	.C_N(n_31),
+	.Y(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g8171 (
+	.A(r_Rx_Data),
+	.Y(n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8172__5122 (
+	.A_N(CLKS_PER_BIT[5]),
+	.B(n_28),
+	.Y(n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8174__8246 (
+	.A_N(n_8),
+	.B(r_Clock_Count[2]),
+	.Y(n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g8175__7098 (
+	.A(CLKS_PER_BIT[3]),
+	.B(n_19),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8176__6131 (
+	.A(CLKS_PER_BIT[6]),
+	.B(CLKS_PER_BIT[5]),
+	.C_N(n_28),
+	.Y(n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8177__1881 (
+	.A(r_Bit_Index[0]),
+	.B(n_25),
+	.Y(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8178__5115 (
+	.A_N(CLKS_PER_BIT[3]),
+	.B(n_19),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8179__7482 (
+	.A_N(r_Rx_Data_R),
+	.B(FE_OFN19_n_470),
+	.Y(n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g8180__4733 (
+	.A(CLKS_PER_BIT[4]),
+	.B(CLKS_PER_BIT[3]),
+	.C_N(n_19),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8181 (
+	.A(n_25),
+	.Y(n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g8182 (
+	.A(n_22),
+	.Y(n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8184__6161 (
+	.A(r_SM_Main[1]),
+	.B(n_9),
+	.Y(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8185__9315 (
+	.A(n_14),
+	.B(r_SM_Main[1]),
+	.Y(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g8186__9945 (
+	.A(r_SM_Main[1]),
+	.B_N(n_14),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g8187__2883 (
+	.A1(r_SM_Main[0]),
+	.A2(r_SM_Main[1]),
+	.B1(o_Rx_DV),
+	.Y(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g8188__2346 (
+	.A(r_Clock_Count[0]),
+	.B(r_Clock_Count[1]),
+	.X(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8189__1666 (
+	.A_N(n_9),
+	.B(r_SM_Main[1]),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g8190__7410 (
+	.A1(CLKS_PER_BIT[1]),
+	.A2(CLKS_PER_BIT[0]),
+	.B1(n_15),
+	.Y(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g8191__6417 (
+	.A(CLKS_PER_BIT[0]),
+	.B(CLKS_PER_BIT[2]),
+	.C(CLKS_PER_BIT[1]),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g8192 (
+	.A(n_15),
+	.Y(n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g8193 (
+	.A(n_13),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8194__5477 (
+	.A_N(i_Rx_Serial),
+	.B(FE_OFN19_n_470),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8195__2398 (
+	.A(CLKS_PER_BIT[1]),
+	.B(CLKS_PER_BIT[0]),
+	.Y(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g8196__5107 (
+	.A(r_SM_Main[0]),
+	.B(r_SM_Main[2]),
+	.Y(n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8197__6260 (
+	.A(r_Clock_Count[11]),
+	.B(r_Clock_Count[10]),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g8198__4319 (
+	.A(CLKS_PER_BIT[0]),
+	.B(r_Clock_Count[0]),
+	.X(n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8199__8428 (
+	.A(r_Bit_Index[0]),
+	.B(r_Bit_Index[1]),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g8200__5526 (
+	.A_N(r_SM_Main[2]),
+	.B(r_SM_Main[0]),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g8201__6783 (
+	.A(r_Clock_Count[1]),
+	.B(r_Clock_Count[0]),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_154),
+	.Q(r_Bit_Index[1]),
+	.Q_N(n_6),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[11]  (
+	.CLK(clk_i),
+	.D(n_201),
+	.Q(r_Clock_Count[11]),
+	.Q_N(n_5),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[3]  (
+	.CLK(clk_i),
+	.D(n_198),
+	.Q(r_Clock_Count[3]),
+	.Q_N(n_4),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[4]  (
+	.CLK(clk_i),
+	.D(n_197),
+	.Q(r_Clock_Count[4]),
+	.Q_N(n_3),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2]  (
+	.CLK(clk_i_clone2),
+	.D(n_153),
+	.Q(r_Bit_Index[2]),
+	.Q_N(n_2),
+	.RESET_B(FE_OFN20_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[12]  (
+	.CLK(clk_i),
+	.D(n_200),
+	.Q(r_Clock_Count[12]),
+	.Q_N(n_1),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14]  (
+	.CLK(clk_i),
+	.D(n_202),
+	.Q(r_Clock_Count[14]),
+	.Q_N(n_0),
+	.RESET_B(rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module uart_rx(clk_i, rst_ni, i_Rx_Serial, CLKS_PER_BIT, sbit_o,
-     o_Rx_DV, o_Rx_Byte);
-  input clk_i, rst_ni, i_Rx_Serial;
-  input [15:0] CLKS_PER_BIT;
-  output sbit_o, o_Rx_DV;
-  output [7:0] o_Rx_Byte;
-  wire clk_i, rst_ni, i_Rx_Serial;
-  wire [15:0] CLKS_PER_BIT;
-  wire sbit_o, o_Rx_DV;
-  wire [7:0] o_Rx_Byte;
-  wire [2:0] r_Bit_Index;
-  wire [15:0] r_Clock_Count;
-  wire [2:0] r_SM_Main;
-  wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;
-  wire n_8, n_9, n_10, n_11, n_12, n_13, n_15, n_17;
-  wire n_18, n_19, n_20, n_21, n_22, n_24, n_25, n_26;
-  wire n_27, n_28, n_29, n_30, n_31, n_32, n_33, n_34;
-  wire n_35, n_36, n_37, n_38, n_39, n_40, n_41, n_42;
-  wire n_43, n_44, n_45, n_46, n_47, n_48, n_49, n_50;
-  wire n_51, n_52, n_53, n_54, n_55, n_56, n_57, n_58;
-  wire n_59, n_60, n_61, n_62, n_63, n_64, n_65, n_66;
-  wire n_67, n_68, n_69, n_70, n_71, n_72, n_73, n_74;
-  wire n_75, n_76, n_77, n_78, n_79, n_80, n_81, n_82;
-  wire n_83, n_84, n_85, n_86, n_87, n_88, n_89, n_90;
-  wire n_91, n_92, n_93, n_94, n_95, n_96, n_97, n_98;
-  wire n_99, n_100, n_101, n_102, n_103, n_104, n_105, n_106;
-  wire n_107, n_108, n_109, n_110, n_111, n_112, n_113, n_114;
-  wire n_115, n_116, n_117, n_118, n_119, n_120, n_122, n_123;
-  wire n_124, n_125, n_126, n_127, n_128, n_129, n_130, n_131;
-  wire n_132, n_133, n_134, n_135, n_136, n_137, n_138, n_139;
-  wire n_140, n_141, n_142, n_143, n_144, n_145, n_146, n_147;
-  wire n_148, n_149, n_150, n_151, n_152, n_153, n_154, n_155;
-  wire n_156, n_157, n_158, n_159, n_160, n_161, n_162, n_163;
-  wire n_164, n_165, n_166, n_167, n_168, n_169, n_170, n_171;
-  wire n_172, n_173, n_174, n_175, n_176, n_177, n_178, n_179;
-  wire n_180, n_181, n_182, n_183, n_184, n_185, n_186, n_187;
-  wire n_188, n_189, n_190, n_191, n_192, n_193, n_194, n_195;
-  wire n_223, n_224, r_Rx_Data, r_Rx_Data_R;
-  sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_140), .Q (r_Bit_Index[0]));
-  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_132), .SCD (n_156), .SCE (r_Clock_Count[0]),
-       .Q (r_Clock_Count[0]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_165), .Q (r_Clock_Count[1]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_172), .Q (r_Clock_Count[2]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[3] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_171), .Q (r_Clock_Count[3]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[4] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_170), .Q (r_Clock_Count[4]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_169), .Q (r_Clock_Count[5]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_168), .Q (r_Clock_Count[6]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_167), .Q (r_Clock_Count[7]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_166), .Q (r_Clock_Count[8]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_173), .Q (r_Clock_Count[9]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[10] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_182), .Q (r_Clock_Count[10]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[11] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_185), .Q (r_Clock_Count[11]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[12] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_190), .Q (r_Clock_Count[12]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[13] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_191), .Q (r_Clock_Count[13]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_179), .Q (o_Rx_Byte[0]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_178), .Q (o_Rx_Byte[1]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_175), .Q (o_Rx_Byte[2]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_180), .Q (o_Rx_Byte[3]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_177), .Q (o_Rx_Byte[4]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_174), .Q (o_Rx_Byte[5]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_176), .Q (o_Rx_Byte[6]));
-  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_181), .Q (o_Rx_Byte[7]));
-  sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg(.RESET_B (rst_ni), .CLK (clk_i),
-       .D (n_125), .Q (o_Rx_DV));
-  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg(.CLK (clk_i), .D (n_7), .Q
-       (r_Rx_Data_R));
-  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg(.CLK (clk_i), .D (n_20), .Q
-       (r_Rx_Data));
-  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_155), .Q (r_SM_Main[0]));
-  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_141), .Q (r_SM_Main[1]));
-  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_120), .Q (r_SM_Main[2]));
-  sky130_fd_sc_hd__dfrtp_1 sbit_o_reg(.RESET_B (rst_ni), .CLK (clk_i),
-       .D (n_36), .Q (sbit_o));
-  sky130_fd_sc_hd__o22ai_1 g7105__3680(.A1 (n_3), .A2 (n_192), .B1
-       (n_193), .B2 (n_131), .Y (n_195));
-  sky130_fd_sc_hd__o32ai_1 g7106__1617(.A1 (r_Clock_Count[14]), .A2
-       (n_188), .A3 (n_131), .B1 (n_1), .B2 (n_192), .Y (n_194));
-  sky130_fd_sc_hd__o32a_1 g7108__2802(.A1 (r_Clock_Count[15]), .A2
-       (n_1), .A3 (n_188), .B1 (n_3), .B2 (r_Clock_Count[14]), .X
-       (n_193));
-  sky130_fd_sc_hd__a21oi_1 g7109__1705(.A1 (n_132), .A2 (n_188), .B1
-       (n_156), .Y (n_192));
-  sky130_fd_sc_hd__a22o_1 g7110__5122(.A1 (n_132), .A2 (n_189), .B1
-       (n_156), .B2 (r_Clock_Count[13]), .X (n_191));
-  sky130_fd_sc_hd__a22o_1 g7112__8246(.A1 (n_132), .A2 (n_186), .B1
-       (n_156), .B2 (r_Clock_Count[12]), .X (n_190));
-  sky130_fd_sc_hd__xor2_1 g7113__7098(.A (r_Clock_Count[13]), .B
-       (n_187), .X (n_189));
-  sky130_fd_sc_hd__nand2_1 g7114__6131(.A (n_187), .B
-       (r_Clock_Count[13]), .Y (n_188));
-  sky130_fd_sc_hd__ha_1 g7115__1881(.A (r_Clock_Count[12]), .B (n_183),
-       .COUT (n_187), .SUM (n_186));
-  sky130_fd_sc_hd__a22o_1 g7117__5115(.A1 (n_132), .A2 (n_184), .B1
-       (n_156), .B2 (r_Clock_Count[11]), .X (n_185));
-  sky130_fd_sc_hd__ha_1 g7118__7482(.A (r_Clock_Count[11]), .B (n_163),
-       .COUT (n_183), .SUM (n_184));
-  sky130_fd_sc_hd__a22o_1 g7129__4733(.A1 (n_132), .A2 (n_164), .B1
-       (n_156), .B2 (r_Clock_Count[10]), .X (n_182));
-  sky130_fd_sc_hd__o22ai_1 g7139__6161(.A1 (n_15), .A2 (n_153), .B1
-       (n_29), .B2 (n_143), .Y (n_181));
-  sky130_fd_sc_hd__o22ai_1 g7140__9315(.A1 (n_15), .A2 (n_160), .B1
-       (n_29), .B2 (n_142), .Y (n_180));
-  sky130_fd_sc_hd__o22ai_1 g7141__9945(.A1 (n_15), .A2 (n_159), .B1
-       (n_29), .B2 (n_145), .Y (n_179));
-  sky130_fd_sc_hd__o22ai_1 g7142__2883(.A1 (n_15), .A2 (n_158), .B1
-       (n_29), .B2 (n_149), .Y (n_178));
-  sky130_fd_sc_hd__o2bb2ai_1 g7143__2346(.A1_N (r_Rx_Data), .A2_N
-       (n_148), .B1 (n_15), .B2 (n_161), .Y (n_177));
-  sky130_fd_sc_hd__o22ai_1 g7144__1666(.A1 (n_15), .A2 (n_154), .B1
-       (n_29), .B2 (n_144), .Y (n_176));
-  sky130_fd_sc_hd__o22ai_1 g7145__7410(.A1 (n_15), .A2 (n_157), .B1
-       (n_29), .B2 (n_146), .Y (n_175));
-  sky130_fd_sc_hd__o22ai_1 g7146__6417(.A1 (n_15), .A2 (n_151), .B1
-       (n_29), .B2 (n_147), .Y (n_174));
-  sky130_fd_sc_hd__a22o_1 g7148__5477(.A1 (n_132), .A2 (n_136), .B1
-       (n_156), .B2 (r_Clock_Count[9]), .X (n_173));
-  sky130_fd_sc_hd__a22o_1 g7149__2398(.A1 (n_132), .A2 (n_42), .B1
-       (n_156), .B2 (r_Clock_Count[2]), .X (n_172));
-  sky130_fd_sc_hd__a22o_1 g7150__5107(.A1 (n_132), .A2 (n_60), .B1
-       (n_156), .B2 (r_Clock_Count[3]), .X (n_171));
-  sky130_fd_sc_hd__a22o_1 g7151__6260(.A1 (n_132), .A2 (n_81), .B1
-       (n_156), .B2 (r_Clock_Count[4]), .X (n_170));
-  sky130_fd_sc_hd__a22o_1 g7152__4319(.A1 (n_132), .A2 (n_96), .B1
-       (n_156), .B2 (r_Clock_Count[5]), .X (n_169));
-  sky130_fd_sc_hd__a22o_1 g7153__8428(.A1 (n_132), .A2 (n_103), .B1
-       (n_156), .B2 (r_Clock_Count[6]), .X (n_168));
-  sky130_fd_sc_hd__a22o_1 g7154__5526(.A1 (n_132), .A2 (n_111), .B1
-       (n_156), .B2 (r_Clock_Count[7]), .X (n_167));
-  sky130_fd_sc_hd__a22o_1 g7155__6783(.A1 (n_132), .A2 (n_117), .B1
-       (n_156), .B2 (r_Clock_Count[8]), .X (n_166));
-  sky130_fd_sc_hd__a22o_1 g7156__3680(.A1 (n_132), .A2 (n_25), .B1
-       (n_156), .B2 (r_Clock_Count[1]), .X (n_165));
-  sky130_fd_sc_hd__ha_1 g7157__1617(.A (r_Clock_Count[10]), .B (n_135),
-       .COUT (n_163), .SUM (n_164));
-  sky130_fd_sc_hd__nand2_1 g7159__2802(.A (n_152), .B (n_142), .Y
-       (n_162));
-  sky130_fd_sc_hd__nand2b_1 g7161__1705(.A_N (n_148), .B
-       (o_Rx_Byte[4]), .Y (n_161));
-  sky130_fd_sc_hd__nand2_1 g7162__5122(.A (n_142), .B (o_Rx_Byte[3]),
-       .Y (n_160));
-  sky130_fd_sc_hd__nand2_1 g7163__8246(.A (n_145), .B (o_Rx_Byte[0]),
-       .Y (n_159));
-  sky130_fd_sc_hd__nand2_1 g7164__7098(.A (n_149), .B (o_Rx_Byte[1]),
-       .Y (n_158));
-  sky130_fd_sc_hd__nand2_1 g7165__6131(.A (n_146), .B (o_Rx_Byte[2]),
-       .Y (n_157));
-  sky130_fd_sc_hd__nand3_1 g7168__1881(.A (n_143), .B (n_127), .C
-       (n_35), .Y (n_155));
-  sky130_fd_sc_hd__nand2_1 g7169__5115(.A (n_144), .B (o_Rx_Byte[6]),
-       .Y (n_154));
-  sky130_fd_sc_hd__nand2_1 g7170__7482(.A (n_143), .B (o_Rx_Byte[7]),
-       .Y (n_153));
-  sky130_fd_sc_hd__o21ai_1 g7171__4733(.A1 (n_19), .A2 (n_133), .B1
-       (r_Bit_Index[2]), .Y (n_152));
-  sky130_fd_sc_hd__nand2_1 g7172__6161(.A (n_147), .B (o_Rx_Byte[5]),
-       .Y (n_151));
-  sky130_fd_sc_hd__a21o_1 g7173__9315(.A1 (n_133), .A2
-       (r_Bit_Index[1]), .B1 (n_137), .X (n_150));
-  sky130_fd_sc_hd__nor2_1 g7174__9945(.A (n_15), .B (n_139), .Y
-       (n_156));
-  sky130_fd_sc_hd__nand2_1 g7175__2883(.A (n_137), .B (n_2), .Y
-       (n_149));
-  sky130_fd_sc_hd__nor2_1 g7176__2346(.A (r_Bit_Index[1]), .B (n_138),
-       .Y (n_148));
-  sky130_fd_sc_hd__nand2_1 g7177__1666(.A (n_137), .B (r_Bit_Index[2]),
-       .Y (n_147));
-  sky130_fd_sc_hd__nand2_1 g7178__7410(.A (n_134), .B (r_Bit_Index[1]),
-       .Y (n_146));
-  sky130_fd_sc_hd__nand2_1 g7179__6417(.A (n_134), .B (n_0), .Y
-       (n_145));
-  sky130_fd_sc_hd__nand2_1 g7180__5477(.A (n_130), .B (n_17), .Y
-       (n_141));
-  sky130_fd_sc_hd__a21o_1 g7181__2398(.A1 (n_126), .A2
-       (r_Bit_Index[0]), .B1 (n_128), .X (n_140));
-  sky130_fd_sc_hd__nand3_1 g7182__5107(.A (n_124), .B (n_17), .C
-       (n_18), .Y (n_139));
-  sky130_fd_sc_hd__nand2b_1 g7183__6260(.A_N (n_138), .B
-       (r_Bit_Index[1]), .Y (n_144));
-  sky130_fd_sc_hd__or3_1 g7184__4319(.A (n_0), .B (n_2), .C (n_129), .X
-       (n_143));
-  sky130_fd_sc_hd__or3_1 g7185__8428(.A (r_Bit_Index[2]), .B (n_0), .C
-       (n_129), .X (n_142));
-  sky130_fd_sc_hd__ha_1 g7186__5526(.A (r_Clock_Count[9]), .B (n_116),
-       .COUT (n_135), .SUM (n_136));
-  sky130_fd_sc_hd__nand2_1 g7188__6783(.A (n_128), .B (r_Bit_Index[2]),
-       .Y (n_138));
-  sky130_fd_sc_hd__nor2_1 g7189__3680(.A (r_Bit_Index[1]), .B (n_129),
-       .Y (n_137));
-  sky130_fd_sc_hd__inv_1 g7190(.A (n_132), .Y (n_131));
-  sky130_fd_sc_hd__a31oi_1 g7191__1617(.A1 (n_115), .A2 (n_13), .A3
-       (n_29), .B1 (n_123), .Y (n_130));
-  sky130_fd_sc_hd__and2_1 g7192__2802(.A (n_128), .B (n_2), .X (n_134));
-  sky130_fd_sc_hd__o21bai_1 g7193__1705(.A1 (r_Bit_Index[0]), .A2
-       (n_17), .B1_N (n_126), .Y (n_133));
-  sky130_fd_sc_hd__o21ai_1 g7194__5122(.A1 (n_17), .A2 (n_118), .B1
-       (n_127), .Y (n_132));
-  sky130_fd_sc_hd__nand2_1 g7196__8246(.A (n_122), .B (r_Bit_Index[0]),
-       .Y (n_129));
-  sky130_fd_sc_hd__nor2_1 g7197__7098(.A (r_Bit_Index[0]), .B (n_223),
-       .Y (n_128));
-  sky130_fd_sc_hd__nand2b_1 g7198__6131(.A_N (n_120), .B (n_10), .Y
-       (n_125));
-  sky130_fd_sc_hd__nand2_1 g7199__1881(.A (n_119), .B (n_13), .Y
-       (n_124));
-  sky130_fd_sc_hd__a21oi_1 g7200__5115(.A1 (n_114), .A2 (n_13), .B1
-       (n_123), .Y (n_127));
-  sky130_fd_sc_hd__nor2_1 g7201__7482(.A (n_15), .B (n_122), .Y
-       (n_126));
-  sky130_fd_sc_hd__clkinv_1 g7202(.A (n_223), .Y (n_122));
-  sky130_fd_sc_hd__nor2_1 g7203__4733(.A (n_18), .B (n_118), .Y
-       (n_123));
-  sky130_fd_sc_hd__nand2_1 g7205__6161(.A (n_115), .B (r_Rx_Data), .Y
-       (n_119));
-  sky130_fd_sc_hd__nor2b_1 g7206__9315(.A (n_18), .B_N (n_118), .Y
-       (n_120));
-  sky130_fd_sc_hd__ha_1 g7207__9945(.A (r_Clock_Count[8]), .B (n_110),
-       .COUT (n_116), .SUM (n_117));
-  sky130_fd_sc_hd__a31oi_1 g7208__2883(.A1 (n_109), .A2 (n_112), .A3
-       (n_90), .B1 (n_62), .Y (n_118));
-  sky130_fd_sc_hd__inv_1 g7209(.A (n_115), .Y (n_114));
-  sky130_fd_sc_hd__nor2_1 g7210__2346(.A (n_62), .B (n_113), .Y
-       (n_115));
-  sky130_fd_sc_hd__o2111ai_1 g7211__1666(.A1 (r_Clock_Count[3]), .A2
-       (n_46), .B1 (n_55), .C1 (n_100), .D1 (n_108), .Y (n_113));
-  sky130_fd_sc_hd__or3_1 g7212__7410(.A (n_85), .B (n_107), .C (n_99),
-       .X (n_112));
-  sky130_fd_sc_hd__ha_1 g7213__6417(.A (r_Clock_Count[7]), .B (n_102),
-       .COUT (n_110), .SUM (n_111));
-  sky130_fd_sc_hd__a221oi_1 g7214__5477(.A1 (n_97), .A2 (n_83), .B1
-       (n_61), .B2 (r_Clock_Count[15]), .C1 (n_106), .Y (n_109));
-  sky130_fd_sc_hd__nor2_1 g7215__2398(.A (r_Clock_Count[15]), .B
-       (n_104), .Y (n_108));
-  sky130_fd_sc_hd__o21ai_1 g7216__5107(.A1 (r_Clock_Count[8]), .A2
-       (n_57), .B1 (n_105), .Y (n_107));
-  sky130_fd_sc_hd__a41oi_1 g7217__6260(.A1 (n_91), .A2 (n_89), .A3
-       (n_78), .A4 (n_66), .B1 (n_99), .Y (n_106));
-  sky130_fd_sc_hd__maj3_1 g7218__4319(.A (n_38), .B (n_101), .C
-       (r_Clock_Count[7]), .X (n_105));
-  sky130_fd_sc_hd__nand4_1 g7219__8428(.A (n_98), .B (n_87), .C (n_40),
-       .D (n_44), .Y (n_104));
-  sky130_fd_sc_hd__ha_1 g7220__5526(.A (r_Clock_Count[6]), .B (n_95),
-       .COUT (n_102), .SUM (n_103));
-  sky130_fd_sc_hd__maj3_1 g7221__6783(.A (n_51), .B (n_94), .C
-       (r_Clock_Count[6]), .X (n_101));
-  sky130_fd_sc_hd__nor4_1 g7222__3680(.A (n_43), .B (n_69), .C (n_93),
-       .D (n_92), .Y (n_100));
-  sky130_fd_sc_hd__o21ai_1 g7223__1617(.A1 (r_Clock_Count[12]), .A2
-       (n_71), .B1 (n_97), .Y (n_99));
-  sky130_fd_sc_hd__a211oi_1 g7224__2802(.A1 (n_61), .A2
-       (r_Clock_Count[14]), .B1 (n_64), .C1 (n_86), .Y (n_98));
-  sky130_fd_sc_hd__ha_1 g7225__1705(.A (r_Clock_Count[5]), .B (n_80),
-       .COUT (n_95), .SUM (n_96));
-  sky130_fd_sc_hd__maj3_1 g7226__5122(.A (n_32), .B (n_84), .C
-       (r_Clock_Count[5]), .X (n_94));
-  sky130_fd_sc_hd__nor2_1 g7227__8246(.A (n_67), .B (n_88), .Y (n_97));
-  sky130_fd_sc_hd__o2111ai_1 g7228__7098(.A1 (r_Clock_Count[1]), .A2
-       (n_34), .B1 (n_50), .C1 (n_63), .D1 (n_75), .Y (n_93));
-  sky130_fd_sc_hd__o2111ai_1 g7229__6131(.A1 (r_Clock_Count[11]), .A2
-       (n_71), .B1 (n_76), .C1 (n_74), .D1 (n_79), .Y (n_92));
-  sky130_fd_sc_hd__nand3b_1 g7230__1881(.A_N (n_85), .B (n_57), .C
-       (r_Clock_Count[8]), .Y (n_91));
-  sky130_fd_sc_hd__nand3b_1 g7231__5115(.A_N (n_67), .B (n_77), .C
-       (r_Clock_Count[14]), .Y (n_90));
-  sky130_fd_sc_hd__nand3_1 g7232__7482(.A (n_82), .B (n_48), .C
-       (r_Clock_Count[9]), .Y (n_89));
-  sky130_fd_sc_hd__o22ai_1 g7233__4733(.A1 (r_Clock_Count[14]), .A2
-       (n_77), .B1 (r_Clock_Count[13]), .B2 (n_58), .Y (n_88));
-  sky130_fd_sc_hd__a22oi_1 g7234__6161(.A1 (n_77), .A2
-       (r_Clock_Count[13]), .B1 (n_58), .B2 (r_Clock_Count[12]), .Y
-       (n_87));
-  sky130_fd_sc_hd__o22ai_1 g7235__9315(.A1 (r_Clock_Count[13]), .A2
-       (n_77), .B1 (r_Clock_Count[12]), .B2 (n_58), .Y (n_86));
-  sky130_fd_sc_hd__o21ai_1 g7236__9945(.A1 (r_Clock_Count[9]), .A2
-       (n_48), .B1 (n_82), .Y (n_85));
-  sky130_fd_sc_hd__maj3_1 g7237__2883(.A (n_46), .B (n_72), .C
-       (r_Clock_Count[4]), .X (n_84));
-  sky130_fd_sc_hd__a22o_1 g7238__2346(.A1 (n_58), .A2
-       (r_Clock_Count[13]), .B1 (n_71), .B2 (r_Clock_Count[12]), .X
-       (n_83));
-  sky130_fd_sc_hd__ha_1 g7239__1666(.A (r_Clock_Count[4]), .B (n_59),
-       .COUT (n_80), .SUM (n_81));
-  sky130_fd_sc_hd__nand2_1 g7240__7410(.A (n_71), .B
-       (r_Clock_Count[11]), .Y (n_79));
-  sky130_fd_sc_hd__nand3b_1 g7241__6417(.A_N (n_68), .B (n_65), .C
-       (r_Clock_Count[10]), .Y (n_78));
-  sky130_fd_sc_hd__nor2_1 g7242__5477(.A (n_73), .B (n_68), .Y (n_82));
-  sky130_fd_sc_hd__a2bb2oi_1 g7243__2398(.A1_N (r_Clock_Count[8]),
-       .A2_N (n_48), .B1 (r_Clock_Count[9]), .B2 (n_65), .Y (n_76));
-  sky130_fd_sc_hd__a211oi_1 g7244__5107(.A1 (n_38), .A2
-       (r_Clock_Count[6]), .B1 (n_54), .C1 (n_70), .Y (n_75));
-  sky130_fd_sc_hd__a2bb2oi_1 g7245__6260(.A1_N (r_Clock_Count[9]),
-       .A2_N (n_65), .B1 (r_Clock_Count[10]), .B2 (n_56), .Y (n_74));
-  sky130_fd_sc_hd__a21oi_1 g7246__4319(.A1 (n_53), .A2
-       (CLKS_PER_BIT[14]), .B1 (n_52), .Y (n_77));
-  sky130_fd_sc_hd__nor2_1 g7247__8428(.A (r_Clock_Count[10]), .B
-       (n_65), .Y (n_73));
-  sky130_fd_sc_hd__maj3_1 g7248__5526(.A (n_27), .B (n_49), .C
-       (r_Clock_Count[3]), .X (n_72));
-  sky130_fd_sc_hd__o2bb2ai_1 g7249__6783(.A1_N (r_Clock_Count[7]),
-       .A2_N (n_57), .B1 (r_Clock_Count[7]), .B2 (n_57), .Y (n_70));
-  sky130_fd_sc_hd__o2bb2ai_1 g7250__3680(.A1_N (r_Clock_Count[8]),
-       .A2_N (n_48), .B1 (r_Clock_Count[10]), .B2 (n_56), .Y (n_69));
-  sky130_fd_sc_hd__a21oi_1 g7251__1617(.A1 (n_47), .A2
-       (CLKS_PER_BIT[12]), .B1 (n_45), .Y (n_71));
-  sky130_fd_sc_hd__nand2_1 g7252__2802(.A (n_56), .B
-       (r_Clock_Count[11]), .Y (n_66));
-  sky130_fd_sc_hd__nor2_1 g7253__1705(.A (r_Clock_Count[11]), .B
-       (n_56), .Y (n_68));
-  sky130_fd_sc_hd__nor2_1 g7254__5122(.A (r_Clock_Count[15]), .B
-       (n_61), .Y (n_67));
-  sky130_fd_sc_hd__nor2_1 g7255__8246(.A (r_Clock_Count[14]), .B
-       (n_61), .Y (n_64));
-  sky130_fd_sc_hd__a2bb2oi_1 g7256__7098(.A1_N (r_Clock_Count[5]),
-       .A2_N (n_51), .B1 (r_Clock_Count[5]), .B2 (n_51), .Y (n_63));
-  sky130_fd_sc_hd__a21oi_1 g7257__6131(.A1 (n_39), .A2
-       (CLKS_PER_BIT[10]), .B1 (n_37), .Y (n_65));
-  sky130_fd_sc_hd__ha_1 g7258__1881(.A (r_Clock_Count[3]), .B (n_41),
-       .COUT (n_59), .SUM (n_60));
-  sky130_fd_sc_hd__nor2b_1 g7259__5115(.A (CLKS_PER_BIT[15]), .B_N
-       (n_52), .Y (n_62));
-  sky130_fd_sc_hd__nand2b_1 g7260__7482(.A_N (n_52), .B
-       (CLKS_PER_BIT[15]), .Y (n_61));
-  sky130_fd_sc_hd__xnor2_1 g7261__4733(.A (CLKS_PER_BIT[13]), .B
-       (n_45), .Y (n_58));
-  sky130_fd_sc_hd__a21oi_1 g7262__6161(.A1 (n_33), .A2
-       (CLKS_PER_BIT[8]), .B1 (n_31), .Y (n_57));
-  sky130_fd_sc_hd__nand2_1 g7263__9315(.A (n_46), .B
-       (r_Clock_Count[3]), .Y (n_55));
-  sky130_fd_sc_hd__nor2_1 g7264__9945(.A (r_Clock_Count[6]), .B (n_38),
-       .Y (n_54));
-  sky130_fd_sc_hd__nand2b_1 g7265__2883(.A_N (CLKS_PER_BIT[13]), .B
-       (n_45), .Y (n_53));
-  sky130_fd_sc_hd__xnor2_1 g7266__2346(.A (CLKS_PER_BIT[11]), .B
-       (n_37), .Y (n_56));
-  sky130_fd_sc_hd__xor2_1 g7267__1666(.A (r_Clock_Count[4]), .B (n_32),
-       .X (n_50));
-  sky130_fd_sc_hd__maj3_1 g7268__7410(.A (n_34), .B (n_30), .C
-       (r_Clock_Count[2]), .X (n_49));
-  sky130_fd_sc_hd__nor3b_1 g7269__6417(.A (CLKS_PER_BIT[14]), .B
-       (CLKS_PER_BIT[13]), .C_N (n_45), .Y (n_52));
-  sky130_fd_sc_hd__a21oi_1 g7270__5477(.A1 (n_28), .A2
-       (CLKS_PER_BIT[6]), .B1 (n_26), .Y (n_51));
-  sky130_fd_sc_hd__nand2b_1 g7272__2398(.A_N (CLKS_PER_BIT[11]), .B
-       (n_37), .Y (n_47));
-  sky130_fd_sc_hd__xnor2_1 g7273__5107(.A (CLKS_PER_BIT[9]), .B (n_31),
-       .Y (n_48));
-  sky130_fd_sc_hd__a22oi_1 g7274__6260(.A1 (n_27), .A2
-       (r_Clock_Count[2]), .B1 (n_12), .B2 (r_Clock_Count[0]), .Y
-       (n_44));
-  sky130_fd_sc_hd__o22ai_1 g7275__4319(.A1 (r_Clock_Count[2]), .A2
-       (n_27), .B1 (r_Clock_Count[0]), .B2 (n_12), .Y (n_43));
-  sky130_fd_sc_hd__a21oi_1 g7276__8428(.A1 (n_22), .A2
-       (CLKS_PER_BIT[4]), .B1 (n_21), .Y (n_46));
-  sky130_fd_sc_hd__nor3b_1 g7277__5526(.A (CLKS_PER_BIT[11]), .B
-       (CLKS_PER_BIT[12]), .C_N (n_37), .Y (n_45));
-  sky130_fd_sc_hd__ha_1 g7278__6783(.A (r_Clock_Count[2]), .B (n_24),
-       .COUT (n_41), .SUM (n_42));
-  sky130_fd_sc_hd__nand2_1 g7279__3680(.A (n_34), .B
-       (r_Clock_Count[1]), .Y (n_40));
-  sky130_fd_sc_hd__nand2b_1 g7280__1617(.A_N (CLKS_PER_BIT[9]), .B
-       (n_31), .Y (n_39));
-  sky130_fd_sc_hd__nand2_1 g7281__2802(.A (n_35), .B (n_224), .Y
-       (n_36));
-  sky130_fd_sc_hd__xnor2_1 g7282__1705(.A (CLKS_PER_BIT[7]), .B (n_26),
-       .Y (n_38));
-  sky130_fd_sc_hd__nor3b_1 g7283__5122(.A (CLKS_PER_BIT[9]), .B
-       (CLKS_PER_BIT[10]), .C_N (n_31), .Y (n_37));
-  sky130_fd_sc_hd__nand2b_1 g7284__8246(.A_N (CLKS_PER_BIT[7]), .B
-       (n_26), .Y (n_33));
-  sky130_fd_sc_hd__nand2_1 g7285__7098(.A (n_15), .B (n_29), .Y (n_35));
-  sky130_fd_sc_hd__a21oi_1 g7286__6131(.A1 (n_9), .A2
-       (CLKS_PER_BIT[2]), .B1 (n_11), .Y (n_34));
-  sky130_fd_sc_hd__maj3_1 g7287__1881(.A (n_4), .B (n_12), .C
-       (r_Clock_Count[1]), .X (n_30));
-  sky130_fd_sc_hd__xnor2_1 g7288__5115(.A (CLKS_PER_BIT[5]), .B (n_21),
-       .Y (n_32));
-  sky130_fd_sc_hd__nor3b_1 g7289__7482(.A (CLKS_PER_BIT[7]), .B
-       (CLKS_PER_BIT[8]), .C_N (n_26), .Y (n_31));
-  sky130_fd_sc_hd__inv_2 g7290(.A (r_Rx_Data), .Y (n_29));
-  sky130_fd_sc_hd__nand2b_1 g7291__4733(.A_N (CLKS_PER_BIT[5]), .B
-       (n_21), .Y (n_28));
-  sky130_fd_sc_hd__xnor2_1 g7293__6161(.A (CLKS_PER_BIT[3]), .B (n_11),
-       .Y (n_27));
-  sky130_fd_sc_hd__nor3b_1 g7294__9315(.A (CLKS_PER_BIT[5]), .B
-       (CLKS_PER_BIT[6]), .C_N (n_21), .Y (n_26));
-  sky130_fd_sc_hd__ha_1 g7295__9945(.A (r_Clock_Count[0]), .B
-       (r_Clock_Count[1]), .COUT (n_24), .SUM (n_25));
-  sky130_fd_sc_hd__nand2b_1 g7297__2883(.A_N (CLKS_PER_BIT[3]), .B
-       (n_11), .Y (n_22));
-  sky130_fd_sc_hd__nand2b_1 g7298__2346(.A_N (r_Rx_Data_R), .B
-       (rst_ni), .Y (n_20));
-  sky130_fd_sc_hd__nor2_1 g7299__1666(.A (r_Bit_Index[1]), .B (n_17),
-       .Y (n_19));
-  sky130_fd_sc_hd__nor3b_1 g7300__7410(.A (CLKS_PER_BIT[3]), .B
-       (CLKS_PER_BIT[4]), .C_N (n_11), .Y (n_21));
-  sky130_fd_sc_hd__nand2_1 g7304__6417(.A (n_6), .B (r_SM_Main[1]), .Y
-       (n_18));
-  sky130_fd_sc_hd__nand2_1 g7305__5477(.A (n_5), .B (r_SM_Main[1]), .Y
-       (n_17));
-  sky130_fd_sc_hd__nor2b_1 g7306__2398(.A (r_SM_Main[1]), .B_N (n_5),
-       .Y (n_15));
-  sky130_fd_sc_hd__o21ai_1 g7307__5107(.A1 (r_SM_Main[0]), .A2
-       (r_SM_Main[1]), .B1 (o_Rx_DV), .Y (n_10));
-  sky130_fd_sc_hd__nor2b_1 g7308__6260(.A (r_SM_Main[1]), .B_N (n_6),
-       .Y (n_13));
-  sky130_fd_sc_hd__a21oi_1 g7309__4319(.A1 (CLKS_PER_BIT[0]), .A2
-       (CLKS_PER_BIT[1]), .B1 (n_8), .Y (n_12));
-  sky130_fd_sc_hd__nor3_1 g7310__8428(.A (CLKS_PER_BIT[1]), .B
-       (CLKS_PER_BIT[2]), .C (CLKS_PER_BIT[0]), .Y (n_11));
-  sky130_fd_sc_hd__inv_1 g7311(.A (n_8), .Y (n_9));
-  sky130_fd_sc_hd__nand2b_1 g7312__5526(.A_N (i_Rx_Serial), .B
-       (rst_ni), .Y (n_7));
-  sky130_fd_sc_hd__nor2_1 g7313__6783(.A (CLKS_PER_BIT[0]), .B
-       (CLKS_PER_BIT[1]), .Y (n_8));
-  sky130_fd_sc_hd__nor2b_1 g7314__3680(.A (r_SM_Main[2]), .B_N
-       (r_SM_Main[0]), .Y (n_6));
-  sky130_fd_sc_hd__or2_0 g7315__1617(.A (CLKS_PER_BIT[0]), .B
-       (r_Clock_Count[0]), .X (n_4));
-  sky130_fd_sc_hd__nor2_1 g7316__2802(.A (r_SM_Main[0]), .B
-       (r_SM_Main[2]), .Y (n_5));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[15] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_195), .Q (r_Clock_Count[15]), .Q_N (n_3));
-  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_162), .Q (r_Bit_Index[2]), .Q_N (n_2));
-  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14] (.RESET_B (rst_ni),
-       .CLK (clk_i), .D (n_194), .Q (r_Clock_Count[14]), .Q_N (n_1));
-  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1] (.RESET_B (rst_ni), .CLK
-       (clk_i), .D (n_150), .Q (r_Bit_Index[1]), .Q_N (n_0));
-  sky130_fd_sc_hd__nand2b_1 g2__1705(.A_N (n_17), .B (n_118), .Y
-       (n_223));
-  sky130_fd_sc_hd__nand2b_1 g7329__5122(.A_N (n_15), .B (sbit_o), .Y
-       (n_224));
+module uart_rx (
+	rst_ni, 
+	i_Rx_Serial, 
+	CLKS_PER_BIT, 
+	sbit_o, 
+	o_Rx_DV, 
+	o_Rx_Byte, 
+	FE_OFN7_io_out_37, 
+	FE_OFN1_io_out_37, 
+	FE_OFN18_u_soc_u_uart_u_uart_core_rx_4, 
+	FE_OFN32_io_out_37, 
+	clk_i_clone2, 
+	clk_i_clone1, 
+	clk_i, 
+	vccd1, 
+	vssd1);
+   input rst_ni;
+   input i_Rx_Serial;
+   input [15:0] CLKS_PER_BIT;
+   output sbit_o;
+   output o_Rx_DV;
+   output [7:0] o_Rx_Byte;
+   input FE_OFN7_io_out_37;
+   input FE_OFN1_io_out_37;
+   output FE_OFN18_u_soc_u_uart_u_uart_core_rx_4;
+   input FE_OFN32_io_out_37;
+   input clk_i_clone2;
+   input clk_i_clone1;
+   input clk_i;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire FE_OFN738_u_soc_u_uart_u_uart_core_rx_2;
+   wire [2:0] r_Bit_Index;
+   wire [15:0] r_Clock_Count;
+   wire [2:0] r_SM_Main;
+   wire n_0;
+   wire n_1;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_15;
+   wire n_17;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_33;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_41;
+   wire n_42;
+   wire n_43;
+   wire n_44;
+   wire n_45;
+   wire n_46;
+   wire n_47;
+   wire n_48;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_52;
+   wire n_53;
+   wire n_54;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_59;
+   wire n_60;
+   wire n_61;
+   wire n_62;
+   wire n_63;
+   wire n_64;
+   wire n_65;
+   wire n_66;
+   wire n_67;
+   wire n_68;
+   wire n_69;
+   wire n_70;
+   wire n_71;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_81;
+   wire n_82;
+   wire n_83;
+   wire n_84;
+   wire n_85;
+   wire n_86;
+   wire n_87;
+   wire n_88;
+   wire n_89;
+   wire n_90;
+   wire n_91;
+   wire n_92;
+   wire n_93;
+   wire n_94;
+   wire n_95;
+   wire n_96;
+   wire n_97;
+   wire n_98;
+   wire n_99;
+   wire n_100;
+   wire n_101;
+   wire n_102;
+   wire n_103;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_107;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_122;
+   wire n_123;
+   wire n_124;
+   wire n_125;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_137;
+   wire n_138;
+   wire n_139;
+   wire n_140;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_144;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_150;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_161;
+   wire n_162;
+   wire n_163;
+   wire n_164;
+   wire n_165;
+   wire n_166;
+   wire n_167;
+   wire n_168;
+   wire n_169;
+   wire n_170;
+   wire n_171;
+   wire n_172;
+   wire n_173;
+   wire n_174;
+   wire n_175;
+   wire n_176;
+   wire n_177;
+   wire n_178;
+   wire n_179;
+   wire n_180;
+   wire n_181;
+   wire n_182;
+   wire n_183;
+   wire n_184;
+   wire n_185;
+   wire n_186;
+   wire n_187;
+   wire n_188;
+   wire n_189;
+   wire n_190;
+   wire n_191;
+   wire n_192;
+   wire n_193;
+   wire n_194;
+   wire n_195;
+   wire n_223;
+   wire n_224;
+   wire r_Rx_Data;
+   wire r_Rx_Data_R;
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC738_u_soc_u_uart_u_uart_core_rx_2 (
+	.A(FE_OFN738_u_soc_u_uart_u_uart_core_rx_2),
+	.X(o_Rx_Byte[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC732_u_soc_u_uart_u_uart_core_rx_4 (
+	.A(o_Rx_Byte[4]),
+	.X(FE_OFN18_u_soc_u_uart_u_uart_core_rx_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0]  (
+	.CLK(clk_i_clone1),
+	.D(n_140),
+	.Q(r_Bit_Index[0]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_132),
+	.Q(r_Clock_Count[0]),
+	.RESET_B(FE_OFN1_io_out_37),
+	.SCD(n_156),
+	.SCE(r_Clock_Count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_165),
+	.Q(r_Clock_Count[1]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2]  (
+	.CLK(clk_i_clone2),
+	.D(n_172),
+	.Q(r_Clock_Count[2]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[3]  (
+	.CLK(clk_i_clone2),
+	.D(n_171),
+	.Q(r_Clock_Count[3]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[4]  (
+	.CLK(clk_i_clone2),
+	.D(n_170),
+	.Q(r_Clock_Count[4]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5]  (
+	.CLK(clk_i_clone2),
+	.D(n_169),
+	.Q(r_Clock_Count[5]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6]  (
+	.CLK(clk_i_clone2),
+	.D(n_168),
+	.Q(r_Clock_Count[6]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7]  (
+	.CLK(clk_i_clone2),
+	.D(n_167),
+	.Q(r_Clock_Count[7]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8]  (
+	.CLK(clk_i_clone2),
+	.D(n_166),
+	.Q(r_Clock_Count[8]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9]  (
+	.CLK(clk_i_clone1),
+	.D(n_173),
+	.Q(r_Clock_Count[9]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[10]  (
+	.CLK(clk_i_clone2),
+	.D(n_182),
+	.Q(r_Clock_Count[10]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[11]  (
+	.CLK(clk_i_clone2),
+	.D(n_185),
+	.Q(r_Clock_Count[11]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[12]  (
+	.CLK(clk_i_clone2),
+	.D(n_190),
+	.Q(r_Clock_Count[12]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[13]  (
+	.CLK(clk_i_clone1),
+	.D(n_191),
+	.Q(r_Clock_Count[13]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0]  (
+	.CLK(clk_i),
+	.D(n_179),
+	.Q(o_Rx_Byte[0]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1]  (
+	.CLK(clk_i_clone1),
+	.D(n_178),
+	.Q(o_Rx_Byte[1]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2]  (
+	.CLK(clk_i),
+	.D(n_175),
+	.Q(FE_OFN738_u_soc_u_uart_u_uart_core_rx_2),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3]  (
+	.CLK(clk_i),
+	.D(n_180),
+	.Q(o_Rx_Byte[3]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4]  (
+	.CLK(clk_i_clone1),
+	.D(n_177),
+	.Q(o_Rx_Byte[4]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5]  (
+	.CLK(clk_i),
+	.D(n_174),
+	.Q(o_Rx_Byte[5]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6]  (
+	.CLK(clk_i),
+	.D(n_176),
+	.Q(o_Rx_Byte[6]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7]  (
+	.CLK(clk_i_clone1),
+	.D(n_181),
+	.Q(o_Rx_Byte[7]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg (
+	.CLK(clk_i_clone1),
+	.D(n_125),
+	.Q(o_Rx_DV),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg (
+	.CLK(clk_i_clone1),
+	.D(n_7),
+	.Q(r_Rx_Data_R), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg (
+	.CLK(clk_i_clone1),
+	.D(n_20),
+	.Q(r_Rx_Data), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0]  (
+	.CLK(clk_i_clone1),
+	.D(n_155),
+	.Q(r_SM_Main[0]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1]  (
+	.CLK(clk_i_clone1),
+	.D(n_141),
+	.Q(r_SM_Main[1]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2]  (
+	.CLK(clk_i_clone1),
+	.D(n_120),
+	.Q(r_SM_Main[2]),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 sbit_o_reg (
+	.CLK(clk_i_clone1),
+	.D(n_36),
+	.Q(sbit_o),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7105__3680 (
+	.A1(n_3),
+	.A2(n_192),
+	.B1(n_193),
+	.B2(n_131),
+	.Y(n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g7106__1617 (
+	.A1(r_Clock_Count[14]),
+	.A2(n_188),
+	.A3(n_131),
+	.B1(n_1),
+	.B2(n_192),
+	.Y(n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g7108__2802 (
+	.A1(r_Clock_Count[15]),
+	.A2(n_1),
+	.A3(n_188),
+	.B1(n_3),
+	.B2(r_Clock_Count[14]),
+	.X(n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7109__1705 (
+	.A1(n_132),
+	.A2(n_188),
+	.B1(n_156),
+	.Y(n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7110__5122 (
+	.A1(n_132),
+	.A2(n_189),
+	.B1(n_156),
+	.B2(r_Clock_Count[13]),
+	.X(n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7112__8246 (
+	.A1(n_132),
+	.A2(n_186),
+	.B1(n_156),
+	.B2(r_Clock_Count[12]),
+	.X(n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g7113__7098 (
+	.A(r_Clock_Count[13]),
+	.B(n_187),
+	.X(n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7114__6131 (
+	.A(n_187),
+	.B(r_Clock_Count[13]),
+	.Y(n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7115__1881 (
+	.A(r_Clock_Count[12]),
+	.B(n_183),
+	.COUT(n_187),
+	.SUM(n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7117__5115 (
+	.A1(n_132),
+	.A2(n_184),
+	.B1(n_156),
+	.B2(r_Clock_Count[11]),
+	.X(n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7118__7482 (
+	.A(r_Clock_Count[11]),
+	.B(n_163),
+	.COUT(n_183),
+	.SUM(n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7129__4733 (
+	.A1(n_132),
+	.A2(n_164),
+	.B1(n_156),
+	.B2(r_Clock_Count[10]),
+	.X(n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7139__6161 (
+	.A1(n_15),
+	.A2(n_153),
+	.B1(n_29),
+	.B2(n_143),
+	.Y(n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7140__9315 (
+	.A1(n_15),
+	.A2(n_160),
+	.B1(n_29),
+	.B2(n_142),
+	.Y(n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7141__9945 (
+	.A1(n_15),
+	.A2(n_159),
+	.B1(n_29),
+	.B2(n_145),
+	.Y(n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7142__2883 (
+	.A1(n_15),
+	.A2(n_158),
+	.B1(n_29),
+	.B2(n_149),
+	.Y(n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g7143__2346 (
+	.A1_N(r_Rx_Data),
+	.A2_N(n_148),
+	.B1(n_15),
+	.B2(n_161),
+	.Y(n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7144__1666 (
+	.A1(n_15),
+	.A2(n_154),
+	.B1(n_29),
+	.B2(n_144),
+	.Y(n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7145__7410 (
+	.A1(n_15),
+	.A2(n_157),
+	.B1(n_29),
+	.B2(n_146),
+	.Y(n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7146__6417 (
+	.A1(n_15),
+	.A2(n_151),
+	.B1(n_29),
+	.B2(n_147),
+	.Y(n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7148__5477 (
+	.A1(n_132),
+	.A2(n_136),
+	.B1(n_156),
+	.B2(r_Clock_Count[9]),
+	.X(n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7149__2398 (
+	.A1(n_132),
+	.A2(n_42),
+	.B1(n_156),
+	.B2(r_Clock_Count[2]),
+	.X(n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7150__5107 (
+	.A1(n_132),
+	.A2(n_60),
+	.B1(n_156),
+	.B2(r_Clock_Count[3]),
+	.X(n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7151__6260 (
+	.A1(n_132),
+	.A2(n_81),
+	.B1(n_156),
+	.B2(r_Clock_Count[4]),
+	.X(n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7152__4319 (
+	.A1(n_132),
+	.A2(n_96),
+	.B1(n_156),
+	.B2(r_Clock_Count[5]),
+	.X(n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7153__8428 (
+	.A1(n_132),
+	.A2(n_103),
+	.B1(n_156),
+	.B2(r_Clock_Count[6]),
+	.X(n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7154__5526 (
+	.A1(n_132),
+	.A2(n_111),
+	.B1(n_156),
+	.B2(r_Clock_Count[7]),
+	.X(n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7155__6783 (
+	.A1(n_132),
+	.A2(n_117),
+	.B1(n_156),
+	.B2(r_Clock_Count[8]),
+	.X(n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7156__3680 (
+	.A1(n_132),
+	.A2(n_25),
+	.B1(n_156),
+	.B2(r_Clock_Count[1]),
+	.X(n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7157__1617 (
+	.A(r_Clock_Count[10]),
+	.B(n_135),
+	.COUT(n_163),
+	.SUM(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7159__2802 (
+	.A(n_152),
+	.B(n_142),
+	.Y(n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7161__1705 (
+	.A_N(n_148),
+	.B(FE_OFN18_u_soc_u_uart_u_uart_core_rx_4),
+	.Y(n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7162__5122 (
+	.A(n_142),
+	.B(o_Rx_Byte[3]),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7163__8246 (
+	.A(n_145),
+	.B(o_Rx_Byte[0]),
+	.Y(n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7164__7098 (
+	.A(n_149),
+	.B(o_Rx_Byte[1]),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7165__6131 (
+	.A(n_146),
+	.B(o_Rx_Byte[2]),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g7168__1881 (
+	.A(n_143),
+	.B(n_127),
+	.C(n_35),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7169__5115 (
+	.A(n_144),
+	.B(o_Rx_Byte[6]),
+	.Y(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7170__7482 (
+	.A(n_143),
+	.B(o_Rx_Byte[7]),
+	.Y(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7171__4733 (
+	.A1(n_19),
+	.A2(n_133),
+	.B1(r_Bit_Index[2]),
+	.Y(n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7172__6161 (
+	.A(n_147),
+	.B(o_Rx_Byte[5]),
+	.Y(n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g7173__9315 (
+	.A1(n_133),
+	.A2(r_Bit_Index[1]),
+	.B1(n_137),
+	.X(n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7174__9945 (
+	.A(n_15),
+	.B(n_139),
+	.Y(n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7175__2883 (
+	.A(n_137),
+	.B(n_2),
+	.Y(n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7176__2346 (
+	.A(r_Bit_Index[1]),
+	.B(n_138),
+	.Y(n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7177__1666 (
+	.A(n_137),
+	.B(r_Bit_Index[2]),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7178__7410 (
+	.A(n_134),
+	.B(r_Bit_Index[1]),
+	.Y(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7179__6417 (
+	.A(n_134),
+	.B(n_0),
+	.Y(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7180__5477 (
+	.A(n_130),
+	.B(n_17),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g7181__2398 (
+	.A1(n_126),
+	.A2(r_Bit_Index[0]),
+	.B1(n_128),
+	.X(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g7182__5107 (
+	.A(n_124),
+	.B(n_17),
+	.C(n_18),
+	.Y(n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7183__6260 (
+	.A_N(n_138),
+	.B(r_Bit_Index[1]),
+	.Y(n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g7184__4319 (
+	.A(n_0),
+	.B(n_2),
+	.C(n_129),
+	.X(n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g7185__8428 (
+	.A(r_Bit_Index[2]),
+	.B(n_0),
+	.C(n_129),
+	.X(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7186__5526 (
+	.A(r_Clock_Count[9]),
+	.B(n_116),
+	.COUT(n_135),
+	.SUM(n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7188__6783 (
+	.A(n_128),
+	.B(r_Bit_Index[2]),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7189__3680 (
+	.A(r_Bit_Index[1]),
+	.B(n_129),
+	.Y(n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g7190 (
+	.A(n_132),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g7191__1617 (
+	.A1(n_115),
+	.A2(n_13),
+	.A3(n_29),
+	.B1(n_123),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g7192__2802 (
+	.A(n_128),
+	.B(n_2),
+	.X(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g7193__1705 (
+	.A1(r_Bit_Index[0]),
+	.A2(n_17),
+	.B1_N(n_126),
+	.Y(n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7194__5122 (
+	.A1(n_17),
+	.A2(n_118),
+	.B1(n_127),
+	.Y(n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7196__8246 (
+	.A(n_122),
+	.B(r_Bit_Index[0]),
+	.Y(n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7197__7098 (
+	.A(r_Bit_Index[0]),
+	.B(n_223),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7198__6131 (
+	.A_N(n_120),
+	.B(n_10),
+	.Y(n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7199__1881 (
+	.A(n_119),
+	.B(n_13),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7200__5115 (
+	.A1(n_114),
+	.A2(n_13),
+	.B1(n_123),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7201__7482 (
+	.A(n_15),
+	.B(n_122),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g7202 (
+	.A(n_223),
+	.Y(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7203__4733 (
+	.A(n_18),
+	.B(n_118),
+	.Y(n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7205__6161 (
+	.A(n_115),
+	.B(r_Rx_Data),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7206__9315 (
+	.A(n_18),
+	.B_N(n_118),
+	.Y(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7207__9945 (
+	.A(r_Clock_Count[8]),
+	.B(n_110),
+	.COUT(n_116),
+	.SUM(n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g7208__2883 (
+	.A1(n_109),
+	.A2(n_112),
+	.A3(n_90),
+	.B1(n_62),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g7209 (
+	.A(n_115),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7210__2346 (
+	.A(n_62),
+	.B(n_113),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g7211__1666 (
+	.A1(r_Clock_Count[3]),
+	.A2(n_46),
+	.B1(n_55),
+	.C1(n_100),
+	.D1(n_108),
+	.Y(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g7212__7410 (
+	.A(n_85),
+	.B(n_107),
+	.C(n_99),
+	.X(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7213__6417 (
+	.A(r_Clock_Count[7]),
+	.B(n_102),
+	.COUT(n_110),
+	.SUM(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g7214__5477 (
+	.A1(n_97),
+	.A2(n_83),
+	.B1(n_61),
+	.B2(r_Clock_Count[15]),
+	.C1(n_106),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7215__2398 (
+	.A(r_Clock_Count[15]),
+	.B(n_104),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7216__5107 (
+	.A1(r_Clock_Count[8]),
+	.A2(n_57),
+	.B1(n_105),
+	.Y(n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g7217__6260 (
+	.A1(n_91),
+	.A2(n_89),
+	.A3(n_78),
+	.A4(n_66),
+	.B1(n_99),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7218__4319 (
+	.A(n_38),
+	.B(n_101),
+	.C(r_Clock_Count[7]),
+	.X(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g7219__8428 (
+	.A(n_98),
+	.B(n_87),
+	.C(n_40),
+	.D(n_44),
+	.Y(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7220__5526 (
+	.A(r_Clock_Count[6]),
+	.B(n_95),
+	.COUT(n_102),
+	.SUM(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7221__6783 (
+	.A(n_51),
+	.B(n_94),
+	.C(r_Clock_Count[6]),
+	.X(n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g7222__3680 (
+	.A(n_43),
+	.B(n_69),
+	.C(n_93),
+	.D(n_92),
+	.Y(n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7223__1617 (
+	.A1(r_Clock_Count[12]),
+	.A2(n_71),
+	.B1(n_97),
+	.Y(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g7224__2802 (
+	.A1(n_61),
+	.A2(r_Clock_Count[14]),
+	.B1(n_64),
+	.C1(n_86),
+	.Y(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7225__1705 (
+	.A(r_Clock_Count[5]),
+	.B(n_80),
+	.COUT(n_95),
+	.SUM(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7226__5122 (
+	.A(n_32),
+	.B(n_84),
+	.C(r_Clock_Count[5]),
+	.X(n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7227__8246 (
+	.A(n_67),
+	.B(n_88),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g7228__7098 (
+	.A1(r_Clock_Count[1]),
+	.A2(n_34),
+	.B1(n_50),
+	.C1(n_63),
+	.D1(n_75),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g7229__6131 (
+	.A1(r_Clock_Count[11]),
+	.A2(n_71),
+	.B1(n_76),
+	.C1(n_74),
+	.D1(n_79),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g7230__1881 (
+	.A_N(n_85),
+	.B(n_57),
+	.C(r_Clock_Count[8]),
+	.Y(n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g7231__5115 (
+	.A_N(n_67),
+	.B(n_77),
+	.C(r_Clock_Count[14]),
+	.Y(n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g7232__7482 (
+	.A(n_82),
+	.B(n_48),
+	.C(r_Clock_Count[9]),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7233__4733 (
+	.A1(r_Clock_Count[14]),
+	.A2(n_77),
+	.B1(r_Clock_Count[13]),
+	.B2(n_58),
+	.Y(n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g7234__6161 (
+	.A1(n_77),
+	.A2(r_Clock_Count[13]),
+	.B1(n_58),
+	.B2(r_Clock_Count[12]),
+	.Y(n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7235__9315 (
+	.A1(r_Clock_Count[13]),
+	.A2(n_77),
+	.B1(r_Clock_Count[12]),
+	.B2(n_58),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7236__9945 (
+	.A1(r_Clock_Count[9]),
+	.A2(n_48),
+	.B1(n_82),
+	.Y(n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7237__2883 (
+	.A(n_46),
+	.B(n_72),
+	.C(r_Clock_Count[4]),
+	.X(n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g7238__2346 (
+	.A1(n_58),
+	.A2(r_Clock_Count[13]),
+	.B1(n_71),
+	.B2(r_Clock_Count[12]),
+	.X(n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7239__1666 (
+	.A(r_Clock_Count[4]),
+	.B(n_59),
+	.COUT(n_80),
+	.SUM(n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7240__7410 (
+	.A(n_71),
+	.B(r_Clock_Count[11]),
+	.Y(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g7241__6417 (
+	.A_N(n_68),
+	.B(n_65),
+	.C(r_Clock_Count[10]),
+	.Y(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7242__5477 (
+	.A(n_73),
+	.B(n_68),
+	.Y(n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g7243__2398 (
+	.A1_N(r_Clock_Count[8]),
+	.A2_N(n_48),
+	.B1(r_Clock_Count[9]),
+	.B2(n_65),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g7244__5107 (
+	.A1(n_38),
+	.A2(r_Clock_Count[6]),
+	.B1(n_54),
+	.C1(n_70),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g7245__6260 (
+	.A1_N(r_Clock_Count[9]),
+	.A2_N(n_65),
+	.B1(r_Clock_Count[10]),
+	.B2(n_56),
+	.Y(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7246__4319 (
+	.A1(n_53),
+	.A2(CLKS_PER_BIT[14]),
+	.B1(n_52),
+	.Y(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7247__8428 (
+	.A(r_Clock_Count[10]),
+	.B(n_65),
+	.Y(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7248__5526 (
+	.A(n_27),
+	.B(n_49),
+	.C(r_Clock_Count[3]),
+	.X(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g7249__6783 (
+	.A1_N(r_Clock_Count[7]),
+	.A2_N(n_57),
+	.B1(r_Clock_Count[7]),
+	.B2(n_57),
+	.Y(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g7250__3680 (
+	.A1_N(r_Clock_Count[8]),
+	.A2_N(n_48),
+	.B1(r_Clock_Count[10]),
+	.B2(n_56),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7251__1617 (
+	.A1(n_47),
+	.A2(CLKS_PER_BIT[12]),
+	.B1(n_45),
+	.Y(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7252__2802 (
+	.A(n_56),
+	.B(r_Clock_Count[11]),
+	.Y(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7253__1705 (
+	.A(r_Clock_Count[11]),
+	.B(n_56),
+	.Y(n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7254__5122 (
+	.A(r_Clock_Count[15]),
+	.B(n_61),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7255__8246 (
+	.A(r_Clock_Count[14]),
+	.B(n_61),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g7256__7098 (
+	.A1_N(r_Clock_Count[5]),
+	.A2_N(n_51),
+	.B1(r_Clock_Count[5]),
+	.B2(n_51),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7257__6131 (
+	.A1(n_39),
+	.A2(CLKS_PER_BIT[10]),
+	.B1(n_37),
+	.Y(n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7258__1881 (
+	.A(r_Clock_Count[3]),
+	.B(n_41),
+	.COUT(n_59),
+	.SUM(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7259__5115 (
+	.A(CLKS_PER_BIT[15]),
+	.B_N(n_52),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7260__7482 (
+	.A_N(n_52),
+	.B(CLKS_PER_BIT[15]),
+	.Y(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g7261__4733 (
+	.A(CLKS_PER_BIT[13]),
+	.B(n_45),
+	.Y(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7262__6161 (
+	.A1(n_33),
+	.A2(CLKS_PER_BIT[8]),
+	.B1(n_31),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7263__9315 (
+	.A(n_46),
+	.B(r_Clock_Count[3]),
+	.Y(n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7264__9945 (
+	.A(r_Clock_Count[6]),
+	.B(n_38),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7265__2883 (
+	.A_N(CLKS_PER_BIT[13]),
+	.B(n_45),
+	.Y(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g7266__2346 (
+	.A(CLKS_PER_BIT[11]),
+	.B(n_37),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g7267__1666 (
+	.A(r_Clock_Count[4]),
+	.B(n_32),
+	.X(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7268__7410 (
+	.A(n_34),
+	.B(n_30),
+	.C(r_Clock_Count[2]),
+	.X(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7269__6417 (
+	.A(CLKS_PER_BIT[14]),
+	.B(CLKS_PER_BIT[13]),
+	.C_N(n_45),
+	.Y(n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7270__5477 (
+	.A1(n_28),
+	.A2(CLKS_PER_BIT[6]),
+	.B1(n_26),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7272__2398 (
+	.A_N(CLKS_PER_BIT[11]),
+	.B(n_37),
+	.Y(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g7273__5107 (
+	.A(CLKS_PER_BIT[9]),
+	.B(n_31),
+	.Y(n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g7274__6260 (
+	.A1(n_27),
+	.A2(r_Clock_Count[2]),
+	.B1(n_12),
+	.B2(r_Clock_Count[0]),
+	.Y(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g7275__4319 (
+	.A1(r_Clock_Count[2]),
+	.A2(n_27),
+	.B1(r_Clock_Count[0]),
+	.B2(n_12),
+	.Y(n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7276__8428 (
+	.A1(n_22),
+	.A2(CLKS_PER_BIT[4]),
+	.B1(n_21),
+	.Y(n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7277__5526 (
+	.A(CLKS_PER_BIT[11]),
+	.B(CLKS_PER_BIT[12]),
+	.C_N(n_37),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7278__6783 (
+	.A(r_Clock_Count[2]),
+	.B(n_24),
+	.COUT(n_41),
+	.SUM(n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7279__3680 (
+	.A(n_34),
+	.B(r_Clock_Count[1]),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7280__1617 (
+	.A_N(CLKS_PER_BIT[9]),
+	.B(n_31),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7281__2802 (
+	.A(n_35),
+	.B(n_224),
+	.Y(n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g7282__1705 (
+	.A(CLKS_PER_BIT[7]),
+	.B(n_26),
+	.Y(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7283__5122 (
+	.A(CLKS_PER_BIT[9]),
+	.B(CLKS_PER_BIT[10]),
+	.C_N(n_31),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7284__8246 (
+	.A_N(CLKS_PER_BIT[7]),
+	.B(n_26),
+	.Y(n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7285__7098 (
+	.A(n_15),
+	.B(n_29),
+	.Y(n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7286__6131 (
+	.A1(n_9),
+	.A2(CLKS_PER_BIT[2]),
+	.B1(n_11),
+	.Y(n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g7287__1881 (
+	.A(n_4),
+	.B(n_12),
+	.C(r_Clock_Count[1]),
+	.X(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g7288__5115 (
+	.A(CLKS_PER_BIT[5]),
+	.B(n_21),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7289__7482 (
+	.A(CLKS_PER_BIT[7]),
+	.B(CLKS_PER_BIT[8]),
+	.C_N(n_26),
+	.Y(n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g7290 (
+	.A(r_Rx_Data),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7291__4733 (
+	.A_N(CLKS_PER_BIT[5]),
+	.B(n_21),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g7293__6161 (
+	.A(CLKS_PER_BIT[3]),
+	.B(n_11),
+	.Y(n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7294__9315 (
+	.A(CLKS_PER_BIT[5]),
+	.B(CLKS_PER_BIT[6]),
+	.C_N(n_21),
+	.Y(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g7295__9945 (
+	.A(r_Clock_Count[0]),
+	.B(r_Clock_Count[1]),
+	.COUT(n_24),
+	.SUM(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7297__2883 (
+	.A_N(CLKS_PER_BIT[3]),
+	.B(n_11),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7298__2346 (
+	.A_N(r_Rx_Data_R),
+	.B(FE_OFN1_io_out_37),
+	.Y(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7299__1666 (
+	.A(r_Bit_Index[1]),
+	.B(n_17),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g7300__7410 (
+	.A(CLKS_PER_BIT[3]),
+	.B(CLKS_PER_BIT[4]),
+	.C_N(n_11),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7304__6417 (
+	.A(n_6),
+	.B(r_SM_Main[1]),
+	.Y(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g7305__5477 (
+	.A(n_5),
+	.B(r_SM_Main[1]),
+	.Y(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7306__2398 (
+	.A(r_SM_Main[1]),
+	.B_N(n_5),
+	.Y(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g7307__5107 (
+	.A1(r_SM_Main[0]),
+	.A2(r_SM_Main[1]),
+	.B1(o_Rx_DV),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7308__6260 (
+	.A(r_SM_Main[1]),
+	.B_N(n_6),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g7309__4319 (
+	.A1(CLKS_PER_BIT[0]),
+	.A2(CLKS_PER_BIT[1]),
+	.B1(n_8),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g7310__8428 (
+	.A(CLKS_PER_BIT[1]),
+	.B(CLKS_PER_BIT[2]),
+	.C(CLKS_PER_BIT[0]),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g7311 (
+	.A(n_8),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7312__5526 (
+	.A_N(i_Rx_Serial),
+	.B(FE_OFN1_io_out_37),
+	.Y(n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7313__6783 (
+	.A(CLKS_PER_BIT[0]),
+	.B(CLKS_PER_BIT[1]),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g7314__3680 (
+	.A(r_SM_Main[2]),
+	.B_N(r_SM_Main[0]),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g7315__1617 (
+	.A(CLKS_PER_BIT[0]),
+	.B(r_Clock_Count[0]),
+	.X(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g7316__2802 (
+	.A(r_SM_Main[0]),
+	.B(r_SM_Main[2]),
+	.Y(n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[15]  (
+	.CLK(clk_i_clone1),
+	.D(n_195),
+	.Q(r_Clock_Count[15]),
+	.Q_N(n_3),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2]  (
+	.CLK(clk_i_clone1),
+	.D(n_162),
+	.Q(r_Bit_Index[2]),
+	.Q_N(n_2),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14]  (
+	.CLK(clk_i_clone1),
+	.D(n_194),
+	.Q(r_Clock_Count[14]),
+	.Q_N(n_1),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1]  (
+	.CLK(clk_i_clone1),
+	.D(n_150),
+	.Q(r_Bit_Index[1]),
+	.Q_N(n_0),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g2__1705 (
+	.A_N(n_17),
+	.B(n_118),
+	.Y(n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g7329__5122 (
+	.A_N(n_15),
+	.B(sbit_o),
+	.Y(n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module uart_tx(clk_i, rst_ni, tx_en, i_TX_Byte, CLKS_PER_BIT,
-     o_TX_Serial, o_TX_Done);
-  input clk_i, rst_ni, tx_en;
-  input [7:0] i_TX_Byte;
-  input [15:0] CLKS_PER_BIT;
-  output o_TX_Serial, o_TX_Done;
-  wire clk_i, rst_ni, tx_en;
-  wire [7:0] i_TX_Byte;
-  wire [15:0] CLKS_PER_BIT;
-  wire o_TX_Serial, o_TX_Done;
-  wire [2:0] r_Bit_Index;
-  wire [15:0] r_Clock_Count;
-  wire [2:0] r_SM_Main;
-  wire [7:0] r_TX_Data;
-  wire n_0, n_2, n_3, n_4, n_6, n_7, n_8, n_9;
-  wire n_10, n_11, n_12, n_13, n_14, n_15, n_16, n_17;
-  wire n_18, n_19, n_20, n_21, n_22, n_23, n_24, n_25;
-  wire n_26, n_27, n_28, n_29, n_30, n_31, n_32, n_33;
-  wire n_34, n_35, n_36, n_37, n_38, n_39, n_40, n_41;
-  wire n_42, n_43, n_44, n_45, n_46, n_47, n_48, n_49;
-  wire n_50, n_51, n_52, n_53, n_54, n_55, n_56, n_57;
-  wire n_58, n_59, n_60, n_61, n_63, n_64, n_65, n_66;
-  wire n_67, n_69, n_70, n_72, n_73, n_74, n_75, n_76;
-  wire n_77, n_78, n_79, n_80, n_81, n_82, n_83, n_84;
-  wire n_85, n_86, n_87, n_88, n_89, n_90, n_91, n_92;
-  wire n_93, n_94, n_95, n_96, n_97, n_98, n_99, n_100;
-  wire n_101, n_102, n_103, n_104, n_105, n_106, n_107, n_108;
-  wire n_109, n_110, n_111, n_112, n_113, n_114, n_115, n_116;
-  wire n_117, n_118, n_119, n_120, n_121, n_122, n_123, n_124;
-  wire n_125, n_126, n_127, n_128, n_129, n_130, n_131, n_132;
-  wire n_133, n_134, n_135, n_136, n_137, n_138, n_139, n_140;
-  wire n_141, n_142, n_143, n_144, n_145, n_146, n_147, n_148;
-  wire n_149, n_151, n_152, n_153, n_154, n_155, n_156, n_157;
-  wire n_158, n_186, n_187;
-  sky130_fd_sc_hd__dfxtp_1 o_TX_Serial_reg(.CLK (clk_i), .D (n_70), .Q
-       (o_TX_Serial));
-  sky130_fd_sc_hd__sdfxtp_1 \r_Bit_Index_reg[0] (.CLK (clk_i), .D
-       (n_129), .SCD (n_130), .SCE (r_Bit_Index[0]), .Q
-       (r_Bit_Index[0]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[1] (.CLK (clk_i), .D
-       (n_149), .Q (r_Bit_Index[1]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[2] (.CLK (clk_i), .D
-       (n_187), .Q (r_Bit_Index[2]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[0] (.CLK (clk_i), .D
-       (n_125), .Q (r_Clock_Count[0]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[1] (.CLK (clk_i), .D
-       (n_138), .Q (r_Clock_Count[1]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[2] (.CLK (clk_i), .D
-       (n_128), .Q (r_Clock_Count[2]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[3] (.CLK (clk_i), .D
-       (n_127), .Q (r_Clock_Count[3]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[4] (.CLK (clk_i), .D
-       (n_126), .Q (r_Clock_Count[4]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[5] (.CLK (clk_i), .D
-       (n_137), .Q (r_Clock_Count[5]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[6] (.CLK (clk_i), .D
-       (n_136), .Q (r_Clock_Count[6]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[7] (.CLK (clk_i), .D
-       (n_139), .Q (r_Clock_Count[7]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[8] (.CLK (clk_i), .D
-       (n_134), .Q (r_Clock_Count[8]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[9] (.CLK (clk_i), .D
-       (n_133), .Q (r_Clock_Count[9]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[10] (.CLK (clk_i), .D
-       (n_132), .Q (r_Clock_Count[10]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[11] (.CLK (clk_i), .D
-       (n_123), .Q (r_Clock_Count[11]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[12] (.CLK (clk_i), .D
-       (n_152), .Q (r_Clock_Count[12]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[13] (.CLK (clk_i), .D
-       (n_154), .Q (r_Clock_Count[13]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[14] (.CLK (clk_i), .D
-       (n_157), .Q (r_Clock_Count[14]));
-  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[15] (.CLK (clk_i), .D
-       (n_158), .Q (r_Clock_Count[15]));
-  sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[0] (.CLK (clk_i), .D (n_148),
-       .Q (r_SM_Main[0]));
-  sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[1] (.CLK (clk_i), .D (n_141),
-       .Q (r_SM_Main[1]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[0] (.CLK (clk_i), .D (n_44),
-       .Q (r_TX_Data[0]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[1] (.CLK (clk_i), .D (n_43),
-       .Q (r_TX_Data[1]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[2] (.CLK (clk_i), .D (n_46),
-       .Q (r_TX_Data[2]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[3] (.CLK (clk_i), .D (n_40),
-       .Q (r_TX_Data[3]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[4] (.CLK (clk_i), .D (n_45),
-       .Q (r_TX_Data[4]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[5] (.CLK (clk_i), .D (n_41),
-       .Q (r_TX_Data[5]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[6] (.CLK (clk_i), .D (n_47),
-       .Q (r_TX_Data[6]));
-  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[7] (.CLK (clk_i), .D (n_39),
-       .Q (r_TX_Data[7]));
-  sky130_fd_sc_hd__dfxtp_1 r_TX_Done_reg(.CLK (clk_i), .D (n_145), .Q
-       (o_TX_Done));
-  sky130_fd_sc_hd__nor2_1 g5955__8246(.A (n_156), .B (n_121), .Y
-       (n_158));
-  sky130_fd_sc_hd__nor2_1 g5956__7098(.A (n_155), .B (n_121), .Y
-       (n_157));
-  sky130_fd_sc_hd__a21oi_1 g5957__6131(.A1 (n_151), .A2
-       (r_Clock_Count[14]), .B1 (r_Clock_Count[15]), .Y (n_156));
-  sky130_fd_sc_hd__xnor2_1 g5959__1881(.A (r_Clock_Count[14]), .B
-       (n_151), .Y (n_155));
-  sky130_fd_sc_hd__nor2_1 g5960__5115(.A (n_153), .B (n_121), .Y
-       (n_154));
-  sky130_fd_sc_hd__xor2_1 g5963__7482(.A (r_Clock_Count[13]), .B
-       (n_131), .X (n_153));
-  sky130_fd_sc_hd__nor2_1 g5966__4733(.A (n_142), .B (n_121), .Y
-       (n_152));
-  sky130_fd_sc_hd__nand2_1 g5970__6161(.A (n_146), .B (n_144), .Y
-       (n_149));
-  sky130_fd_sc_hd__o221ai_1 g5971__9315(.A1 (n_11), .A2 (n_120), .B1
-       (n_0), .B2 (n_21), .C1 (n_147), .Y (n_148));
-  sky130_fd_sc_hd__nor2_1 g5972__9945(.A (n_3), .B (n_131), .Y (n_151));
-  sky130_fd_sc_hd__nand2b_1 g5973__2883(.A_N (n_143), .B
-       (r_Bit_Index[2]), .Y (n_147));
-  sky130_fd_sc_hd__o21ai_1 g5975__2346(.A1 (n_22), .A2 (n_130), .B1
-       (r_Bit_Index[1]), .Y (n_146));
-  sky130_fd_sc_hd__o22ai_1 g5976__1666(.A1 (n_15), .A2 (n_135), .B1
-       (n_6), .B2 (n_11), .Y (n_145));
-  sky130_fd_sc_hd__nand3_1 g5977__7410(.A (n_129), .B (r_Bit_Index[0]),
-       .C (n_2), .Y (n_144));
-  sky130_fd_sc_hd__xor2_1 g5986__6417(.A (r_Clock_Count[12]), .B
-       (n_116), .X (n_142));
-  sky130_fd_sc_hd__o41ai_1 g5987__5477(.A1 (r_SM_Main[1]), .A2 (n_11),
-       .A3 (n_92), .A4 (n_119), .B1 (n_124), .Y (n_141));
-  sky130_fd_sc_hd__a21oi_1 g5988__2398(.A1 (n_16), .A2 (n_17), .B1
-       (n_130), .Y (n_140));
-  sky130_fd_sc_hd__nand2b_1 g5989__5107(.A_N (n_17), .B (n_129), .Y
-       (n_143));
-  sky130_fd_sc_hd__nor2_1 g5994__6260(.A (n_98), .B (n_121), .Y
-       (n_139));
-  sky130_fd_sc_hd__nor2b_1 g5995__4319(.A (n_121), .B_N (n_18), .Y
-       (n_138));
-  sky130_fd_sc_hd__nor2_1 g5996__8428(.A (n_80), .B (n_121), .Y
-       (n_137));
-  sky130_fd_sc_hd__nor2_1 g5997__5526(.A (n_88), .B (n_121), .Y
-       (n_136));
-  sky130_fd_sc_hd__nor2_1 g5998__6783(.A (o_TX_Done), .B (n_122), .Y
-       (n_135));
-  sky130_fd_sc_hd__nor2_1 g5999__3680(.A (n_103), .B (n_121), .Y
-       (n_134));
-  sky130_fd_sc_hd__nor2_1 g6000__1617(.A (n_110), .B (n_121), .Y
-       (n_133));
-  sky130_fd_sc_hd__nor2_1 g6001__2802(.A (n_113), .B (n_121), .Y
-       (n_132));
-  sky130_fd_sc_hd__nor2_1 g6002__1705(.A (n_38), .B (n_121), .Y
-       (n_128));
-  sky130_fd_sc_hd__nor2_1 g6003__5122(.A (n_58), .B (n_121), .Y
-       (n_127));
-  sky130_fd_sc_hd__nor2_1 g6004__8246(.A (n_69), .B (n_121), .Y
-       (n_126));
-  sky130_fd_sc_hd__nor2_1 g6005__7098(.A (r_Clock_Count[0]), .B
-       (n_121), .Y (n_125));
-  sky130_fd_sc_hd__nand2b_1 g6006__6131(.A_N (n_122), .B (n_16), .Y
-       (n_124));
-  sky130_fd_sc_hd__nor2_1 g6007__1881(.A (n_117), .B (n_121), .Y
-       (n_123));
-  sky130_fd_sc_hd__nand2b_1 g6008__5115(.A_N (n_116), .B
-       (r_Clock_Count[12]), .Y (n_131));
-  sky130_fd_sc_hd__o21ai_1 g6009__7482(.A1 (n_15), .A2 (n_118), .B1
-       (n_11), .Y (n_130));
-  sky130_fd_sc_hd__nor3_1 g6010__4733(.A (r_SM_Main[0]), .B (n_15), .C
-       (n_119), .Y (n_129));
-  sky130_fd_sc_hd__nor2_1 g6011__6161(.A (n_7), .B (n_119), .Y (n_122));
-  sky130_fd_sc_hd__a21oi_1 g6012__9315(.A1 (n_92), .A2 (n_4), .B1
-       (n_119), .Y (n_120));
-  sky130_fd_sc_hd__o21ai_1 g6013__9945(.A1 (n_10), .A2 (n_16), .B1
-       (n_119), .Y (n_121));
-  sky130_fd_sc_hd__inv_1 g6014(.A (n_119), .Y (n_118));
-  sky130_fd_sc_hd__a211oi_1 g6015__2883(.A1 (n_100), .A2
-       (r_Clock_Count[15]), .B1 (n_108), .C1 (n_115), .Y (n_119));
-  sky130_fd_sc_hd__xor2_1 g6016__2346(.A (r_Clock_Count[11]), .B
-       (n_112), .X (n_117));
-  sky130_fd_sc_hd__nand2b_1 g6017__1666(.A_N (n_112), .B
-       (r_Clock_Count[11]), .Y (n_116));
-  sky130_fd_sc_hd__o41ai_1 g6018__7410(.A1 (n_89), .A2 (n_93), .A3
-       (n_106), .A4 (n_114), .B1 (n_105), .Y (n_115));
-  sky130_fd_sc_hd__a21oi_1 g6019__6417(.A1 (n_83), .A2
-       (r_Clock_Count[11]), .B1 (n_111), .Y (n_114));
-  sky130_fd_sc_hd__xor2_1 g6020__5477(.A (r_Clock_Count[10]), .B
-       (n_109), .X (n_113));
-  sky130_fd_sc_hd__nand2b_1 g6021__2398(.A_N (n_109), .B
-       (r_Clock_Count[10]), .Y (n_112));
-  sky130_fd_sc_hd__maj3_1 g6022__5107(.A (n_75), .B (n_107), .C
-       (r_Clock_Count[10]), .X (n_111));
-  sky130_fd_sc_hd__xor2_1 g6023__6260(.A (r_Clock_Count[9]), .B
-       (n_102), .X (n_110));
-  sky130_fd_sc_hd__nand2b_1 g6024__4319(.A_N (n_102), .B
-       (r_Clock_Count[9]), .Y (n_109));
-  sky130_fd_sc_hd__a211oi_1 g6025__8428(.A1 (n_85), .A2 (n_3), .B1
-       (n_90), .C1 (n_106), .Y (n_108));
-  sky130_fd_sc_hd__maj3_1 g6026__5526(.A (n_63), .B (n_104), .C
-       (r_Clock_Count[9]), .X (n_107));
-  sky130_fd_sc_hd__o22ai_1 g6027__6783(.A1 (r_Clock_Count[15]), .A2
-       (n_101), .B1 (r_Clock_Count[14]), .B2 (n_96), .Y (n_106));
-  sky130_fd_sc_hd__o21ai_1 g6028__3680(.A1 (r_Clock_Count[15]), .A2
-       (n_100), .B1 (n_101), .Y (n_105));
-  sky130_fd_sc_hd__maj3_1 g6029__1617(.A (n_72), .B (n_99), .C
-       (r_Clock_Count[8]), .X (n_104));
-  sky130_fd_sc_hd__xor2_1 g6030__2802(.A (r_Clock_Count[8]), .B (n_97),
-       .X (n_103));
-  sky130_fd_sc_hd__nand2b_1 g6031__1705(.A_N (n_97), .B
-       (r_Clock_Count[8]), .Y (n_102));
-  sky130_fd_sc_hd__a21oi_1 g6032__5122(.A1 (n_95), .A2
-       (CLKS_PER_BIT[15]), .B1 (n_92), .Y (n_101));
-  sky130_fd_sc_hd__and2_1 g6033__8246(.A (n_96), .B
-       (r_Clock_Count[14]), .X (n_100));
-  sky130_fd_sc_hd__maj3_1 g6034__7098(.A (n_60), .B (n_91), .C
-       (r_Clock_Count[7]), .X (n_99));
-  sky130_fd_sc_hd__xor2_1 g6035__6131(.A (r_Clock_Count[7]), .B (n_87),
-       .X (n_98));
-  sky130_fd_sc_hd__nand2b_1 g6036__1881(.A_N (n_87), .B
-       (r_Clock_Count[7]), .Y (n_97));
-  sky130_fd_sc_hd__a21oi_1 g6037__5115(.A1 (n_84), .A2
-       (CLKS_PER_BIT[14]), .B1 (n_94), .Y (n_96));
-  sky130_fd_sc_hd__inv_1 g6038(.A (n_94), .Y (n_95));
-  sky130_fd_sc_hd__nor2_1 g6039__7482(.A (r_Clock_Count[13]), .B
-       (n_86), .Y (n_93));
-  sky130_fd_sc_hd__nor2_1 g6040__4733(.A (CLKS_PER_BIT[14]), .B (n_84),
-       .Y (n_94));
-  sky130_fd_sc_hd__maj3_1 g6041__6161(.A (n_67), .B (n_82), .C
-       (r_Clock_Count[6]), .X (n_91));
-  sky130_fd_sc_hd__a21oi_1 g6042__9315(.A1 (n_78), .A2
-       (r_Clock_Count[12]), .B1 (n_86), .Y (n_90));
-  sky130_fd_sc_hd__nor3_1 g6043__9945(.A (CLKS_PER_BIT[15]), .B
-       (CLKS_PER_BIT[14]), .C (n_84), .Y (n_92));
-  sky130_fd_sc_hd__o22ai_1 g6044__2883(.A1 (r_Clock_Count[12]), .A2
-       (n_78), .B1 (r_Clock_Count[11]), .B2 (n_83), .Y (n_89));
-  sky130_fd_sc_hd__xor2_1 g6045__2346(.A (r_Clock_Count[6]), .B (n_79),
-       .X (n_88));
-  sky130_fd_sc_hd__nand2b_1 g6046__1666(.A_N (n_79), .B
-       (r_Clock_Count[6]), .Y (n_87));
-  sky130_fd_sc_hd__nand3b_1 g6047__7410(.A_N (CLKS_PER_BIT[13]), .B
-       (n_78), .C (r_Clock_Count[12]), .Y (n_85));
-  sky130_fd_sc_hd__a21boi_1 g6048__6417(.A1 (n_77), .A2
-       (CLKS_PER_BIT[13]), .B1_N (n_84), .Y (n_86));
-  sky130_fd_sc_hd__or2_1 g6049__5477(.A (CLKS_PER_BIT[13]), .B (n_77),
-       .X (n_84));
-  sky130_fd_sc_hd__a21oi_1 g6050__2398(.A1 (n_74), .A2
-       (CLKS_PER_BIT[11]), .B1 (n_186), .Y (n_83));
-  sky130_fd_sc_hd__o21ai_1 g6051__5107(.A1 (n_8), .A2 (n_55), .B1
-       (n_81), .Y (n_82));
-  sky130_fd_sc_hd__a211o_1 g6052__6260(.A1 (n_55), .A2 (n_8), .B1
-       (n_73), .C1 (n_76), .X (n_81));
-  sky130_fd_sc_hd__xor2_1 g6053__4319(.A (r_Clock_Count[5]), .B (n_65),
-       .X (n_80));
-  sky130_fd_sc_hd__nand2b_1 g6054__8428(.A_N (n_65), .B
-       (r_Clock_Count[5]), .Y (n_79));
-  sky130_fd_sc_hd__o21a_1 g6055__5526(.A1 (n_9), .A2 (n_186), .B1
-       (n_77), .X (n_78));
-  sky130_fd_sc_hd__nand2_1 g6057__6783(.A (n_186), .B (n_9), .Y (n_77));
-  sky130_fd_sc_hd__a22oi_1 g6058__3680(.A1 (n_66), .A2
-       (r_Clock_Count[4]), .B1 (n_42), .B2 (n_59), .Y (n_76));
-  sky130_fd_sc_hd__xnor2_1 g6059__1617(.A (CLKS_PER_BIT[10]), .B
-       (n_61), .Y (n_75));
-  sky130_fd_sc_hd__nand2b_1 g6060__2802(.A_N (CLKS_PER_BIT[10]), .B
-       (n_61), .Y (n_74));
-  sky130_fd_sc_hd__nor2_1 g6061__1705(.A (r_Clock_Count[4]), .B (n_66),
-       .Y (n_73));
-  sky130_fd_sc_hd__a21oi_1 g6062__5122(.A1 (n_56), .A2
-       (CLKS_PER_BIT[8]), .B1 (n_53), .Y (n_72));
-  sky130_fd_sc_hd__a221o_1 g6063__8246(.A1 (n_16), .A2 (r_SM_Main[0]),
-       .B1 (o_TX_Serial), .B2 (n_0), .C1 (n_64), .X (n_70));
-  sky130_fd_sc_hd__xor2_1 g6064__7098(.A (r_Clock_Count[4]), .B (n_57),
-       .X (n_69));
-  sky130_fd_sc_hd__a21oi_1 g6067__6131(.A1 (n_50), .A2
-       (CLKS_PER_BIT[6]), .B1 (n_51), .Y (n_67));
-  sky130_fd_sc_hd__maj3_1 g6068__1881(.A (n_48), .B (n_52), .C
-       (r_Clock_Count[3]), .X (n_66));
-  sky130_fd_sc_hd__nand2b_1 g6069__5115(.A_N (n_57), .B
-       (r_Clock_Count[4]), .Y (n_65));
-  sky130_fd_sc_hd__a211oi_1 g6070__7482(.A1 (n_49), .A2 (r_SM_Main[1]),
-       .B1 (n_0), .C1 (r_SM_Main[0]), .Y (n_64));
-  sky130_fd_sc_hd__a21oi_1 g6071__4733(.A1 (n_54), .A2
-       (CLKS_PER_BIT[9]), .B1 (n_61), .Y (n_63));
-  sky130_fd_sc_hd__xnor2_1 g6073__6161(.A (CLKS_PER_BIT[7]), .B (n_51),
-       .Y (n_60));
-  sky130_fd_sc_hd__nor2_1 g6074__9315(.A (CLKS_PER_BIT[9]), .B (n_54),
-       .Y (n_61));
-  sky130_fd_sc_hd__o21ai_1 g6075__9945(.A1 (CLKS_PER_BIT[3]), .A2
-       (n_24), .B1 (CLKS_PER_BIT[4]), .Y (n_59));
-  sky130_fd_sc_hd__xor2_1 g6076__2883(.A (r_Clock_Count[3]), .B (n_36),
-       .X (n_58));
-  sky130_fd_sc_hd__nand2b_1 g6077__2346(.A_N (CLKS_PER_BIT[7]), .B
-       (n_51), .Y (n_56));
-  sky130_fd_sc_hd__nand2b_1 g6078__1666(.A_N (n_36), .B
-       (r_Clock_Count[3]), .Y (n_57));
-  sky130_fd_sc_hd__inv_2 g6079(.A (n_53), .Y (n_54));
-  sky130_fd_sc_hd__maj3_1 g6080__7410(.A (n_37), .B (n_25), .C
-       (r_Clock_Count[2]), .X (n_52));
-  sky130_fd_sc_hd__xnor2_1 g6081__6417(.A (CLKS_PER_BIT[5]), .B (n_42),
-       .Y (n_55));
-  sky130_fd_sc_hd__nor3b_1 g6082__5477(.A (CLKS_PER_BIT[7]), .B
-       (CLKS_PER_BIT[8]), .C_N (n_51), .Y (n_53));
-  sky130_fd_sc_hd__or2_1 g6089__2398(.A (CLKS_PER_BIT[5]), .B (n_42),
-       .X (n_50));
-  sky130_fd_sc_hd__a22oi_1 g6090__5107(.A1 (n_26), .A2 (n_2), .B1
-       (n_27), .B2 (r_Bit_Index[1]), .Y (n_49));
-  sky130_fd_sc_hd__xor2_1 g6092__6260(.A (CLKS_PER_BIT[3]), .B (n_24),
-       .X (n_48));
-  sky130_fd_sc_hd__nor3_1 g6094__4319(.A (CLKS_PER_BIT[6]), .B
-       (CLKS_PER_BIT[5]), .C (n_42), .Y (n_51));
-  sky130_fd_sc_hd__nor2_1 g6095__8428(.A (n_0), .B (n_31), .Y (n_47));
-  sky130_fd_sc_hd__nor2_1 g6096__5526(.A (n_0), .B (n_34), .Y (n_46));
-  sky130_fd_sc_hd__nor2_1 g6097__6783(.A (n_0), .B (n_33), .Y (n_45));
-  sky130_fd_sc_hd__nor2_1 g6098__3680(.A (n_0), .B (n_29), .Y (n_44));
-  sky130_fd_sc_hd__nor2_1 g6099__1617(.A (n_0), .B (n_35), .Y (n_43));
-  sky130_fd_sc_hd__nor2_1 g6100__2802(.A (n_0), .B (n_32), .Y (n_41));
-  sky130_fd_sc_hd__nor2_1 g6101__1705(.A (n_0), .B (n_28), .Y (n_40));
-  sky130_fd_sc_hd__nor2_1 g6102__5122(.A (n_0), .B (n_30), .Y (n_39));
-  sky130_fd_sc_hd__xor2_1 g6103__8246(.A (r_Clock_Count[2]), .B (n_12),
-       .X (n_38));
-  sky130_fd_sc_hd__a2bb2oi_1 g6104__7098(.A1_N (r_Clock_Count[1]),
-       .A2_N (n_19), .B1 (n_12), .B2 (n_13), .Y (n_37));
-  sky130_fd_sc_hd__or3_1 g6105__6131(.A (CLKS_PER_BIT[4]), .B
-       (CLKS_PER_BIT[3]), .C (n_24), .X (n_42));
-  sky130_fd_sc_hd__a22oi_1 g6106__1881(.A1 (n_20), .A2 (i_TX_Byte[1]),
-       .B1 (n_21), .B2 (r_TX_Data[1]), .Y (n_35));
-  sky130_fd_sc_hd__a22oi_1 g6107__5115(.A1 (n_20), .A2 (i_TX_Byte[2]),
-       .B1 (n_21), .B2 (r_TX_Data[2]), .Y (n_34));
-  sky130_fd_sc_hd__a22oi_1 g6108__7482(.A1 (n_20), .A2 (i_TX_Byte[4]),
-       .B1 (n_21), .B2 (r_TX_Data[4]), .Y (n_33));
-  sky130_fd_sc_hd__a22oi_1 g6109__4733(.A1 (n_20), .A2 (i_TX_Byte[5]),
-       .B1 (n_21), .B2 (r_TX_Data[5]), .Y (n_32));
-  sky130_fd_sc_hd__nand2b_1 g6110__6161(.A_N (n_12), .B
-       (r_Clock_Count[2]), .Y (n_36));
-  sky130_fd_sc_hd__a22oi_1 g6111__9315(.A1 (n_20), .A2 (i_TX_Byte[6]),
-       .B1 (n_21), .B2 (r_TX_Data[6]), .Y (n_31));
-  sky130_fd_sc_hd__a22oi_1 g6112__9945(.A1 (n_20), .A2 (i_TX_Byte[7]),
-       .B1 (n_21), .B2 (r_TX_Data[7]), .Y (n_30));
-  sky130_fd_sc_hd__a22oi_1 g6113__2883(.A1 (n_20), .A2 (i_TX_Byte[0]),
-       .B1 (n_21), .B2 (r_TX_Data[0]), .Y (n_29));
-  sky130_fd_sc_hd__a22oi_1 g6114__2346(.A1 (n_20), .A2 (i_TX_Byte[3]),
-       .B1 (n_21), .B2 (r_TX_Data[3]), .Y (n_28));
-  sky130_fd_sc_hd__mux4_2 g6115__1666(.A0 (r_TX_Data[2]), .A1
-       (r_TX_Data[3]), .A2 (r_TX_Data[6]), .A3 (r_TX_Data[7]), .S0
-       (r_Bit_Index[0]), .S1 (r_Bit_Index[2]), .X (n_27));
-  sky130_fd_sc_hd__mux4_2 g6116__7410(.A0 (r_TX_Data[0]), .A1
-       (r_TX_Data[1]), .A2 (r_TX_Data[4]), .A3 (r_TX_Data[5]), .S0
-       (r_Bit_Index[0]), .S1 (r_Bit_Index[2]), .X (n_26));
-  sky130_fd_sc_hd__a21oi_1 g6117__6417(.A1 (n_14), .A2
-       (CLKS_PER_BIT[2]), .B1 (n_23), .Y (n_25));
-  sky130_fd_sc_hd__clkinv_1 g6118(.A (n_23), .Y (n_24));
-  sky130_fd_sc_hd__nor2_1 g6119__5477(.A (r_Bit_Index[0]), .B (n_15),
-       .Y (n_22));
-  sky130_fd_sc_hd__nor2_1 g6120__2398(.A (CLKS_PER_BIT[2]), .B (n_14),
-       .Y (n_23));
-  sky130_fd_sc_hd__inv_2 g6121(.A (n_21), .Y (n_20));
-  sky130_fd_sc_hd__a2bb2oi_1 g6122__5107(.A1_N (CLKS_PER_BIT[0]), .A2_N
-       (r_Clock_Count[0]), .B1 (CLKS_PER_BIT[0]), .B2
-       (CLKS_PER_BIT[1]), .Y (n_19));
-  sky130_fd_sc_hd__xor2_1 g6123__6260(.A (r_Clock_Count[1]), .B
-       (r_Clock_Count[0]), .X (n_18));
-  sky130_fd_sc_hd__nand3_1 g6124__4319(.A (tx_en), .B (n_4), .C (n_7),
-       .Y (n_21));
-  sky130_fd_sc_hd__clkinv_1 g6125(.A (n_16), .Y (n_15));
-  sky130_fd_sc_hd__nand2_1 g6126__8428(.A (r_Bit_Index[0]), .B
-       (r_Bit_Index[1]), .Y (n_17));
-  sky130_fd_sc_hd__nor2_1 g6127__5526(.A (n_0), .B (n_4), .Y (n_16));
-  sky130_fd_sc_hd__inv_2 g6128(.A (n_13), .Y (n_14));
-  sky130_fd_sc_hd__inv_2 g6129(.A (n_11), .Y (n_10));
-  sky130_fd_sc_hd__nor2_1 g6130__6783(.A (CLKS_PER_BIT[0]), .B
-       (CLKS_PER_BIT[1]), .Y (n_13));
-  sky130_fd_sc_hd__nand2_1 g6131__3680(.A (r_Clock_Count[0]), .B
-       (r_Clock_Count[1]), .Y (n_12));
-  sky130_fd_sc_hd__nand2_1 g6132__1617(.A (r_SM_Main[0]), .B (rst_ni),
-       .Y (n_11));
-  sky130_fd_sc_hd__clkinv_1 g6133(.A (CLKS_PER_BIT[12]), .Y (n_9));
-  sky130_fd_sc_hd__clkinv_1 g6134(.A (r_Clock_Count[5]), .Y (n_8));
-  sky130_fd_sc_hd__inv_1 g6135(.A (r_SM_Main[0]), .Y (n_7));
-  sky130_fd_sc_hd__inv_1 g6136(.A (o_TX_Done), .Y (n_6));
-  sky130_fd_sc_hd__inv_2 g6138(.A (r_SM_Main[1]), .Y (n_4));
-  sky130_fd_sc_hd__inv_1 g6139(.A (r_Clock_Count[13]), .Y (n_3));
-  sky130_fd_sc_hd__inv_1 g6140(.A (r_Bit_Index[1]), .Y (n_2));
-  sky130_fd_sc_hd__inv_2 g6142(.A (rst_ni), .Y (n_0));
-  sky130_fd_sc_hd__nor3b_1 g2__2802(.A (CLKS_PER_BIT[10]), .B
-       (CLKS_PER_BIT[11]), .C_N (n_61), .Y (n_186));
-  sky130_fd_sc_hd__mux2i_1 g6143__1705(.A0 (n_143), .A1 (n_140), .S
-       (r_Bit_Index[2]), .Y (n_187));
+module uart_tx (
+	rst_ni, 
+	tx_en, 
+	i_TX_Byte, 
+	CLKS_PER_BIT, 
+	o_TX_Serial, 
+	o_TX_Done, 
+	FE_OFN11_io_out_37, 
+	clk_i_clone2, 
+	clk_i_clone1, 
+	clk_i, 
+	vccd1, 
+	vssd1);
+   input rst_ni;
+   input tx_en;
+   input [7:0] i_TX_Byte;
+   input [15:0] CLKS_PER_BIT;
+   output o_TX_Serial;
+   output o_TX_Done;
+   input FE_OFN11_io_out_37;
+   input clk_i_clone2;
+   input clk_i_clone1;
+   input clk_i;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire FE_OFN1228_n_121;
+   wire [2:0] r_Bit_Index;
+   wire [15:0] r_Clock_Count;
+   wire [2:0] r_SM_Main;
+   wire [7:0] r_TX_Data;
+   wire n_0;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_14;
+   wire n_15;
+   wire n_16;
+   wire n_17;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_33;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_41;
+   wire n_42;
+   wire n_43;
+   wire n_44;
+   wire n_45;
+   wire n_46;
+   wire n_47;
+   wire n_48;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_52;
+   wire n_53;
+   wire n_54;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_59;
+   wire n_60;
+   wire n_61;
+   wire n_63;
+   wire n_64;
+   wire n_65;
+   wire n_66;
+   wire n_67;
+   wire n_69;
+   wire n_70;
+   wire n_72;
+   wire n_73;
+   wire n_74;
+   wire n_75;
+   wire n_76;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_81;
+   wire n_82;
+   wire n_83;
+   wire n_84;
+   wire n_85;
+   wire n_86;
+   wire n_87;
+   wire n_88;
+   wire n_89;
+   wire n_90;
+   wire n_91;
+   wire n_92;
+   wire n_93;
+   wire n_94;
+   wire n_95;
+   wire n_96;
+   wire n_97;
+   wire n_98;
+   wire n_99;
+   wire n_100;
+   wire n_101;
+   wire n_102;
+   wire n_103;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_107;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_121;
+   wire n_122;
+   wire n_123;
+   wire n_124;
+   wire n_125;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_137;
+   wire n_138;
+   wire n_139;
+   wire n_140;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_144;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_186;
+   wire n_187;
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1228_n_121 (
+	.A(n_121),
+	.X(FE_OFN1228_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 o_TX_Serial_reg (
+	.CLK(clk_i_clone2),
+	.D(n_70),
+	.Q(o_TX_Serial), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \r_Bit_Index_reg[0]  (
+	.CLK(clk_i),
+	.D(n_129),
+	.Q(r_Bit_Index[0]),
+	.SCD(n_130),
+	.SCE(r_Bit_Index[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[1]  (
+	.CLK(clk_i),
+	.D(n_149),
+	.Q(r_Bit_Index[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[2]  (
+	.CLK(clk_i),
+	.D(n_187),
+	.Q(r_Bit_Index[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_125),
+	.Q(r_Clock_Count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_138),
+	.Q(r_Clock_Count[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[2]  (
+	.CLK(clk_i_clone2),
+	.D(n_128),
+	.Q(r_Clock_Count[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[3]  (
+	.CLK(clk_i_clone1),
+	.D(n_127),
+	.Q(r_Clock_Count[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[4]  (
+	.CLK(clk_i_clone1),
+	.D(n_126),
+	.Q(r_Clock_Count[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[5]  (
+	.CLK(clk_i_clone1),
+	.D(n_137),
+	.Q(r_Clock_Count[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[6]  (
+	.CLK(clk_i_clone1),
+	.D(n_136),
+	.Q(r_Clock_Count[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[7]  (
+	.CLK(clk_i_clone1),
+	.D(n_139),
+	.Q(r_Clock_Count[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[8]  (
+	.CLK(clk_i_clone1),
+	.D(n_134),
+	.Q(r_Clock_Count[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[9]  (
+	.CLK(clk_i_clone2),
+	.D(n_133),
+	.Q(r_Clock_Count[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[10]  (
+	.CLK(clk_i_clone2),
+	.D(n_132),
+	.Q(r_Clock_Count[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[11]  (
+	.CLK(clk_i_clone2),
+	.D(n_123),
+	.Q(r_Clock_Count[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[12]  (
+	.CLK(clk_i_clone2),
+	.D(n_152),
+	.Q(r_Clock_Count[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[13]  (
+	.CLK(clk_i_clone2),
+	.D(n_154),
+	.Q(r_Clock_Count[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[14]  (
+	.CLK(clk_i_clone2),
+	.D(n_157),
+	.Q(r_Clock_Count[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[15]  (
+	.CLK(clk_i_clone2),
+	.D(n_158),
+	.Q(r_Clock_Count[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[0]  (
+	.CLK(clk_i_clone2),
+	.D(n_148),
+	.Q(r_SM_Main[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[1]  (
+	.CLK(clk_i_clone2),
+	.D(n_141),
+	.Q(r_SM_Main[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[0]  (
+	.CLK(clk_i),
+	.D(n_44),
+	.Q(r_TX_Data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[1]  (
+	.CLK(clk_i),
+	.D(n_43),
+	.Q(r_TX_Data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[2]  (
+	.CLK(clk_i),
+	.D(n_46),
+	.Q(r_TX_Data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[3]  (
+	.CLK(clk_i),
+	.D(n_40),
+	.Q(r_TX_Data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[4]  (
+	.CLK(clk_i),
+	.D(n_45),
+	.Q(r_TX_Data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[5]  (
+	.CLK(clk_i),
+	.D(n_41),
+	.Q(r_TX_Data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[6]  (
+	.CLK(clk_i),
+	.D(n_47),
+	.Q(r_TX_Data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[7]  (
+	.CLK(clk_i),
+	.D(n_39),
+	.Q(r_TX_Data[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 r_TX_Done_reg (
+	.CLK(clk_i),
+	.D(n_145),
+	.Q(o_TX_Done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5955__8246 (
+	.A(n_156),
+	.B(FE_OFN1228_n_121),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5956__7098 (
+	.A(n_155),
+	.B(FE_OFN1228_n_121),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5957__6131 (
+	.A1(n_151),
+	.A2(r_Clock_Count[14]),
+	.B1(r_Clock_Count[15]),
+	.Y(n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g5959__1881 (
+	.A(r_Clock_Count[14]),
+	.B(n_151),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5960__5115 (
+	.A(n_153),
+	.B(FE_OFN1228_n_121),
+	.Y(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g5963__7482 (
+	.A(r_Clock_Count[13]),
+	.B(n_131),
+	.X(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5966__4733 (
+	.A(n_142),
+	.B(FE_OFN1228_n_121),
+	.Y(n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g5970__6161 (
+	.A(n_146),
+	.B(n_144),
+	.Y(n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g5971__9315 (
+	.A1(n_11),
+	.A2(n_120),
+	.B1(n_0),
+	.B2(n_21),
+	.C1(n_147),
+	.Y(n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5972__9945 (
+	.A(n_3),
+	.B(n_131),
+	.Y(n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g5973__2883 (
+	.A_N(n_143),
+	.B(r_Bit_Index[2]),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g5975__2346 (
+	.A1(n_22),
+	.A2(n_130),
+	.B1(r_Bit_Index[1]),
+	.Y(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g5976__1666 (
+	.A1(n_15),
+	.A2(n_135),
+	.B1(n_6),
+	.B2(n_11),
+	.Y(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g5977__7410 (
+	.A(n_129),
+	.B(r_Bit_Index[0]),
+	.C(n_2),
+	.Y(n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g5986__6417 (
+	.A(r_Clock_Count[12]),
+	.B(n_116),
+	.X(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g5987__5477 (
+	.A1(r_SM_Main[1]),
+	.A2(n_11),
+	.A3(n_92),
+	.A4(n_119),
+	.B1(n_124),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g5988__2398 (
+	.A1(n_16),
+	.A2(n_17),
+	.B1(n_130),
+	.Y(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g5989__5107 (
+	.A_N(n_17),
+	.B(n_129),
+	.Y(n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5994__6260 (
+	.A(n_98),
+	.B(FE_OFN1228_n_121),
+	.Y(n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g5995__4319 (
+	.A(FE_OFN1228_n_121),
+	.B_N(n_18),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5996__8428 (
+	.A(n_80),
+	.B(FE_OFN1228_n_121),
+	.Y(n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5997__5526 (
+	.A(n_88),
+	.B(FE_OFN1228_n_121),
+	.Y(n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5998__6783 (
+	.A(o_TX_Done),
+	.B(n_122),
+	.Y(n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5999__3680 (
+	.A(n_103),
+	.B(FE_OFN1228_n_121),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6000__1617 (
+	.A(n_110),
+	.B(FE_OFN1228_n_121),
+	.Y(n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6001__2802 (
+	.A(n_113),
+	.B(FE_OFN1228_n_121),
+	.Y(n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6002__1705 (
+	.A(n_38),
+	.B(FE_OFN1228_n_121),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6003__5122 (
+	.A(n_58),
+	.B(FE_OFN1228_n_121),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6004__8246 (
+	.A(n_69),
+	.B(FE_OFN1228_n_121),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6005__7098 (
+	.A(r_Clock_Count[0]),
+	.B(FE_OFN1228_n_121),
+	.Y(n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6006__6131 (
+	.A_N(n_122),
+	.B(n_16),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6007__1881 (
+	.A(n_117),
+	.B(FE_OFN1228_n_121),
+	.Y(n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6008__5115 (
+	.A_N(n_116),
+	.B(r_Clock_Count[12]),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6009__7482 (
+	.A1(n_15),
+	.A2(n_118),
+	.B1(n_11),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g6010__4733 (
+	.A(r_SM_Main[0]),
+	.B(n_15),
+	.C(n_119),
+	.Y(n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6011__6161 (
+	.A(n_7),
+	.B(n_119),
+	.Y(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6012__9315 (
+	.A1(n_92),
+	.A2(n_4),
+	.B1(n_119),
+	.Y(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6013__9945 (
+	.A1(n_10),
+	.A2(n_16),
+	.B1(n_119),
+	.Y(n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6014 (
+	.A(n_119),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g6015__2883 (
+	.A1(n_100),
+	.A2(r_Clock_Count[15]),
+	.B1(n_108),
+	.C1(n_115),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6016__2346 (
+	.A(r_Clock_Count[11]),
+	.B(n_112),
+	.X(n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6017__1666 (
+	.A_N(n_112),
+	.B(r_Clock_Count[11]),
+	.Y(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g6018__7410 (
+	.A1(n_89),
+	.A2(n_93),
+	.A3(n_106),
+	.A4(n_114),
+	.B1(n_105),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6019__6417 (
+	.A1(n_83),
+	.A2(r_Clock_Count[11]),
+	.B1(n_111),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6020__5477 (
+	.A(r_Clock_Count[10]),
+	.B(n_109),
+	.X(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6021__2398 (
+	.A_N(n_109),
+	.B(r_Clock_Count[10]),
+	.Y(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6022__5107 (
+	.A(n_75),
+	.B(n_107),
+	.C(r_Clock_Count[10]),
+	.X(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6023__6260 (
+	.A(r_Clock_Count[9]),
+	.B(n_102),
+	.X(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6024__4319 (
+	.A_N(n_102),
+	.B(r_Clock_Count[9]),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g6025__8428 (
+	.A1(n_85),
+	.A2(n_3),
+	.B1(n_90),
+	.C1(n_106),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6026__5526 (
+	.A(n_63),
+	.B(n_104),
+	.C(r_Clock_Count[9]),
+	.X(n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g6027__6783 (
+	.A1(r_Clock_Count[15]),
+	.A2(n_101),
+	.B1(r_Clock_Count[14]),
+	.B2(n_96),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6028__3680 (
+	.A1(r_Clock_Count[15]),
+	.A2(n_100),
+	.B1(n_101),
+	.Y(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6029__1617 (
+	.A(n_72),
+	.B(n_99),
+	.C(r_Clock_Count[8]),
+	.X(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6030__2802 (
+	.A(r_Clock_Count[8]),
+	.B(n_97),
+	.X(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6031__1705 (
+	.A_N(n_97),
+	.B(r_Clock_Count[8]),
+	.Y(n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6032__5122 (
+	.A1(n_95),
+	.A2(CLKS_PER_BIT[15]),
+	.B1(n_92),
+	.Y(n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g6033__8246 (
+	.A(n_96),
+	.B(r_Clock_Count[14]),
+	.X(n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6034__7098 (
+	.A(n_60),
+	.B(n_91),
+	.C(r_Clock_Count[7]),
+	.X(n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6035__6131 (
+	.A(r_Clock_Count[7]),
+	.B(n_87),
+	.X(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6036__1881 (
+	.A_N(n_87),
+	.B(r_Clock_Count[7]),
+	.Y(n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6037__5115 (
+	.A1(n_84),
+	.A2(CLKS_PER_BIT[14]),
+	.B1(n_94),
+	.Y(n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6038 (
+	.A(n_94),
+	.Y(n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6039__7482 (
+	.A(r_Clock_Count[13]),
+	.B(n_86),
+	.Y(n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6040__4733 (
+	.A(CLKS_PER_BIT[14]),
+	.B(n_84),
+	.Y(n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6041__6161 (
+	.A(n_67),
+	.B(n_82),
+	.C(r_Clock_Count[6]),
+	.X(n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6042__9315 (
+	.A1(n_78),
+	.A2(r_Clock_Count[12]),
+	.B1(n_86),
+	.Y(n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g6043__9945 (
+	.A(CLKS_PER_BIT[15]),
+	.B(CLKS_PER_BIT[14]),
+	.C(n_84),
+	.Y(n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g6044__2883 (
+	.A1(r_Clock_Count[12]),
+	.A2(n_78),
+	.B1(r_Clock_Count[11]),
+	.B2(n_83),
+	.Y(n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6045__2346 (
+	.A(r_Clock_Count[6]),
+	.B(n_79),
+	.X(n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6046__1666 (
+	.A_N(n_79),
+	.B(r_Clock_Count[6]),
+	.Y(n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g6047__7410 (
+	.A_N(CLKS_PER_BIT[13]),
+	.B(n_78),
+	.C(r_Clock_Count[12]),
+	.Y(n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g6048__6417 (
+	.A1(n_77),
+	.A2(CLKS_PER_BIT[13]),
+	.B1_N(n_84),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g6049__5477 (
+	.A(CLKS_PER_BIT[13]),
+	.B(n_77),
+	.X(n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6050__2398 (
+	.A1(n_74),
+	.A2(CLKS_PER_BIT[11]),
+	.B1(n_186),
+	.Y(n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6051__5107 (
+	.A1(n_8),
+	.A2(n_55),
+	.B1(n_81),
+	.Y(n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g6052__6260 (
+	.A1(n_55),
+	.A2(n_8),
+	.B1(n_73),
+	.C1(n_76),
+	.X(n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6053__4319 (
+	.A(r_Clock_Count[5]),
+	.B(n_65),
+	.X(n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6054__8428 (
+	.A_N(n_65),
+	.B(r_Clock_Count[5]),
+	.Y(n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g6055__5526 (
+	.A1(n_9),
+	.A2(n_186),
+	.B1(n_77),
+	.X(n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6057__6783 (
+	.A(n_186),
+	.B(n_9),
+	.Y(n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6058__3680 (
+	.A1(n_66),
+	.A2(r_Clock_Count[4]),
+	.B1(n_42),
+	.B2(n_59),
+	.Y(n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g6059__1617 (
+	.A(CLKS_PER_BIT[10]),
+	.B(n_61),
+	.Y(n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6060__2802 (
+	.A_N(CLKS_PER_BIT[10]),
+	.B(n_61),
+	.Y(n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6061__1705 (
+	.A(r_Clock_Count[4]),
+	.B(n_66),
+	.Y(n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6062__5122 (
+	.A1(n_56),
+	.A2(CLKS_PER_BIT[8]),
+	.B1(n_53),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g6063__8246 (
+	.A1(n_16),
+	.A2(r_SM_Main[0]),
+	.B1(o_TX_Serial),
+	.B2(n_0),
+	.C1(n_64),
+	.X(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6064__7098 (
+	.A(r_Clock_Count[4]),
+	.B(n_57),
+	.X(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6067__6131 (
+	.A1(n_50),
+	.A2(CLKS_PER_BIT[6]),
+	.B1(n_51),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6068__1881 (
+	.A(n_48),
+	.B(n_52),
+	.C(r_Clock_Count[3]),
+	.X(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6069__5115 (
+	.A_N(n_57),
+	.B(r_Clock_Count[4]),
+	.Y(n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g6070__7482 (
+	.A1(n_49),
+	.A2(r_SM_Main[1]),
+	.B1(n_0),
+	.C1(r_SM_Main[0]),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6071__4733 (
+	.A1(n_54),
+	.A2(CLKS_PER_BIT[9]),
+	.B1(n_61),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g6073__6161 (
+	.A(CLKS_PER_BIT[7]),
+	.B(n_51),
+	.Y(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6074__9315 (
+	.A(CLKS_PER_BIT[9]),
+	.B(n_54),
+	.Y(n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g6075__9945 (
+	.A1(CLKS_PER_BIT[3]),
+	.A2(n_24),
+	.B1(CLKS_PER_BIT[4]),
+	.Y(n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6076__2883 (
+	.A(r_Clock_Count[3]),
+	.B(n_36),
+	.X(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6077__2346 (
+	.A_N(CLKS_PER_BIT[7]),
+	.B(n_51),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6078__1666 (
+	.A_N(n_36),
+	.B(r_Clock_Count[3]),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6079 (
+	.A(n_53),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g6080__7410 (
+	.A(n_37),
+	.B(n_25),
+	.C(r_Clock_Count[2]),
+	.X(n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g6081__6417 (
+	.A(CLKS_PER_BIT[5]),
+	.B(n_42),
+	.Y(n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g6082__5477 (
+	.A(CLKS_PER_BIT[7]),
+	.B(CLKS_PER_BIT[8]),
+	.C_N(n_51),
+	.Y(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g6089__2398 (
+	.A(CLKS_PER_BIT[5]),
+	.B(n_42),
+	.X(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6090__5107 (
+	.A1(n_26),
+	.A2(n_2),
+	.B1(n_27),
+	.B2(r_Bit_Index[1]),
+	.Y(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6092__6260 (
+	.A(CLKS_PER_BIT[3]),
+	.B(n_24),
+	.X(n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g6094__4319 (
+	.A(CLKS_PER_BIT[6]),
+	.B(CLKS_PER_BIT[5]),
+	.C(n_42),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6095__8428 (
+	.A(n_0),
+	.B(n_31),
+	.Y(n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6096__5526 (
+	.A(n_0),
+	.B(n_34),
+	.Y(n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6097__6783 (
+	.A(n_0),
+	.B(n_33),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6098__3680 (
+	.A(n_0),
+	.B(n_29),
+	.Y(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6099__1617 (
+	.A(n_0),
+	.B(n_35),
+	.Y(n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6100__2802 (
+	.A(n_0),
+	.B(n_32),
+	.Y(n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6101__1705 (
+	.A(n_0),
+	.B(n_28),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6102__5122 (
+	.A(n_0),
+	.B(n_30),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6103__8246 (
+	.A(r_Clock_Count[2]),
+	.B(n_12),
+	.X(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g6104__7098 (
+	.A1_N(r_Clock_Count[1]),
+	.A2_N(n_19),
+	.B1(n_12),
+	.B2(n_13),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g6105__6131 (
+	.A(CLKS_PER_BIT[4]),
+	.B(CLKS_PER_BIT[3]),
+	.C(n_24),
+	.X(n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6106__1881 (
+	.A1(n_20),
+	.A2(i_TX_Byte[1]),
+	.B1(n_21),
+	.B2(r_TX_Data[1]),
+	.Y(n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6107__5115 (
+	.A1(n_20),
+	.A2(i_TX_Byte[2]),
+	.B1(n_21),
+	.B2(r_TX_Data[2]),
+	.Y(n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6108__7482 (
+	.A1(n_20),
+	.A2(i_TX_Byte[4]),
+	.B1(n_21),
+	.B2(r_TX_Data[4]),
+	.Y(n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6109__4733 (
+	.A1(n_20),
+	.A2(i_TX_Byte[5]),
+	.B1(n_21),
+	.B2(r_TX_Data[5]),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g6110__6161 (
+	.A_N(n_12),
+	.B(r_Clock_Count[2]),
+	.Y(n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6111__9315 (
+	.A1(n_20),
+	.A2(i_TX_Byte[6]),
+	.B1(n_21),
+	.B2(r_TX_Data[6]),
+	.Y(n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6112__9945 (
+	.A1(n_20),
+	.A2(i_TX_Byte[7]),
+	.B1(n_21),
+	.B2(r_TX_Data[7]),
+	.Y(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6113__2883 (
+	.A1(n_20),
+	.A2(i_TX_Byte[0]),
+	.B1(n_21),
+	.B2(r_TX_Data[0]),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g6114__2346 (
+	.A1(n_20),
+	.A2(i_TX_Byte[3]),
+	.B1(n_21),
+	.B2(r_TX_Data[3]),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux4_2 g6115__1666 (
+	.A0(r_TX_Data[2]),
+	.A1(r_TX_Data[3]),
+	.A2(r_TX_Data[6]),
+	.A3(r_TX_Data[7]),
+	.S0(r_Bit_Index[0]),
+	.S1(r_Bit_Index[2]),
+	.X(n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux4_2 g6116__7410 (
+	.A0(r_TX_Data[0]),
+	.A1(r_TX_Data[1]),
+	.A2(r_TX_Data[4]),
+	.A3(r_TX_Data[5]),
+	.S0(r_Bit_Index[0]),
+	.S1(r_Bit_Index[2]),
+	.X(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g6117__6417 (
+	.A1(n_14),
+	.A2(CLKS_PER_BIT[2]),
+	.B1(n_23),
+	.Y(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g6118 (
+	.A(n_23),
+	.Y(n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6119__5477 (
+	.A(r_Bit_Index[0]),
+	.B(n_15),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6120__2398 (
+	.A(CLKS_PER_BIT[2]),
+	.B(n_14),
+	.Y(n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6121 (
+	.A(n_21),
+	.Y(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g6122__5107 (
+	.A1_N(CLKS_PER_BIT[0]),
+	.A2_N(r_Clock_Count[0]),
+	.B1(CLKS_PER_BIT[0]),
+	.B2(CLKS_PER_BIT[1]),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g6123__6260 (
+	.A(r_Clock_Count[1]),
+	.B(r_Clock_Count[0]),
+	.X(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g6124__4319 (
+	.A(tx_en),
+	.B(n_4),
+	.C(n_7),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g6125 (
+	.A(n_16),
+	.Y(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6126__8428 (
+	.A(r_Bit_Index[0]),
+	.B(r_Bit_Index[1]),
+	.Y(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6127__5526 (
+	.A(n_0),
+	.B(n_4),
+	.Y(n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6128 (
+	.A(n_13),
+	.Y(n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6129 (
+	.A(n_11),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6130__6783 (
+	.A(CLKS_PER_BIT[0]),
+	.B(CLKS_PER_BIT[1]),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6131__3680 (
+	.A(r_Clock_Count[0]),
+	.B(r_Clock_Count[1]),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g6132__1617 (
+	.A(r_SM_Main[0]),
+	.B(rst_ni),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g6133 (
+	.A(CLKS_PER_BIT[12]),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g6134 (
+	.A(r_Clock_Count[5]),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6135 (
+	.A(r_SM_Main[0]),
+	.Y(n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6136 (
+	.A(o_TX_Done),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6138 (
+	.A(r_SM_Main[1]),
+	.Y(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6139 (
+	.A(r_Clock_Count[13]),
+	.Y(n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g6140 (
+	.A(r_Bit_Index[1]),
+	.Y(n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6142 (
+	.A(FE_OFN11_io_out_37),
+	.Y(n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g2__2802 (
+	.A(CLKS_PER_BIT[10]),
+	.B(CLKS_PER_BIT[11]),
+	.C_N(n_61),
+	.Y(n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g6143__1705 (
+	.A0(n_143),
+	.A1(n_140),
+	.S(r_Bit_Index[2]),
+	.Y(n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
-module rest_top(wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i,
-     wbs_sel_i, wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, la_data_in,
-     la_data_out, la_oenb, io_in, io_out, io_oeb, analog_io,
-     user_clock2, user_irq);
-  input wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, user_clock2;
-  input [3:0] wbs_sel_i;
-  input [31:0] wbs_dat_i, wbs_adr_i;
-  input [127:0] la_data_in, la_oenb;
-  input [37:0] io_in;
-  output wbs_ack_o;
-  output [31:0] wbs_dat_o;
-  output [127:0] la_data_out;
-  output [37:0] io_out, io_oeb;
-  output [2:0] user_irq;
-  inout [28:0] analog_io;
-  wire wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, user_clock2;
-  wire [3:0] wbs_sel_i;
-  wire [31:0] wbs_dat_i, wbs_adr_i;
-  wire [127:0] la_data_in, la_oenb;
-  wire [37:0] io_in;
-  wire wbs_ack_o;
-  wire [31:0] wbs_dat_o;
-  wire [127:0] la_data_out;
-  wire [37:0] io_out, io_oeb;
-  wire [2:0] user_irq;
-  wire [28:0] analog_io;
-  wire [31:0] u_soc_data_wdata;
-  wire [31:0] u_soc_u_dccm_rdata1;
-  wire [31:0] u_soc_u_dccm_rdata2;
-  wire [31:0] u_soc_u_dccm_rdata3;
-  wire [31:0] u_soc_u_dccm_rdata4;
-  wire [7:0] u_soc_rx_byte_i;
-  wire [11:0] u_soc_iccm_ctrl_addr_o;
-  wire [31:0] u_soc_iccm_ctrl_data;
-  wire [31:0] u_soc_instr_wdata;
-  wire [31:0] u_soc_u_iccm_rdata1;
-  wire [31:0] u_soc_u_iccm_rdata2;
-  wire [31:0] u_soc_u_iccm_rdata3;
-  wire [31:0] u_soc_u_iccm_rdata4;
-  wire [31:0] \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] ;
-  wire [31:0] u_soc_u_tcam_data_addr;
-  wire [31:0] u_soc_u_tcam_data_wdata;
-  wire [31:0] u_soc_u_tcam_rdata;
-  wire [31:0] u_soc_u_top_u_core_instr_rdata_id;
-  wire [15:0] u_soc_u_top_u_core_instr_rdata_c_id;
-  wire [1:0] u_soc_u_top_u_core_priv_mode_id;
-  wire [2:0] u_soc_u_top_u_core_pc_mux_id;
-  wire [1:0] u_soc_u_top_u_core_exc_pc_mux_id;
-  wire [5:0] u_soc_u_top_u_core_exc_cause;
-  wire [2:0] u_soc_u_top_u_core_debug_cause;
-  wire [31:0] u_soc_u_top_u_core_csr_mtval;
-  wire [2:0] u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec;
-  wire [1:0] u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel;
-  wire [2:0] u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel;
-  wire [5:0] u_soc_u_top_u_core_alu_operator_ex;
-  wire [1:0] u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec;
-  wire [1:0] u_soc_u_top_u_core_multdiv_operator_ex;
-  wire [1:0] u_soc_u_top_u_core_multdiv_signed_mode_ex;
-  wire [1:0] u_soc_u_top_u_core_csr_op;
-  wire [1:0] u_soc_u_top_u_core_lsu_type;
-  wire [15:0] u_soc_baud_reg;
-  wire [15:0] u_soc_u_uart_u_uart_core_control;
-  wire [7:0] u_soc_u_uart_u_uart_core_rx;
-  wire [31:0] u_soc_u_uart_u_uart_core_tx_fifo_data;
-  wire [2:0] u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q;
-  wire [31:0] \u_soc_lsu_to_xbar[a_address] ;
-  wire [31:0] \u_soc_xbar_to_dccm[a_address] ;
-  wire [31:0] u_soc_u_top_u_core_alu_adder_result_ex;
-  wire [31:0] u_soc_u_top_u_core_alu_operand_b_ex;
-  wire [33:0] \u_soc_u_top_u_core_imd_val_q_ex[0] ;
-  wire [32:0]
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       ;
-  wire [32:0]
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       ;
-  wire [31:0] u_soc_u_top_u_core_pc_id;
-  wire [31:0] u_soc_u_top_u_core_lsu_addr_last;
-  wire [1:0]
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       ;
-  wire [1:0] u_soc_main_swith_host_lsu_dev_select_outstanding;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
-  wire [31:0] u_soc_u_top_u_core_rf_wdata_fwd_wb;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
-  wire [2:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [2:0]
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [4:0] u_soc_u_top_u_core_rf_waddr_wb;
-  wire [12:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
-       ;
-  wire [12:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
-       ;
-  wire [12:0]
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
-       ;
-  wire [12:0]
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
-       ;
-  wire [12:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [12:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [12:0]
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [12:0]
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [2:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [2:0]
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [2:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [2:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [2:0] u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs;
-  wire [2:0]
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       ;
-  wire [2:0]
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [2:0]
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [31:0] u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata;
-  wire [31:0]
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       ;
-  wire [31:0] u_soc_u_top_u_core_pc_if;
-  wire [17:0] u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
-  wire [11:0] u_soc_data_addr;
-  wire [3:0] u_soc_data_wmask;
-  wire [11:0] u_soc_instr_addr;
-  wire [3:0] u_soc_instr_wmask;
-  wire [31:0] u_soc_u_uart_u_uart_core_rx_val;
-  wire [31:0] u_soc_u_top_u_core_if_stage_i_if_instr_rdata;
-  wire [31:0] u_soc_u_top_u_core_if_stage_i_fetch_rdata;
-  wire [31:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       ;
-  wire [8:0] u_soc_u_uart_u_uart_core_read_fifo_raddr;
-  wire [31:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       ;
-  wire [2:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       ;
-  wire [7:0] u_soc_u_iccm_addr4;
-  wire [7:0] u_soc_u_iccm_addr2;
-  wire [7:0] u_soc_u_iccm_addr1;
-  wire [7:0] u_soc_u_iccm_addr3;
-  wire [31:0] \u_soc_iccm_to_xbar[d_data] ;
-  wire [32:0]
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [32:0]
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [7:0] u_soc_u_dccm_addr2;
-  wire [7:0] u_soc_u_dccm_addr3;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[19] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[23] ;
-  wire [7:0] u_soc_u_dccm_addr1;
-  wire [7:0] u_soc_u_dccm_addr4;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[91] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[155] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[83] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[147] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[191] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[255] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[187] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[251] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[0] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[64] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[172] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[236] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[31] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[223] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[27] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[31] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[11] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[15] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[59] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[63] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[40] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[104] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[168] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[232] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[26] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[30] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[3] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[7] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[58] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[62] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[136] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[200] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[160] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[224] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[43] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[47] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[8] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[72] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[156] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[220] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[128] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[192] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[93] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[157] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[17] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[209] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[89] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[153] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[29] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[221] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[49] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[241] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[185] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[249] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[177] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[137] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[201] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[81] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[145] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[189] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[253] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[25] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[217] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[30] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[222] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[18] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[210] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[190] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[254] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[186] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[250] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[94] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[158] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[90] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[154] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[26] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[218] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[171] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[235] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[3] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[67] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[19] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[211] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[22] ;
-  wire [31:0] \u_soc_xbar_to_dccm[a_data] ;
-  wire [31:0] \u_soc_ifu_to_xbar[a_address] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[61] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[125] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[113] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[21] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[85] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[57] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[121] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[51] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[115] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[55] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[119] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[59] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[123] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[63] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[127] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[51] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[55] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[35] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[39] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[50] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[54] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[34] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[38] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[53] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[117] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[54] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[118] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[58] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[122] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[62] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[126] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[50] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[114] ;
-  wire [1:0]
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[48] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[112] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[12] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[76] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[4] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[68] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[28] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[92] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[15] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[79] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[7] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[71] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[11] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[75] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[99] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[35] ;
-  wire [31:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       ;
-  wire [31:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       ;
-  wire [1:0]
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [31:0] \u_soc_iccm_adapter_inst_mem_wmask_int[0] ;
-  wire [8:0] u_soc_u_uart_u_uart_core_fifo_read_size;
-  wire [1:0]
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [12:0]
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [4:0]
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[41] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[105] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[13] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[77] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[1] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[65] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[45] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[109] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[239] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[175] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[44] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[48] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[203] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[139] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[231] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[167] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[227] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[163] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[195] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[131] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[208] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[144] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[207] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[143] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[60] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[124] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[199] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[135] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[52] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[116] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[61] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[56] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[120] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[13] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[60] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[17] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[216] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[152] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[244] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[180] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[29] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[33] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[16] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[80] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[248] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[184] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[20] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[84] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[45] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[28] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[212] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[148] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[24] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[88] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[240] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[176] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[16] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[252] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[188] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[5] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[69] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[33] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[97] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[205] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[141] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[37] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[101] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[9] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[73] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[197] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[133] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[193] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[129] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[229] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[165] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[233] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[169] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[6] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[70] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[10] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[74] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[14] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[78] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[2] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[66] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[238] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[174] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[202] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[138] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[198] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[134] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[226] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[162] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[42] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[106] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[46] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[110] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[38] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[102] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[234] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[170] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[34] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[98] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[230] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[166] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[194] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[130] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[206] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[142] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[95] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[23] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[87] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[39] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[103] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[8] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[12] ;
-  wire [12:0]
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [31:0] u_soc_u_top_u_core_csr_mtvec;
-  wire [31:0] u_soc_u_top_u_core_csr_mepc;
-  wire [31:0] u_soc_u_top_u_core_csr_depc;
-  wire [2:0]
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [2:0]
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [2:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [2:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[32] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[96] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[0] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[4] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[25] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[41] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[24] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[57] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[9] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[56] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[173] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[237] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[161] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[225] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[42] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[46] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[183] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[247] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[219] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[179] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[243] ;
-  wire [16:0] u_soc_main_swith_host_lsu_num_req_outstanding;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[49] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[53] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[1] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[5] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[52] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[32] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[36] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[40] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[21] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[37] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[20] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[132] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[196] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[215] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[151] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[27] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[159] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[140] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[204] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[44] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[108] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[36] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[100] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[164] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[228] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[18] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[10] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[14] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[2] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[6] ;
-  wire [1:0]
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[149] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[213] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[245] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[181] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[22] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[214] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[182] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[246] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[86] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[150] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[178] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[242] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[82] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[146] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[47] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[111] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[43] ;
-  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[107] ;
-  wire [1:0]
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [1:0]
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       ;
-  wire [1:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       ;
-  wire [1:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       ;
-  wire [1:0] u_soc_u_iccm_bank_sel;
-  wire [4:0]
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [32:0]
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [32:0]
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [32:0]
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       ;
-  wire [32:0]
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       ;
-  wire [4:0]
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [4:0]
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [4:0]
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       ;
-  wire [4:0]
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       ;
-  wire [2:0] u_soc_main_swith_host_lsu_err_resp_err_opcode;
-  wire [1:0] u_soc_u_dccm_bank_sel;
-  wire [32:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [32:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [32:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       ;
-  wire [32:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       ;
-  wire [4:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
-       ;
-  wire [4:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
-       ;
-  wire [4:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
-       ;
-  wire [4:0]
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
-       ;
-  wire [63:0] \u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] ;
-  wire [63:0] \u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] ;
-  wire [1:0] \u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] ;
-  wire [2:0] \u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] ;
-  wire [31:0] u_soc_u_top_u_core_cs_registers_i_dscratch0_q;
-  wire [31:0] u_soc_u_top_u_core_cs_registers_i_dscratch1_q;
-  wire [5:0] u_soc_u_top_u_core_cs_registers_i_mcause_q;
-  wire [31:0] u_soc_u_top_u_core_cs_registers_i_mscratch_q;
-  wire [31:0] u_soc_u_top_u_core_cs_registers_i_csr_rdata_int;
-  wire [31:0] u_soc_u_top_u_core_cs_registers_i_mtval_q;
-  wire [4:0]
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       ;
-  wire [33:0] \u_soc_u_top_u_core_imd_val_q_ex[1] ;
-  wire [1:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       ;
-  wire [31:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       ;
-  wire [31:0]
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       ;
-  wire [1:0] u_soc_u_top_u_core_load_store_unit_i_data_type_q;
-  wire [1:0] u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q;
-  wire [31:8] u_soc_u_top_u_core_load_store_unit_i_rdata_q;
-  wire [31:0] \u_soc_uart_to_xbar[d_data] ;
-  wire [2:0] \u_soc_uart_to_xbar[d_opcode] ;
-  wire [8:0] u_soc_u_uart_u_uart_core_rx_buffer_size;
-  wire [1:0] u_soc_u_uart_u_uart_core_rx_time_state_reg;
-  wire [31:0] u_soc_u_uart_u_uart_core_rx_time_rx_time;
-  wire [31:0] u_soc_u_uart_u_uart_core_rx_time_rx_timeout;
-  wire [31:0] u_soc_u_uart_u_uart_core_rx_timeout;
-  wire [8:0] u_soc_u_uart_u_uart_core_write_fifo_waddr;
-  wire inc_ADD_UNS_OP2_n_0, inc_ADD_UNS_OP2_n_2, inc_ADD_UNS_OP2_n_4,
-       inc_ADD_UNS_OP2_n_6, inc_ADD_UNS_OP2_n_8, inc_ADD_UNS_OP2_n_10,
-       inc_ADD_UNS_OP2_n_12, inc_ADD_UNS_OP2_n_14;
-  wire inc_ADD_UNS_OP2_n_16, inc_ADD_UNS_OP2_n_18,
-       inc_ADD_UNS_OP2_n_20, inc_ADD_UNS_OP2_n_22,
-       inc_ADD_UNS_OP2_n_24, inc_ADD_UNS_OP2_n_26,
-       inc_ADD_UNS_OP2_n_28, inc_ADD_UNS_OP2_n_30;
-  wire inc_ADD_UNS_OP2_n_32, inc_ADD_UNS_OP2_n_34,
-       inc_ADD_UNS_OP2_n_36, inc_ADD_UNS_OP2_n_38,
-       inc_ADD_UNS_OP2_n_40, inc_ADD_UNS_OP2_n_42,
-       inc_ADD_UNS_OP2_n_44, inc_ADD_UNS_OP2_n_46;
-  wire inc_ADD_UNS_OP2_n_48, inc_ADD_UNS_OP2_n_50,
-       inc_ADD_UNS_OP2_n_52, inc_ADD_UNS_OP2_n_54,
-       inc_ADD_UNS_OP2_n_56, inc_ADD_UNS_OP2_n_58,
-       inc_ADD_UNS_OP2_n_60, inc_ADD_UNS_OP2_n_62;
-  wire inc_ADD_UNS_OP2_n_64, inc_ADD_UNS_OP2_n_66,
-       inc_ADD_UNS_OP2_n_68, inc_ADD_UNS_OP2_n_70,
-       inc_ADD_UNS_OP2_n_72, inc_ADD_UNS_OP2_n_74,
-       inc_ADD_UNS_OP2_n_76, inc_ADD_UNS_OP2_n_78;
-  wire inc_ADD_UNS_OP2_n_80, inc_ADD_UNS_OP2_n_82,
-       inc_ADD_UNS_OP2_n_84, inc_ADD_UNS_OP2_n_86,
-       inc_ADD_UNS_OP2_n_88, inc_ADD_UNS_OP2_n_90,
-       inc_ADD_UNS_OP2_n_92, inc_ADD_UNS_OP2_n_94;
-  wire inc_ADD_UNS_OP2_n_96, inc_ADD_UNS_OP2_n_98,
-       inc_ADD_UNS_OP2_n_100, inc_ADD_UNS_OP2_n_102,
-       inc_ADD_UNS_OP2_n_104, inc_ADD_UNS_OP2_n_106,
-       inc_ADD_UNS_OP2_n_108, inc_ADD_UNS_OP2_n_110;
-  wire inc_ADD_UNS_OP2_n_112, inc_ADD_UNS_OP2_n_114,
-       inc_ADD_UNS_OP2_n_116, inc_ADD_UNS_OP2_n_118,
-       inc_ADD_UNS_OP2_n_120, inc_ADD_UNS_OP2_n_123,
-       inc_ADD_UNS_OP2_n_124, inc_ADD_UNS_OP_n_0;
-  wire inc_ADD_UNS_OP_n_2, inc_ADD_UNS_OP_n_4, inc_ADD_UNS_OP_n_6,
-       inc_ADD_UNS_OP_n_8, inc_ADD_UNS_OP_n_10, inc_ADD_UNS_OP_n_12,
-       inc_ADD_UNS_OP_n_14, inc_ADD_UNS_OP_n_16;
-  wire inc_ADD_UNS_OP_n_18, inc_ADD_UNS_OP_n_20, inc_ADD_UNS_OP_n_22,
-       inc_ADD_UNS_OP_n_24, inc_ADD_UNS_OP_n_26, inc_ADD_UNS_OP_n_28,
-       inc_ADD_UNS_OP_n_30, inc_ADD_UNS_OP_n_32;
-  wire inc_ADD_UNS_OP_n_34, inc_ADD_UNS_OP_n_36, inc_ADD_UNS_OP_n_38,
-       inc_ADD_UNS_OP_n_40, inc_ADD_UNS_OP_n_42, inc_ADD_UNS_OP_n_44,
-       inc_ADD_UNS_OP_n_46, inc_ADD_UNS_OP_n_48;
-  wire inc_ADD_UNS_OP_n_50, inc_ADD_UNS_OP_n_52, inc_ADD_UNS_OP_n_54,
-       inc_ADD_UNS_OP_n_56, inc_ADD_UNS_OP_n_58, inc_ADD_UNS_OP_n_60,
-       inc_ADD_UNS_OP_n_62, inc_ADD_UNS_OP_n_64;
-  wire inc_ADD_UNS_OP_n_66, inc_ADD_UNS_OP_n_68, inc_ADD_UNS_OP_n_70,
-       inc_ADD_UNS_OP_n_72, inc_ADD_UNS_OP_n_74, inc_ADD_UNS_OP_n_76,
-       inc_ADD_UNS_OP_n_78, inc_ADD_UNS_OP_n_80;
-  wire inc_ADD_UNS_OP_n_82, inc_ADD_UNS_OP_n_84, inc_ADD_UNS_OP_n_86,
-       inc_ADD_UNS_OP_n_88, inc_ADD_UNS_OP_n_90, inc_ADD_UNS_OP_n_92,
-       inc_ADD_UNS_OP_n_94, inc_ADD_UNS_OP_n_96;
-  wire inc_ADD_UNS_OP_n_98, inc_ADD_UNS_OP_n_100, inc_ADD_UNS_OP_n_102,
-       inc_ADD_UNS_OP_n_104, inc_ADD_UNS_OP_n_106,
-       inc_ADD_UNS_OP_n_108, inc_ADD_UNS_OP_n_110, inc_ADD_UNS_OP_n_112;
-  wire inc_ADD_UNS_OP_n_114, inc_ADD_UNS_OP_n_116,
-       inc_ADD_UNS_OP_n_118, inc_ADD_UNS_OP_n_120,
-       inc_ADD_UNS_OP_n_123, inc_ADD_UNS_OP_n_124,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_0,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_2;
-  wire inc_u_soc_main_swith_host_lsu_add_105_52_n_4,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_6,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_8,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_10,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_12,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_14,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_16,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_18;
-  wire inc_u_soc_main_swith_host_lsu_add_105_52_n_20,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_22,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_24,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_27,
-       inc_u_soc_main_swith_host_lsu_add_105_52_n_28, logic_0_1_net,
-       logic_0_2_net, logic_0_3_net;
-  wire logic_0_4_net, logic_0_5_net, logic_0_6_net, logic_0_7_net,
-       logic_0_8_net, logic_0_9_net, logic_0_10_net, logic_0_11_net;
-  wire logic_0_12_net, logic_0_13_net, logic_0_14_net, logic_0_15_net,
-       logic_0_16_net, logic_0_17_net, logic_0_18_net, logic_0_19_net;
-  wire logic_0_20_net, logic_0_21_net, logic_0_22_net, logic_0_23_net,
-       logic_0_24_net, logic_0_25_net, logic_0_26_net, logic_0_27_net;
-  wire logic_0_28_net, logic_0_29_net, logic_0_30_net, logic_0_31_net,
-       logic_0_32_net, logic_0_33_net, logic_0_34_net, logic_0_35_net;
-  wire logic_0_36_net, logic_0_37_net, logic_0_38_net, logic_0_39_net,
-       logic_0_40_net, logic_0_41_net, logic_0_42_net, logic_0_43_net;
-  wire logic_0_44_net, logic_0_45_net, logic_0_46_net, logic_0_47_net,
-       logic_0_48_net, logic_0_49_net, logic_0_50_net, logic_0_51_net;
-  wire logic_0_52_net, logic_0_53_net, logic_0_54_net, logic_0_55_net,
-       logic_0_56_net, logic_0_57_net, logic_0_58_net, logic_0_59_net;
-  wire logic_0_60_net, logic_0_61_net, logic_0_62_net, logic_0_63_net,
-       logic_0_64_net, logic_0_65_net, logic_0_66_net, logic_0_67_net;
-  wire logic_0_68_net, logic_0_69_net, logic_0_70_net, logic_0_71_net,
-       logic_0_72_net, logic_0_73_net, n_0, n_1;
-  wire n_2, n_3, n_4, n_5, n_6, n_7, n_8, n_10;
-  wire n_11, n_12, n_13, n_14, n_15, n_16, n_17, n_19;
-  wire n_28, n_29, n_30, n_32, n_43, n_47, n_53, n_62;
-  wire n_71, n_82, n_89, n_94, n_98, n_104, n_109, n_110;
-  wire n_111, n_112, n_113, n_114, n_115, n_116, n_117, n_118;
-  wire n_119, n_121, n_127, n_128, n_129, n_130, n_131, n_132;
-  wire n_133, n_134, n_135, n_136, n_141, n_142, n_143, n_152;
-  wire n_153, n_157, n_164, n_175, n_188, n_195, n_198, n_437;
-  wire n_467, n_468, n_469, n_470, n_471, n_472, n_473, n_474;
-  wire n_475, n_476, n_477, n_478, n_479, n_480, n_487, n_494;
-  wire n_495, n_496, n_497, n_499, n_511, n_522, n_533, n_534;
-  wire n_536, n_547, n_555, n_556, n_557, n_559, n_568, n_577;
-  wire n_579, n_587, n_589, n_597, n_599, n_610, n_614, n_615;
-  wire n_616, n_617, n_618, n_619, n_620, n_621, n_622, n_623;
-  wire n_624, n_625, n_626, n_633, n_634, n_635, n_636, n_637;
-  wire n_638, n_639, n_640, n_641, n_642, n_646, n_652, n_653;
-  wire n_654, n_657, n_661, n_665, n_666, n_669, n_670, n_689;
-  wire n_690, n_720, n_728, n_730, n_831, n_955, n_981, n_1010;
-  wire n_1011, n_1012, n_1013, n_1014, n_1015, n_1016, n_1017, n_1018;
-  wire n_1019, n_1020, n_1021, n_1022, n_1023, n_1024, n_1025, n_1026;
-  wire n_1027, n_1028, n_1029, n_1030, n_1031, n_1032, n_1033, n_1034;
-  wire n_1035, n_1036, n_1037, n_1038, n_1039, n_1040, n_1042, n_1043;
-  wire n_1044, n_1045, n_1046, n_1047, n_1048, n_1049, n_1050, n_1051;
-  wire n_1052, n_1053, n_1054, n_1055, n_1056, n_1057, n_1058, n_1059;
-  wire n_1060, n_1061, n_1062, n_1063, n_1064, n_1065, n_1066, n_1067;
-  wire n_1068, n_1069, n_1070, n_1071, n_1072, n_1073, n_1074, n_1075;
-  wire n_1076, n_1077, n_1078, n_1079, n_1080, n_1081, n_1082, n_1083;
-  wire n_1084, n_1085, n_1086, n_1087, n_1088, n_1089, n_1090, n_1091;
-  wire n_1092, n_1093, n_1094, n_1095, n_1096, n_1097, n_1098, n_1099;
-  wire n_1100, n_1101, n_1102, n_1103, n_1105, n_1106, n_1107, n_1108;
-  wire n_1109, n_1110, n_1111, n_1112, n_1113, n_1114, n_1115, n_1116;
-  wire n_1117, n_1118, n_1119, n_1120, n_1121, n_1122, n_1123, n_1124;
-  wire n_1125, n_1126, n_1127, n_1128, n_1129, n_1130, n_1131, n_1132;
-  wire n_1133, n_1134, n_1135, n_1136, n_1137, n_1138, n_1139, n_1140;
-  wire n_1141, n_1142, n_1143, n_1144, n_1145, n_1146, n_1147, n_1148;
-  wire n_1149, n_1150, n_1151, n_1152, n_1153, n_1154, n_1155, n_1156;
-  wire n_1157, n_1158, n_1159, n_1160, n_1161, n_1162, n_1163, n_1164;
-  wire n_1165, n_1166, n_1168, n_1169, n_1170, n_1171, n_1172, n_1173;
-  wire n_1174, n_1175, n_1176, n_1177, n_1178, n_1179, n_1180, n_1181;
-  wire n_1182, n_1183, n_1184, n_1185, n_1186, n_1187, n_1188, n_1189;
-  wire n_1191, n_1192, n_1194, n_1195, n_1196, n_1197, n_1198, n_1199;
-  wire n_1200, n_1201, n_1202, n_1203, n_1204, n_1205, n_1206, n_1207;
-  wire n_1208, n_1209, n_1210, n_1211, n_1212, n_1213, n_1214, n_1215;
-  wire n_1216, n_1217, n_1218, n_1219, n_1220, n_1221, n_1222, n_1223;
-  wire n_1224, n_1225, n_1226, n_1227, n_1228, n_1229, n_1230, n_1231;
-  wire n_1233, n_1234, n_1235, n_1236, n_1237, n_1238, n_1239, n_1240;
-  wire n_1241, n_1243, n_1244, n_1245, n_1246, n_1248, n_1249, n_1250;
-  wire n_1251, n_1252, n_1253, n_1254, n_1255, n_1256, n_1257, n_1258;
-  wire n_1259, n_1260, n_1261, n_1262, n_1263, n_1264, n_1266, n_1267;
-  wire n_1268, n_1269, n_1270, n_1271, n_1272, n_1273, n_1274, n_1276;
-  wire n_1277, n_1278, n_1279, n_1280, n_1281, n_1282, n_1283, n_1284;
-  wire n_1285, n_1286, n_1287, n_1288, n_1289, n_1290, n_1292, n_1293;
-  wire n_1294, n_1295, n_1296, n_1297, n_1298, n_1299, n_1300, n_1301;
-  wire n_1302, n_1304, n_1305, n_1306, n_1307, n_1308, n_1309, n_1310;
-  wire n_1311, n_1312, n_1313, n_1314, n_1315, n_1316, n_1317, n_1318;
-  wire n_1319, n_1320, n_1321, n_1322, n_1323, n_1324, n_1325, n_1326;
-  wire n_1327, n_1328, n_1329, n_1330, n_1331, n_1332, n_1333, n_1334;
-  wire n_1335, n_1336, n_1337, n_1338, n_1339, n_1340, n_1341, n_1342;
-  wire n_1343, n_1344, n_1345, n_1346, n_1347, n_1348, n_1349, n_1350;
-  wire n_1351, n_1352, n_1353, n_1354, n_1355, n_1356, n_1357, n_1358;
-  wire n_1359, n_1360, n_1361, n_1362, n_1363, n_1364, n_1365, n_1366;
-  wire n_1367, n_1368, n_1369, n_1370, n_1371, n_1372, n_1373, n_1374;
-  wire n_1375, n_1376, n_1377, n_1378, n_1379, n_1380, n_1381, n_1382;
-  wire n_1383, n_1384, n_1385, n_1386, n_1387, n_1388, n_1389, n_1390;
-  wire n_1391, n_1392, n_1393, n_1394, n_1395, n_1396, n_1397, n_1398;
-  wire n_1399, n_1400, n_1401, n_1402, n_1403, n_1404, n_1405, n_1406;
-  wire n_1407, n_1408, n_1409, n_1410, n_1411, n_1412, n_1413, n_1414;
-  wire n_1415, n_1416, n_1417, n_1418, n_1419, n_1420, n_1421, n_1422;
-  wire n_1423, n_1424, n_1425, n_1426, n_1427, n_1428, n_1429, n_1431;
-  wire n_1432, n_1433, n_1434, n_1435, n_1436, n_1438, n_1439, n_1440;
-  wire n_1441, n_1442, n_1443, n_1444, n_1445, n_1446, n_1447, n_1448;
-  wire n_1449, n_1450, n_1451, n_1452, n_1453, n_1454, n_1455, n_1456;
-  wire n_1457, n_1458, n_1459, n_1460, n_1461, n_1462, n_1463, n_1464;
-  wire n_1465, n_1466, n_1467, n_1468, n_1469, n_1470, n_1471, n_1473;
-  wire n_1474, n_1475, n_1476, n_1477, n_1478, n_1479, n_1480, n_1481;
-  wire n_1482, n_1483, n_1484, n_1485, n_1486, n_1487, n_1488, n_1489;
-  wire n_1490, n_1491, n_1492, n_1493, n_1494, n_1495, n_1496, n_1497;
-  wire n_1498, n_1499, n_1500, n_1501, n_1502, n_1503, n_1504, n_1505;
-  wire n_1506, n_1507, n_1508, n_1509, n_1510, n_1511, n_1512, n_1513;
-  wire n_1514, n_1515, n_1516, n_1517, n_1518, n_1519, n_1520, n_1521;
-  wire n_1522, n_1523, n_1524, n_1525, n_1526, n_1527, n_1528, n_1529;
-  wire n_1531, n_1532, n_1533, n_1534, n_1535, n_1537, n_1538, n_1539;
-  wire n_1541, n_1542, n_1543, n_1544, n_1545, n_1546, n_1547, n_1548;
-  wire n_1549, n_1550, n_1551, n_1552, n_1553, n_1555, n_1556, n_1557;
-  wire n_1558, n_1561, n_1562, n_1563, n_1564, n_1565, n_1566, n_1567;
-  wire n_1568, n_1569, n_1570, n_1571, n_1572, n_1573, n_1574, n_1575;
-  wire n_1576, n_1577, n_1578, n_1579, n_1580, n_1581, n_1582, n_1583;
-  wire n_1584, n_1585, n_1586, n_1587, n_1588, n_1589, n_1590, n_1591;
-  wire n_1592, n_1593, n_1594, n_1595, n_1596, n_1597, n_1598, n_1599;
-  wire n_1600, n_1601, n_1602, n_1603, n_1604, n_1605, n_1606, n_1607;
-  wire n_1608, n_1609, n_1610, n_1611, n_1612, n_1613, n_1614, n_1615;
-  wire n_1616, n_1617, n_1618, n_1619, n_1620, n_1621, n_1622, n_1623;
-  wire n_1624, n_1625, n_1626, n_1627, n_1628, n_1629, n_1630, n_1631;
-  wire n_1632, n_1633, n_1634, n_1635, n_1636, n_1637, n_1638, n_1639;
-  wire n_1640, n_1641, n_1642, n_1643, n_1644, n_1645, n_1646, n_1647;
-  wire n_1648, n_1649, n_1650, n_1651, n_1652, n_1653, n_1654, n_1655;
-  wire n_1656, n_1657, n_1658, n_1659, n_1660, n_1661, n_1662, n_1663;
-  wire n_1664, n_1665, n_1666, n_1667, n_1668, n_1669, n_1670, n_1671;
-  wire n_1672, n_1673, n_1674, n_1675, n_1676, n_1677, n_1678, n_1679;
-  wire n_1680, n_1681, n_1682, n_1683, n_1684, n_1685, n_1686, n_1687;
-  wire n_1688, n_1689, n_1690, n_1691, n_1692, n_1693, n_1694, n_1695;
-  wire n_1696, n_1697, n_1698, n_1699, n_1700, n_1701, n_1702, n_1703;
-  wire n_1704, n_1705, n_1706, n_1707, n_1708, n_1709, n_1710, n_1711;
-  wire n_1712, n_1713, n_1714, n_1715, n_1716, n_1717, n_1718, n_1719;
-  wire n_1720, n_1721, n_1722, n_1723, n_1724, n_1725, n_1726, n_1727;
-  wire n_1728, n_1729, n_1730, n_1731, n_1732, n_1733, n_1734, n_1735;
-  wire n_1736, n_1737, n_1738, n_1739, n_1740, n_1741, n_1742, n_1743;
-  wire n_1744, n_1745, n_1746, n_1747, n_1748, n_1749, n_1750, n_1751;
-  wire n_1752, n_1753, n_1754, n_1755, n_1756, n_1757, n_1758, n_1759;
-  wire n_1760, n_1761, n_1762, n_1763, n_1764, n_1765, n_1766, n_1767;
-  wire n_1768, n_1769, n_1770, n_1771, n_1772, n_1773, n_1774, n_1775;
-  wire n_1776, n_1777, n_1778, n_1779, n_1781, n_1782, n_1783, n_1784;
-  wire n_1786, n_1787, n_1788, n_1789, n_1790, n_1791, n_1792, n_1793;
-  wire n_1794, n_1795, n_1796, n_1797, n_1798, n_1799, n_1800, n_1801;
-  wire n_1802, n_1803, n_1804, n_1805, n_1806, n_1807, n_1808, n_1809;
-  wire n_1810, n_1811, n_1812, n_1813, n_1814, n_1815, n_1816, n_1817;
-  wire n_1818, n_1819, n_1820, n_1821, n_1822, n_1823, n_1824, n_1825;
-  wire n_1826, n_1827, n_1828, n_1829, n_1830, n_1831, n_1832, n_1833;
-  wire n_1834, n_1835, n_1836, n_1837, n_1838, n_1839, n_1840, n_1841;
-  wire n_1842, n_1843, n_1844, n_1845, n_1846, n_1847, n_1848, n_1849;
-  wire n_1850, n_1851, n_1852, n_1853, n_1854, n_1855, n_1856, n_1857;
-  wire n_1858, n_1859, n_1860, n_1861, n_1862, n_1863, n_1864, n_1865;
-  wire n_1866, n_1867, n_1868, n_1869, n_1870, n_1871, n_1872, n_1873;
-  wire n_1874, n_1875, n_1876, n_1877, n_1878, n_1879, n_1880, n_1881;
-  wire n_1882, n_1883, n_1884, n_1885, n_1886, n_1887, n_1888, n_1889;
-  wire n_1890, n_1891, n_1892, n_1893, n_1894, n_1895, n_1896, n_1897;
-  wire n_1898, n_1899, n_1900, n_1901, n_1902, n_1903, n_1904, n_1905;
-  wire n_1906, n_1907, n_1908, n_1909, n_1910, n_1911, n_1912, n_1913;
-  wire n_1914, n_1915, n_1916, n_1917, n_1918, n_1920, n_1921, n_1923;
-  wire n_1924, n_1925, n_1926, n_1927, n_1928, n_1929, n_1930, n_1931;
-  wire n_1932, n_1933, n_1934, n_1935, n_1936, n_1937, n_1938, n_1939;
-  wire n_1940, n_1941, n_1942, n_1943, n_1944, n_1945, n_1946, n_1947;
-  wire n_1948, n_1949, n_1950, n_1951, n_1952, n_1953, n_1954, n_1955;
-  wire n_1956, n_1957, n_1958, n_1959, n_1960, n_1961, n_1962, n_1963;
-  wire n_1964, n_1965, n_1966, n_1967, n_1968, n_1969, n_1970, n_1971;
-  wire n_1972, n_1973, n_1974, n_1975, n_1976, n_1977, n_1978, n_1979;
-  wire n_1980, n_1981, n_1982, n_1983, n_1984, n_1985, n_1986, n_1987;
-  wire n_1988, n_1989, n_1990, n_1991, n_1992, n_1993, n_1994, n_1995;
-  wire n_1996, n_1997, n_1998, n_1999, n_2000, n_2001, n_2003, n_2004;
-  wire n_2005, n_2006, n_2007, n_2008, n_2009, n_2010, n_2011, n_2012;
-  wire n_2013, n_2014, n_2015, n_2016, n_2017, n_2018, n_2019, n_2020;
-  wire n_2021, n_2022, n_2023, n_2024, n_2025, n_2026, n_2027, n_2028;
-  wire n_2029, n_2030, n_2031, n_2032, n_2033, n_2034, n_2035, n_2036;
-  wire n_2037, n_2038, n_2039, n_2040, n_2041, n_2042, n_2043, n_2044;
-  wire n_2045, n_2046, n_2047, n_2048, n_2049, n_2050, n_2051, n_2052;
-  wire n_2053, n_2054, n_2055, n_2056, n_2057, n_2058, n_2059, n_2060;
-  wire n_2061, n_2062, n_2063, n_2064, n_2065, n_2066, n_2067, n_2068;
-  wire n_2069, n_2070, n_2071, n_2072, n_2073, n_2074, n_2075, n_2076;
-  wire n_2077, n_2078, n_2079, n_2080, n_2081, n_2082, n_2083, n_2084;
-  wire n_2085, n_2086, n_2087, n_2088, n_2089, n_2090, n_2091, n_2092;
-  wire n_2093, n_2094, n_2095, n_2096, n_2097, n_2098, n_2099, n_2100;
-  wire n_2101, n_2102, n_2103, n_2104, n_2105, n_2106, n_2107, n_2108;
-  wire n_2109, n_2110, n_2111, n_2112, n_2113, n_2114, n_2115, n_2116;
-  wire n_2117, n_2118, n_2119, n_2120, n_2121, n_2122, n_2123, n_2124;
-  wire n_2125, n_2126, n_2127, n_2128, n_2129, n_2130, n_2131, n_2132;
-  wire n_2133, n_2134, n_2135, n_2136, n_2137, n_2138, n_2139, n_2140;
-  wire n_2141, n_2142, n_2143, n_2144, n_2145, n_2146, n_2147, n_2148;
-  wire n_2149, n_2150, n_2151, n_2152, n_2153, n_2154, n_2156, n_2157;
-  wire n_2158, n_2159, n_2160, n_2161, n_2162, n_2163, n_2164, n_2165;
-  wire n_2166, n_2167, n_2169, n_2170, n_2171, n_2172, n_2173, n_2174;
-  wire n_2175, n_2176, n_2177, n_2178, n_2179, n_2180, n_2181, n_2182;
-  wire n_2183, n_2184, n_2185, n_2186, n_2187, n_2188, n_2189, n_2190;
-  wire n_2191, n_2192, n_2193, n_2194, n_2195, n_2196, n_2197, n_2198;
-  wire n_2199, n_2200, n_2201, n_2202, n_2203, n_2204, n_2205, n_2206;
-  wire n_2207, n_2208, n_2209, n_2210, n_2211, n_2212, n_2213, n_2214;
-  wire n_2215, n_2216, n_2217, n_2218, n_2219, n_2220, n_2221, n_2222;
-  wire n_2223, n_2224, n_2225, n_2226, n_2227, n_2228, n_2229, n_2230;
-  wire n_2231, n_2232, n_2233, n_2234, n_2235, n_2236, n_2237, n_2238;
-  wire n_2239, n_2240, n_2241, n_2242, n_2243, n_2244, n_2245, n_2246;
-  wire n_2247, n_2248, n_2249, n_2250, n_2251, n_2252, n_2253, n_2254;
-  wire n_2255, n_2256, n_2257, n_2258, n_2259, n_2260, n_2261, n_2262;
-  wire n_2263, n_2264, n_2265, n_2266, n_2267, n_2268, n_2269, n_2270;
-  wire n_2271, n_2272, n_2273, n_2274, n_2275, n_2276, n_2277, n_2278;
-  wire n_2279, n_2280, n_2281, n_2282, n_2283, n_2284, n_2285, n_2286;
-  wire n_2287, n_2288, n_2289, n_2290, n_2291, n_2292, n_2294, n_2295;
-  wire n_2296, n_2297, n_2298, n_2299, n_2300, n_2301, n_2302, n_2303;
-  wire n_2304, n_2305, n_2306, n_2307, n_2308, n_2309, n_2310, n_2311;
-  wire n_2312, n_2313, n_2314, n_2315, n_2316, n_2317, n_2318, n_2319;
-  wire n_2320, n_2321, n_2322, n_2323, n_2324, n_2325, n_2326, n_2327;
-  wire n_2328, n_2329, n_2330, n_2331, n_2332, n_2333, n_2334, n_2335;
-  wire n_2336, n_2337, n_2338, n_2339, n_2340, n_2341, n_2342, n_2343;
-  wire n_2344, n_2345, n_2346, n_2347, n_2348, n_2349, n_2350, n_2351;
-  wire n_2352, n_2353, n_2354, n_2355, n_2356, n_2357, n_2358, n_2359;
-  wire n_2360, n_2361, n_2362, n_2363, n_2364, n_2365, n_2366, n_2367;
-  wire n_2368, n_2369, n_2370, n_2371, n_2372, n_2373, n_2374, n_2375;
-  wire n_2376, n_2377, n_2378, n_2379, n_2380, n_2381, n_2382, n_2383;
-  wire n_2384, n_2385, n_2386, n_2387, n_2388, n_2389, n_2390, n_2391;
-  wire n_2392, n_2393, n_2394, n_2395, n_2396, n_2397, n_2398, n_2399;
-  wire n_2400, n_2401, n_2402, n_2403, n_2404, n_2405, n_2406, n_2407;
-  wire n_2408, n_2409, n_2410, n_2411, n_2412, n_2413, n_2414, n_2415;
-  wire n_2416, n_2417, n_2418, n_2419, n_2420, n_2421, n_2422, n_2423;
-  wire n_2424, n_2425, n_2426, n_2427, n_2428, n_2429, n_2430, n_2431;
-  wire n_2432, n_2433, n_2434, n_2435, n_2436, n_2437, n_2438, n_2439;
-  wire n_2440, n_2441, n_2442, n_2443, n_2444, n_2445, n_2446, n_2447;
-  wire n_2448, n_2449, n_2450, n_2451, n_2452, n_2453, n_2454, n_2455;
-  wire n_2456, n_2457, n_2458, n_2459, n_2460, n_2461, n_2462, n_2463;
-  wire n_2464, n_2465, n_2466, n_2467, n_2468, n_2469, n_2470, n_2471;
-  wire n_2472, n_2473, n_2474, n_2475, n_2476, n_2477, n_2478, n_2479;
-  wire n_2480, n_2481, n_2482, n_2483, n_2484, n_2485, n_2486, n_2487;
-  wire n_2488, n_2489, n_2490, n_2491, n_2492, n_2493, n_2494, n_2495;
-  wire n_2496, n_2497, n_2498, n_2499, n_2500, n_2501, n_2502, n_2503;
-  wire n_2504, n_2505, n_2506, n_2507, n_2508, n_2509, n_2510, n_2511;
-  wire n_2512, n_2513, n_2514, n_2515, n_2516, n_2517, n_2518, n_2519;
-  wire n_2520, n_2521, n_2522, n_2523, n_2524, n_2525, n_2526, n_2527;
-  wire n_2528, n_2529, n_2530, n_2531, n_2532, n_2533, n_2534, n_2535;
-  wire n_2536, n_2537, n_2538, n_2539, n_2540, n_2541, n_2542, n_2543;
-  wire n_2544, n_2545, n_2546, n_2547, n_2548, n_2549, n_2550, n_2551;
-  wire n_2552, n_2553, n_2554, n_2555, n_2556, n_2557, n_2558, n_2559;
-  wire n_2560, n_2561, n_2562, n_2563, n_2564, n_2565, n_2566, n_2567;
-  wire n_2568, n_2569, n_2570, n_2571, n_2572, n_2573, n_2574, n_2575;
-  wire n_2576, n_2577, n_2578, n_2579, n_2580, n_2581, n_2582, n_2583;
-  wire n_2584, n_2585, n_2586, n_2587, n_2588, n_2589, n_2590, n_2591;
-  wire n_2592, n_2593, n_2594, n_2595, n_2596, n_2597, n_2598, n_2599;
-  wire n_2600, n_2601, n_2602, n_2603, n_2604, n_2605, n_2608, n_2609;
-  wire n_2610, n_2611, n_2612, n_2613, n_2614, n_2615, n_2616, n_2617;
-  wire n_2618, n_2619, n_2620, n_2621, n_2622, n_2623, n_2624, n_2625;
-  wire n_2626, n_2627, n_2628, n_2629, n_2630, n_2631, n_2632, n_2633;
-  wire n_2634, n_2635, n_2636, n_2637, n_2638, n_2639, n_2640, n_2641;
-  wire n_2642, n_2643, n_2644, n_2645, n_2646, n_2647, n_2648, n_2649;
-  wire n_2650, n_2651, n_2652, n_2653, n_2654, n_2655, n_2656, n_2657;
-  wire n_2658, n_2659, n_2660, n_2661, n_2662, n_2663, n_2664, n_2665;
-  wire n_2666, n_2667, n_2668, n_2669, n_2670, n_2671, n_2672, n_2673;
-  wire n_2674, n_2675, n_2676, n_2677, n_2678, n_2679, n_2680, n_2681;
-  wire n_2682, n_2683, n_2684, n_2685, n_2686, n_2687, n_2688, n_2689;
-  wire n_2690, n_2691, n_2692, n_2693, n_2694, n_2695, n_2696, n_2697;
-  wire n_2698, n_2699, n_2700, n_2701, n_2702, n_2703, n_2704, n_2705;
-  wire n_2706, n_2707, n_2708, n_2709, n_2710, n_2711, n_2712, n_2713;
-  wire n_2714, n_2715, n_2716, n_2717, n_2718, n_2719, n_2720, n_2721;
-  wire n_2722, n_2723, n_2724, n_2725, n_2726, n_2727, n_2728, n_2729;
-  wire n_2730, n_2731, n_2732, n_2733, n_2734, n_2735, n_2736, n_2737;
-  wire n_2738, n_2739, n_2740, n_2741, n_2742, n_2743, n_2744, n_2745;
-  wire n_2746, n_2747, n_2748, n_2749, n_2750, n_2751, n_2752, n_2753;
-  wire n_2754, n_2755, n_2756, n_2757, n_2758, n_2759, n_2760, n_2761;
-  wire n_2762, n_2763, n_2764, n_2765, n_2766, n_2767, n_2768, n_2769;
-  wire n_2770, n_2771, n_2772, n_2773, n_2774, n_2775, n_2776, n_2777;
-  wire n_2778, n_2779, n_2780, n_2781, n_2782, n_2783, n_2784, n_2785;
-  wire n_2786, n_2787, n_2788, n_2789, n_2790, n_2791, n_2792, n_2793;
-  wire n_2794, n_2795, n_2796, n_2797, n_2798, n_2799, n_2800, n_2801;
-  wire n_2802, n_2803, n_2804, n_2805, n_2806, n_2807, n_2808, n_2809;
-  wire n_2810, n_2811, n_2812, n_2813, n_2814, n_2815, n_2816, n_2817;
-  wire n_2818, n_2819, n_2820, n_2821, n_2822, n_2823, n_2824, n_2825;
-  wire n_2826, n_2827, n_2828, n_2829, n_2830, n_2831, n_2832, n_2833;
-  wire n_2834, n_2835, n_2836, n_2837, n_2838, n_2839, n_2840, n_2841;
-  wire n_2842, n_2843, n_2844, n_2845, n_2846, n_2847, n_2848, n_2849;
-  wire n_2850, n_2851, n_2852, n_2853, n_2854, n_2855, n_2856, n_2857;
-  wire n_2858, n_2859, n_2860, n_2861, n_2862, n_2863, n_2864, n_2865;
-  wire n_2866, n_2867, n_2868, n_2869, n_2870, n_2871, n_2872, n_2873;
-  wire n_2874, n_2875, n_2876, n_2877, n_2878, n_2879, n_2880, n_2881;
-  wire n_2882, n_2883, n_2884, n_2885, n_2886, n_2887, n_2888, n_2889;
-  wire n_2890, n_2891, n_2892, n_2893, n_2894, n_2895, n_2896, n_2897;
-  wire n_2898, n_2899, n_2900, n_2901, n_2902, n_2903, n_2904, n_2905;
-  wire n_2906, n_2907, n_2908, n_2909, n_2910, n_2911, n_2912, n_2913;
-  wire n_2914, n_2915, n_2916, n_2917, n_2918, n_2919, n_2920, n_2921;
-  wire n_2922, n_2923, n_2924, n_2925, n_2926, n_2927, n_2928, n_2929;
-  wire n_2930, n_2931, n_2932, n_2933, n_2934, n_2935, n_2936, n_2937;
-  wire n_2938, n_2939, n_2940, n_2941, n_2942, n_2943, n_2944, n_2945;
-  wire n_2946, n_2947, n_2948, n_2949, n_2950, n_2951, n_2952, n_2953;
-  wire n_2954, n_2955, n_2956, n_2957, n_2958, n_2959, n_2960, n_2961;
-  wire n_2962, n_2963, n_2964, n_2965, n_2966, n_2967, n_2968, n_2969;
-  wire n_2970, n_2971, n_2972, n_2973, n_2974, n_2975, n_2976, n_2977;
-  wire n_2978, n_2979, n_2980, n_2981, n_2982, n_2983, n_2984, n_2985;
-  wire n_2986, n_2987, n_2988, n_2989, n_2990, n_2991, n_2992, n_2993;
-  wire n_2994, n_2995, n_2996, n_2997, n_2998, n_2999, n_3000, n_3001;
-  wire n_3002, n_3003, n_3004, n_3005, n_3006, n_3007, n_3008, n_3009;
-  wire n_3010, n_3011, n_3012, n_3013, n_3014, n_3015, n_3016, n_3017;
-  wire n_3018, n_3019, n_3020, n_3021, n_3022, n_3023, n_3024, n_3025;
-  wire n_3026, n_3027, n_3028, n_3029, n_3030, n_3031, n_3032, n_3033;
-  wire n_3034, n_3035, n_3036, n_3037, n_3038, n_3039, n_3040, n_3041;
-  wire n_3042, n_3043, n_3044, n_3045, n_3046, n_3047, n_3048, n_3049;
-  wire n_3050, n_3051, n_3052, n_3053, n_3054, n_3055, n_3056, n_3057;
-  wire n_3058, n_3059, n_3060, n_3061, n_3062, n_3063, n_3064, n_3065;
-  wire n_3066, n_3067, n_3068, n_3069, n_3070, n_3071, n_3072, n_3073;
-  wire n_3074, n_3075, n_3076, n_3077, n_3078, n_3079, n_3080, n_3081;
-  wire n_3082, n_3083, n_3084, n_3085, n_3086, n_3087, n_3088, n_3090;
-  wire n_3091, n_3093, n_3094, n_3095, n_3096, n_3097, n_3098, n_3099;
-  wire n_3100, n_3101, n_3102, n_3103, n_3104, n_3105, n_3106, n_3107;
-  wire n_3108, n_3109, n_3110, n_3111, n_3112, n_3113, n_3114, n_3115;
-  wire n_3116, n_3117, n_3118, n_3119, n_3120, n_3121, n_3122, n_3123;
-  wire n_3124, n_3125, n_3126, n_3127, n_3128, n_3129, n_3130, n_3131;
-  wire n_3132, n_3133, n_3134, n_3135, n_3136, n_3137, n_3138, n_3139;
-  wire n_3140, n_3141, n_3142, n_3143, n_3144, n_3145, n_3146, n_3147;
-  wire n_3148, n_3149, n_3150, n_3151, n_3152, n_3153, n_3154, n_3155;
-  wire n_3156, n_3157, n_3158, n_3159, n_3160, n_3161, n_3162, n_3163;
-  wire n_3164, n_3165, n_3166, n_3167, n_3168, n_3169, n_3170, n_3171;
-  wire n_3172, n_3173, n_3174, n_3175, n_3176, n_3177, n_3178, n_3179;
-  wire n_3180, n_3181, n_3182, n_3183, n_3184, n_3185, n_3186, n_3187;
-  wire n_3188, n_3189, n_3190, n_3191, n_3192, n_3193, n_3194, n_3195;
-  wire n_3196, n_3197, n_3198, n_3199, n_3200, n_3201, n_3202, n_3203;
-  wire n_3204, n_3205, n_3206, n_3207, n_3208, n_3209, n_3210, n_3211;
-  wire n_3212, n_3213, n_3214, n_3215, n_3216, n_3217, n_3218, n_3219;
-  wire n_3220, n_3221, n_3222, n_3223, n_3224, n_3225, n_3226, n_3227;
-  wire n_3228, n_3229, n_3230, n_3231, n_3232, n_3233, n_3234, n_3235;
-  wire n_3236, n_3237, n_3238, n_3239, n_3240, n_3241, n_3242, n_3243;
-  wire n_3244, n_3245, n_3246, n_3247, n_3248, n_3249, n_3250, n_3251;
-  wire n_3252, n_3253, n_3254, n_3255, n_3256, n_3257, n_3258, n_3259;
-  wire n_3260, n_3261, n_3262, n_3263, n_3264, n_3265, n_3266, n_3267;
-  wire n_3268, n_3269, n_3270, n_3271, n_3272, n_3273, n_3274, n_3275;
-  wire n_3276, n_3277, n_3278, n_3279, n_3280, n_3281, n_3282, n_3283;
-  wire n_3284, n_3285, n_3286, n_3287, n_3288, n_3289, n_3290, n_3291;
-  wire n_3292, n_3293, n_3294, n_3295, n_3296, n_3297, n_3298, n_3299;
-  wire n_3300, n_3301, n_3302, n_3303, n_3304, n_3305, n_3306, n_3307;
-  wire n_3308, n_3309, n_3310, n_3311, n_3312, n_3313, n_3314, n_3315;
-  wire n_3316, n_3317, n_3318, n_3319, n_3320, n_3321, n_3322, n_3323;
-  wire n_3324, n_3325, n_3326, n_3327, n_3328, n_3329, n_3330, n_3331;
-  wire n_3332, n_3333, n_3334, n_3335, n_3336, n_3337, n_3338, n_3339;
-  wire n_3340, n_3341, n_3342, n_3343, n_3344, n_3345, n_3346, n_3347;
-  wire n_3348, n_3349, n_3350, n_3351, n_3352, n_3353, n_3354, n_3355;
-  wire n_3356, n_3357, n_3358, n_3359, n_3360, n_3361, n_3362, n_3363;
-  wire n_3364, n_3365, n_3366, n_3367, n_3368, n_3369, n_3370, n_3371;
-  wire n_3372, n_3373, n_3374, n_3375, n_3376, n_3377, n_3378, n_3379;
-  wire n_3380, n_3381, n_3382, n_3383, n_3384, n_3385, n_3386, n_3387;
-  wire n_3388, n_3389, n_3390, n_3391, n_3392, n_3393, n_3394, n_3395;
-  wire n_3396, n_3397, n_3398, n_3399, n_3400, n_3401, n_3402, n_3403;
-  wire n_3404, n_3405, n_3406, n_3407, n_3408, n_3409, n_3410, n_3411;
-  wire n_3412, n_3413, n_3414, n_3415, n_3416, n_3417, n_3418, n_3419;
-  wire n_3420, n_3421, n_3422, n_3423, n_3424, n_3425, n_3426, n_3427;
-  wire n_3428, n_3429, n_3430, n_3431, n_3432, n_3433, n_3434, n_3435;
-  wire n_3436, n_3437, n_3438, n_3439, n_3440, n_3441, n_3442, n_3443;
-  wire n_3444, n_3445, n_3446, n_3447, n_3448, n_3449, n_3450, n_3451;
-  wire n_3452, n_3453, n_3454, n_3455, n_3456, n_3457, n_3458, n_3459;
-  wire n_3460, n_3461, n_3462, n_3463, n_3464, n_3465, n_3466, n_3467;
-  wire n_3468, n_3469, n_3470, n_3471, n_3472, n_3473, n_3474, n_3475;
-  wire n_3476, n_3477, n_3478, n_3479, n_3480, n_3481, n_3482, n_3483;
-  wire n_3484, n_3485, n_3486, n_3487, n_3488, n_3489, n_3490, n_3491;
-  wire n_3492, n_3493, n_3494, n_3495, n_3496, n_3497, n_3498, n_3499;
-  wire n_3500, n_3501, n_3502, n_3503, n_3504, n_3505, n_3506, n_3507;
-  wire n_3508, n_3509, n_3510, n_3511, n_3512, n_3513, n_3514, n_3515;
-  wire n_3516, n_3517, n_3518, n_3519, n_3520, n_3521, n_3522, n_3523;
-  wire n_3524, n_3525, n_3526, n_3527, n_3528, n_3529, n_3530, n_3531;
-  wire n_3532, n_3533, n_3534, n_3535, n_3536, n_3537, n_3538, n_3539;
-  wire n_3540, n_3541, n_3542, n_3543, n_3544, n_3545, n_3546, n_3547;
-  wire n_3548, n_3549, n_3550, n_3551, n_3552, n_3553, n_3554, n_3555;
-  wire n_3556, n_3557, n_3558, n_3559, n_3560, n_3561, n_3562, n_3563;
-  wire n_3564, n_3565, n_3566, n_3567, n_3568, n_3569, n_3570, n_3571;
-  wire n_3572, n_3573, n_3574, n_3575, n_3576, n_3577, n_3578, n_3579;
-  wire n_3580, n_3581, n_3582, n_3583, n_3584, n_3585, n_3586, n_3587;
-  wire n_3588, n_3589, n_3590, n_3591, n_3592, n_3593, n_3594, n_3595;
-  wire n_3596, n_3597, n_3598, n_3599, n_3600, n_3601, n_3602, n_3603;
-  wire n_3604, n_3605, n_3606, n_3607, n_3608, n_3609, n_3610, n_3611;
-  wire n_3612, n_3613, n_3614, n_3615, n_3616, n_3617, n_3618, n_3619;
-  wire n_3620, n_3621, n_3622, n_3623, n_3624, n_3625, n_3626, n_3627;
-  wire n_3628, n_3629, n_3630, n_3631, n_3632, n_3633, n_3634, n_3635;
-  wire n_3636, n_3637, n_3638, n_3639, n_3640, n_3641, n_3642, n_3643;
-  wire n_3644, n_3645, n_3646, n_3647, n_3648, n_3649, n_3650, n_3651;
-  wire n_3652, n_3653, n_3654, n_3655, n_3656, n_3657, n_3658, n_3659;
-  wire n_3660, n_3661, n_3662, n_3663, n_3664, n_3665, n_3666, n_3667;
-  wire n_3668, n_3669, n_3670, n_3671, n_3672, n_3673, n_3674, n_3675;
-  wire n_3676, n_3677, n_3678, n_3679, n_3680, n_3681, n_3682, n_3683;
-  wire n_3684, n_3685, n_3686, n_3687, n_3688, n_3689, n_3690, n_3691;
-  wire n_3692, n_3693, n_3694, n_3695, n_3696, n_3697, n_3698, n_3699;
-  wire n_3700, n_3701, n_3702, n_3703, n_3704, n_3705, n_3706, n_3707;
-  wire n_3708, n_3709, n_3710, n_3711, n_3712, n_3713, n_3714, n_3715;
-  wire n_3716, n_3717, n_3718, n_3719, n_3720, n_3721, n_3722, n_3723;
-  wire n_3724, n_3725, n_3726, n_3727, n_3728, n_3729, n_3730, n_3731;
-  wire n_3732, n_3733, n_3734, n_3735, n_3736, n_3737, n_3738, n_3739;
-  wire n_3740, n_3741, n_3742, n_3743, n_3744, n_3745, n_3746, n_3747;
-  wire n_3748, n_3749, n_3750, n_3751, n_3752, n_3753, n_3754, n_3755;
-  wire n_3756, n_3757, n_3758, n_3759, n_3760, n_3761, n_3762, n_3763;
-  wire n_3764, n_3765, n_3766, n_3767, n_3768, n_3769, n_3770, n_3771;
-  wire n_3772, n_3773, n_3774, n_3775, n_3776, n_3777, n_3778, n_3779;
-  wire n_3780, n_3781, n_3782, n_3783, n_3784, n_3785, n_3786, n_3787;
-  wire n_3788, n_3789, n_3790, n_3791, n_3792, n_3793, n_3794, n_3795;
-  wire n_3796, n_3797, n_3798, n_3799, n_3800, n_3801, n_3802, n_3803;
-  wire n_3804, n_3805, n_3806, n_3807, n_3808, n_3809, n_3810, n_3811;
-  wire n_3812, n_3813, n_3814, n_3815, n_3816, n_3817, n_3818, n_3819;
-  wire n_3820, n_3821, n_3822, n_3823, n_3824, n_3825, n_3826, n_3827;
-  wire n_3828, n_3829, n_3830, n_3831, n_3832, n_3833, n_3834, n_3835;
-  wire n_3836, n_3837, n_3838, n_3839, n_3840, n_3841, n_3842, n_3843;
-  wire n_3844, n_3845, n_3846, n_3847, n_3848, n_3849, n_3850, n_3851;
-  wire n_3852, n_3853, n_3854, n_3855, n_3856, n_3857, n_3858, n_3859;
-  wire n_3860, n_3861, n_3862, n_3863, n_3864, n_3865, n_3866, n_3867;
-  wire n_3868, n_3869, n_3870, n_3871, n_3872, n_3873, n_3874, n_3875;
-  wire n_3876, n_3877, n_3878, n_3879, n_3880, n_3881, n_3882, n_3883;
-  wire n_3884, n_3885, n_3886, n_3887, n_3888, n_3889, n_3890, n_3891;
-  wire n_3892, n_3893, n_3894, n_3895, n_3896, n_3897, n_3898, n_3899;
-  wire n_3900, n_3901, n_3902, n_3903, n_3904, n_3905, n_3906, n_3907;
-  wire n_3908, n_3909, n_3910, n_3911, n_3912, n_3913, n_3914, n_3915;
-  wire n_3916, n_3917, n_3918, n_3919, n_3920, n_3921, n_3922, n_3923;
-  wire n_3924, n_3925, n_3926, n_3927, n_3928, n_3929, n_3930, n_3931;
-  wire n_3932, n_3933, n_3934, n_3935, n_3936, n_3937, n_3938, n_3939;
-  wire n_3940, n_3941, n_3942, n_3943, n_3944, n_3945, n_3946, n_3947;
-  wire n_3948, n_3949, n_3950, n_3951, n_3952, n_3953, n_3954, n_3955;
-  wire n_3956, n_3957, n_3958, n_3959, n_3960, n_3961, n_3962, n_3963;
-  wire n_3964, n_3965, n_3966, n_3967, n_3968, n_3969, n_3970, n_3971;
-  wire n_3972, n_3973, n_3974, n_3975, n_3976, n_3977, n_3978, n_3979;
-  wire n_3980, n_3981, n_3982, n_3983, n_3984, n_3985, n_3986, n_3987;
-  wire n_3988, n_3989, n_3990, n_3991, n_3992, n_3993, n_3994, n_3995;
-  wire n_3996, n_3997, n_3998, n_3999, n_4000, n_4001, n_4002, n_4003;
-  wire n_4004, n_4005, n_4006, n_4007, n_4008, n_4009, n_4010, n_4011;
-  wire n_4012, n_4013, n_4014, n_4015, n_4016, n_4017, n_4018, n_4019;
-  wire n_4020, n_4021, n_4022, n_4023, n_4024, n_4025, n_4026, n_4027;
-  wire n_4028, n_4029, n_4030, n_4031, n_4032, n_4033, n_4034, n_4035;
-  wire n_4036, n_4037, n_4038, n_4039, n_4040, n_4041, n_4042, n_4043;
-  wire n_4044, n_4045, n_4046, n_4047, n_4048, n_4049, n_4050, n_4051;
-  wire n_4052, n_4053, n_4054, n_4055, n_4056, n_4057, n_4058, n_4059;
-  wire n_4060, n_4061, n_4062, n_4063, n_4064, n_4065, n_4066, n_4067;
-  wire n_4068, n_4069, n_4070, n_4071, n_4072, n_4073, n_4074, n_4075;
-  wire n_4076, n_4077, n_4078, n_4079, n_4080, n_4081, n_4082, n_4083;
-  wire n_4084, n_4085, n_4086, n_4087, n_4088, n_4089, n_4090, n_4091;
-  wire n_4092, n_4093, n_4094, n_4095, n_4096, n_4097, n_4098, n_4099;
-  wire n_4100, n_4101, n_4102, n_4103, n_4104, n_4105, n_4106, n_4107;
-  wire n_4108, n_4109, n_4110, n_4111, n_4112, n_4113, n_4114, n_4115;
-  wire n_4116, n_4117, n_4118, n_4119, n_4120, n_4121, n_4122, n_4123;
-  wire n_4124, n_4125, n_4126, n_4127, n_4128, n_4129, n_4130, n_4131;
-  wire n_4132, n_4133, n_4134, n_4135, n_4136, n_4137, n_4138, n_4139;
-  wire n_4140, n_4141, n_4142, n_4143, n_4144, n_4145, n_4146, n_4147;
-  wire n_4148, n_4149, n_4150, n_4151, n_4152, n_4153, n_4154, n_4155;
-  wire n_4156, n_4157, n_4158, n_4159, n_4160, n_4161, n_4162, n_4163;
-  wire n_4164, n_4165, n_4166, n_4167, n_4168, n_4169, n_4170, n_4171;
-  wire n_4172, n_4173, n_4174, n_4175, n_4176, n_4177, n_4178, n_4179;
-  wire n_4180, n_4181, n_4182, n_4183, n_4184, n_4185, n_4186, n_4187;
-  wire n_4188, n_4189, n_4190, n_4191, n_4192, n_4193, n_4194, n_4195;
-  wire n_4196, n_4197, n_4198, n_4199, n_4200, n_4201, n_4202, n_4203;
-  wire n_4204, n_4205, n_4206, n_4207, n_4208, n_4209, n_4210, n_4211;
-  wire n_4212, n_4213, n_4214, n_4215, n_4216, n_4217, n_4218, n_4219;
-  wire n_4220, n_4221, n_4222, n_4223, n_4224, n_4225, n_4226, n_4227;
-  wire n_4228, n_4229, n_4230, n_4231, n_4232, n_4233, n_4234, n_4235;
-  wire n_4236, n_4237, n_4238, n_4239, n_4240, n_4241, n_4242, n_4243;
-  wire n_4244, n_4245, n_4246, n_4247, n_4248, n_4249, n_4250, n_4251;
-  wire n_4252, n_4253, n_4254, n_4255, n_4256, n_4257, n_4258, n_4259;
-  wire n_4260, n_4261, n_4262, n_4263, n_4264, n_4265, n_4266, n_4267;
-  wire n_4268, n_4269, n_4270, n_4271, n_4272, n_4273, n_4274, n_4275;
-  wire n_4276, n_4277, n_4278, n_4279, n_4280, n_4281, n_4282, n_4283;
-  wire n_4284, n_4285, n_4286, n_4287, n_4288, n_4289, n_4290, n_4291;
-  wire n_4292, n_4293, n_4294, n_4295, n_4296, n_4297, n_4298, n_4299;
-  wire n_4300, n_4301, n_4302, n_4303, n_4304, n_4305, n_4306, n_4307;
-  wire n_4308, n_4309, n_4310, n_4311, n_4312, n_4313, n_4314, n_4315;
-  wire n_4316, n_4317, n_4318, n_4319, n_4320, n_4321, n_4322, n_4323;
-  wire n_4324, n_4325, n_4326, n_4327, n_4328, n_4329, n_4330, n_4331;
-  wire n_4332, n_4333, n_4334, n_4335, n_4336, n_4337, n_4338, n_4339;
-  wire n_4340, n_4341, n_4342, n_4343, n_4344, n_4345, n_4346, n_4347;
-  wire n_4348, n_4349, n_4350, n_4351, n_4352, n_4353, n_4354, n_4355;
-  wire n_4356, n_4357, n_4358, n_4359, n_4360, n_4361, n_4362, n_4363;
-  wire n_4364, n_4365, n_4366, n_4367, n_4368, n_4369, n_4370, n_4371;
-  wire n_4372, n_4373, n_4374, n_4375, n_4376, n_4377, n_4378, n_4379;
-  wire n_4380, n_4381, n_4382, n_4383, n_4384, n_4385, n_4386, n_4387;
-  wire n_4388, n_4389, n_4390, n_4391, n_4392, n_4393, n_4394, n_4395;
-  wire n_4396, n_4397, n_4398, n_4399, n_4400, n_4401, n_4402, n_4403;
-  wire n_4404, n_4405, n_4406, n_4407, n_4408, n_4409, n_4410, n_4411;
-  wire n_4412, n_4413, n_4414, n_4415, n_4416, n_4417, n_4418, n_4419;
-  wire n_4420, n_4421, n_4422, n_4423, n_4424, n_4425, n_4426, n_4427;
-  wire n_4428, n_4429, n_4430, n_4431, n_4432, n_4433, n_4434, n_4435;
-  wire n_4436, n_4437, n_4438, n_4439, n_4440, n_4441, n_4442, n_4443;
-  wire n_4444, n_4445, n_4446, n_4447, n_4448, n_4449, n_4450, n_4451;
-  wire n_4452, n_4453, n_4454, n_4455, n_4456, n_4457, n_4458, n_4459;
-  wire n_4460, n_4461, n_4462, n_4463, n_4464, n_4465, n_4466, n_4467;
-  wire n_4468, n_4469, n_4470, n_4471, n_4472, n_4473, n_4474, n_4475;
-  wire n_4476, n_4477, n_4478, n_4479, n_4480, n_4481, n_4482, n_4483;
-  wire n_4484, n_4485, n_4486, n_4487, n_4488, n_4489, n_4490, n_4491;
-  wire n_4492, n_4493, n_4494, n_4495, n_4496, n_4497, n_4498, n_4499;
-  wire n_4500, n_4501, n_4502, n_4503, n_4504, n_4505, n_4506, n_4507;
-  wire n_4508, n_4509, n_4510, n_4511, n_4512, n_4513, n_4514, n_4515;
-  wire n_4516, n_4517, n_4518, n_4519, n_4520, n_4521, n_4522, n_4523;
-  wire n_4524, n_4525, n_4526, n_4527, n_4528, n_4529, n_4530, n_4531;
-  wire n_4532, n_4533, n_4534, n_4535, n_4536, n_4537, n_4538, n_4539;
-  wire n_4540, n_4541, n_4542, n_4543, n_4544, n_4545, n_4546, n_4547;
-  wire n_4548, n_4549, n_4550, n_4551, n_4552, n_4553, n_4554, n_4555;
-  wire n_4556, n_4557, n_4558, n_4559, n_4560, n_4561, n_4562, n_4563;
-  wire n_4564, n_4565, n_4566, n_4567, n_4568, n_4569, n_4570, n_4571;
-  wire n_4572, n_4573, n_4574, n_4575, n_4576, n_4577, n_4578, n_4579;
-  wire n_4580, n_4581, n_4582, n_4583, n_4584, n_4585, n_4586, n_4587;
-  wire n_4588, n_4589, n_4590, n_4591, n_4592, n_4593, n_4594, n_4595;
-  wire n_4596, n_4597, n_4598, n_4599, n_4600, n_4601, n_4602, n_4603;
-  wire n_4604, n_4605, n_4606, n_4607, n_4608, n_4609, n_4610, n_4611;
-  wire n_4612, n_4613, n_4614, n_4615, n_4616, n_4617, n_4618, n_4619;
-  wire n_4620, n_4621, n_4622, n_4623, n_4624, n_4625, n_4626, n_4627;
-  wire n_4628, n_4629, n_4630, n_4631, n_4632, n_4633, n_4634, n_4635;
-  wire n_4636, n_4637, n_4638, n_4639, n_4640, n_4641, n_4642, n_4643;
-  wire n_4644, n_4645, n_4646, n_4647, n_4648, n_4649, n_4650, n_4651;
-  wire n_4652, n_4653, n_4654, n_4655, n_4656, n_4657, n_4658, n_4659;
-  wire n_4660, n_4661, n_4662, n_4663, n_4664, n_4665, n_4666, n_4667;
-  wire n_4668, n_4669, n_4670, n_4671, n_4672, n_4673, n_4674, n_4675;
-  wire n_4676, n_4677, n_4678, n_4679, n_4680, n_4681, n_4682, n_4683;
-  wire n_4684, n_4685, n_4686, n_4687, n_4688, n_4689, n_4690, n_4691;
-  wire n_4692, n_4693, n_4694, n_4695, n_4696, n_4697, n_4698, n_4699;
-  wire n_4700, n_4701, n_4702, n_4703, n_4704, n_4705, n_4706, n_4707;
-  wire n_4708, n_4709, n_4710, n_4711, n_4712, n_4713, n_4714, n_4715;
-  wire n_4716, n_4717, n_4718, n_4719, n_4720, n_4721, n_4722, n_4723;
-  wire n_4724, n_4725, n_4726, n_4727, n_4728, n_4729, n_4730, n_4731;
-  wire n_4732, n_4733, n_4734, n_4735, n_4736, n_4737, n_4738, n_4739;
-  wire n_4740, n_4741, n_4742, n_4743, n_4744, n_4745, n_4746, n_4747;
-  wire n_4748, n_4749, n_4750, n_4751, n_4752, n_4753, n_4754, n_4755;
-  wire n_4756, n_4757, n_4758, n_4759, n_4760, n_4761, n_4762, n_4763;
-  wire n_4764, n_4765, n_4766, n_4767, n_4768, n_4769, n_4770, n_4771;
-  wire n_4772, n_4773, n_4774, n_4775, n_4776, n_4777, n_4778, n_4779;
-  wire n_4780, n_4781, n_4782, n_4783, n_4784, n_4785, n_4786, n_4787;
-  wire n_4788, n_4789, n_4790, n_4791, n_4792, n_4793, n_4794, n_4795;
-  wire n_4796, n_4797, n_4798, n_4799, n_4800, n_4801, n_4802, n_4803;
-  wire n_4804, n_4805, n_4806, n_4807, n_4808, n_4809, n_4810, n_4811;
-  wire n_4812, n_4813, n_4814, n_4815, n_4816, n_4817, n_4818, n_4819;
-  wire n_4820, n_4821, n_4822, n_4823, n_4824, n_4825, n_4826, n_4827;
-  wire n_4828, n_4829, n_4830, n_4831, n_4832, n_4833, n_4834, n_4835;
-  wire n_4836, n_4837, n_4838, n_4839, n_4840, n_4841, n_4842, n_4843;
-  wire n_4844, n_4845, n_4846, n_4847, n_4848, n_4849, n_4850, n_4851;
-  wire n_4852, n_4853, n_4854, n_4855, n_4856, n_4857, n_4858, n_4859;
-  wire n_4860, n_4861, n_4862, n_4863, n_4864, n_4865, n_4866, n_4867;
-  wire n_4868, n_4869, n_4870, n_4871, n_4872, n_4873, n_4874, n_4875;
-  wire n_4876, n_4877, n_4878, n_4879, n_4880, n_4881, n_4882, n_4883;
-  wire n_4884, n_4885, n_4886, n_4887, n_4888, n_4889, n_4890, n_4891;
-  wire n_4892, n_4893, n_4894, n_4895, n_4896, n_4897, n_4898, n_4899;
-  wire n_4900, n_4901, n_4902, n_4903, n_4904, n_4905, n_4906, n_4907;
-  wire n_4908, n_4909, n_4910, n_4911, n_4912, n_4913, n_4914, n_4915;
-  wire n_4916, n_4917, n_4918, n_4919, n_4920, n_4921, n_4922, n_4923;
-  wire n_4924, n_4925, n_4926, n_4927, n_4928, n_4929, n_4930, n_4931;
-  wire n_4932, n_4933, n_4934, n_4935, n_4936, n_4937, n_4938, n_4939;
-  wire n_4940, n_4941, n_4942, n_4943, n_4944, n_4945, n_4946, n_4947;
-  wire n_4948, n_4949, n_4950, n_4951, n_4952, n_4953, n_4954, n_4955;
-  wire n_4956, n_4957, n_4958, n_4959, n_4960, n_4961, n_4962, n_4963;
-  wire n_4964, n_4965, n_4966, n_4967, n_4968, n_4969, n_4970, n_4971;
-  wire n_4972, n_4973, n_4974, n_4975, n_4976, n_4977, n_4978, n_4979;
-  wire n_4980, n_4981, n_4982, n_4983, n_4984, n_4985, n_4986, n_4987;
-  wire n_4988, n_4989, n_4990, n_4991, n_4992, n_4993, n_4994, n_4995;
-  wire n_4996, n_4997, n_4998, n_4999, n_5000, n_5001, n_5002, n_5003;
-  wire n_5004, n_5005, n_5006, n_5007, n_5008, n_5009, n_5010, n_5011;
-  wire n_5012, n_5013, n_5014, n_5015, n_5016, n_5017, n_5018, n_5019;
-  wire n_5020, n_5021, n_5022, n_5023, n_5024, n_5025, n_5026, n_5027;
-  wire n_5028, n_5029, n_5030, n_5031, n_5032, n_5033, n_5034, n_5035;
-  wire n_5036, n_5037, n_5038, n_5039, n_5040, n_5041, n_5042, n_5043;
-  wire n_5044, n_5045, n_5046, n_5047, n_5048, n_5049, n_5050, n_5051;
-  wire n_5052, n_5053, n_5054, n_5055, n_5056, n_5057, n_5058, n_5059;
-  wire n_5060, n_5061, n_5062, n_5063, n_5064, n_5065, n_5066, n_5067;
-  wire n_5068, n_5069, n_5070, n_5071, n_5072, n_5073, n_5074, n_5075;
-  wire n_5076, n_5077, n_5079, n_5080, n_5081, n_5082, n_5083, n_5084;
-  wire n_5085, n_5086, n_5087, n_5088, n_5089, n_5090, n_5091, n_5092;
-  wire n_5094, n_5095, n_5096, n_5097, n_5098, n_5099, n_5100, n_5101;
-  wire n_5102, n_5103, n_5104, n_5105, n_5106, n_5107, n_5108, n_5109;
-  wire n_5110, n_5111, n_5112, n_5113, n_5114, n_5115, n_5116, n_5117;
-  wire n_5118, n_5119, n_5120, n_5121, n_5122, n_5123, n_5124, n_5125;
-  wire n_5126, n_5127, n_5128, n_5129, n_5130, n_5131, n_5132, n_5133;
-  wire n_5134, n_5135, n_5136, n_5137, n_5138, n_5139, n_5140, n_5141;
-  wire n_5142, n_5143, n_5144, n_5145, n_5146, n_5147, n_5148, n_5149;
-  wire n_5150, n_5151, n_5152, n_5153, n_5154, n_5155, n_5156, n_5157;
-  wire n_5158, n_5159, n_5160, n_5161, n_5162, n_5163, n_5164, n_5165;
-  wire n_5166, n_5167, n_5168, n_5169, n_5170, n_5171, n_5172, n_5173;
-  wire n_5174, n_5175, n_5176, n_5177, n_5178, n_5179, n_5180, n_5181;
-  wire n_5182, n_5183, n_5184, n_5185, n_5186, n_5187, n_5188, n_5189;
-  wire n_5190, n_5191, n_5192, n_5193, n_5194, n_5195, n_5196, n_5197;
-  wire n_5198, n_5199, n_5200, n_5201, n_5202, n_5203, n_5204, n_5205;
-  wire n_5206, n_5207, n_5208, n_5209, n_5210, n_5211, n_5212, n_5213;
-  wire n_5214, n_5215, n_5216, n_5217, n_5218, n_5219, n_5220, n_5221;
-  wire n_5222, n_5223, n_5224, n_5225, n_5226, n_5227, n_5228, n_5229;
-  wire n_5230, n_5231, n_5232, n_5233, n_5234, n_5235, n_5236, n_5237;
-  wire n_5238, n_5239, n_5240, n_5241, n_5242, n_5243, n_5244, n_5245;
-  wire n_5246, n_5247, n_5248, n_5249, n_5250, n_5251, n_5252, n_5253;
-  wire n_5254, n_5255, n_5256, n_5257, n_5258, n_5259, n_5260, n_5261;
-  wire n_5262, n_5263, n_5264, n_5265, n_5266, n_5267, n_5268, n_5269;
-  wire n_5270, n_5271, n_5272, n_5273, n_5274, n_5275, n_5276, n_5277;
-  wire n_5278, n_5279, n_5280, n_5281, n_5282, n_5283, n_5284, n_5285;
-  wire n_5286, n_5287, n_5288, n_5289, n_5290, n_5291, n_5292, n_5293;
-  wire n_5294, n_5295, n_5296, n_5297, n_5298, n_5299, n_5300, n_5301;
-  wire n_5302, n_5303, n_5304, n_5305, n_5306, n_5307, n_5308, n_5309;
-  wire n_5310, n_5311, n_5312, n_5313, n_5314, n_5315, n_5316, n_5317;
-  wire n_5318, n_5319, n_5320, n_5321, n_5322, n_5323, n_5324, n_5325;
-  wire n_5326, n_5327, n_5328, n_5329, n_5330, n_5331, n_5333, n_5334;
-  wire n_5335, n_5336, n_5337, n_5338, n_5339, n_5340, n_5341, n_5342;
-  wire n_5343, n_5344, n_5345, n_5346, n_5347, n_5348, n_5349, n_5350;
-  wire n_5351, n_5352, n_5353, n_5354, n_5355, n_5356, n_5357, n_5358;
-  wire n_5360, n_5361, n_5362, n_5363, n_5364, n_5366, n_5367, n_5368;
-  wire n_5369, n_5370, n_5371, n_5372, n_5373, n_5374, n_5375, n_5376;
-  wire n_5377, n_5378, n_5379, n_5380, n_5381, n_5382, n_5383, n_5384;
-  wire n_5385, n_5386, n_5387, n_5388, n_5389, n_5390, n_5391, n_5392;
-  wire n_5393, n_5394, n_5395, n_5396, n_5397, n_5398, n_5399, n_5400;
-  wire n_5401, n_5403, n_5404, n_5405, n_5406, n_5407, n_5408, n_5409;
-  wire n_5410, n_5411, n_5412, n_5413, n_5414, n_5415, n_5416, n_5417;
-  wire n_5418, n_5419, n_5420, n_5421, n_5422, n_5423, n_5425, n_5426;
-  wire n_5427, n_5428, n_5429, n_5430, n_5431, n_5432, n_5433, n_5434;
-  wire n_5435, n_5436, n_5437, n_5438, n_5439, n_5440, n_5441, n_5442;
-  wire n_5443, n_5444, n_5445, n_5446, n_5447, n_5448, n_5449, n_5450;
-  wire n_5451, n_5452, n_5453, n_5454, n_5455, n_5456, n_5457, n_5458;
-  wire n_5459, n_5460, n_5461, n_5462, n_5463, n_5464, n_5465, n_5466;
-  wire n_5467, n_5468, n_5469, n_5470, n_5471, n_5472, n_5473, n_5474;
-  wire n_5475, n_5476, n_5477, n_5478, n_5479, n_5480, n_5481, n_5482;
-  wire n_5483, n_5484, n_5485, n_5486, n_5487, n_5488, n_5489, n_5490;
-  wire n_5491, n_5492, n_5493, n_5494, n_5495, n_5496, n_5497, n_5498;
-  wire n_5499, n_5500, n_5501, n_5502, n_5503, n_5504, n_5505, n_5506;
-  wire n_5507, n_5508, n_5509, n_5510, n_5511, n_5512, n_5513, n_5514;
-  wire n_5515, n_5516, n_5517, n_5518, n_5519, n_5520, n_5521, n_5522;
-  wire n_5523, n_5524, n_5525, n_5526, n_5527, n_5528, n_5529, n_5530;
-  wire n_5531, n_5532, n_5533, n_5534, n_5535, n_5536, n_5537, n_5538;
-  wire n_5539, n_5540, n_5541, n_5542, n_5543, n_5544, n_5545, n_5546;
-  wire n_5547, n_5548, n_5549, n_5550, n_5551, n_5552, n_5553, n_5554;
-  wire n_5555, n_5556, n_5557, n_5558, n_5559, n_5560, n_5561, n_5562;
-  wire n_5563, n_5564, n_5565, n_5566, n_5567, n_5568, n_5569, n_5570;
-  wire n_5571, n_5572, n_5573, n_5574, n_5575, n_5576, n_5577, n_5578;
-  wire n_5579, n_5580, n_5581, n_5582, n_5583, n_5584, n_5585, n_5586;
-  wire n_5587, n_5588, n_5589, n_5590, n_5591, n_5592, n_5593, n_5594;
-  wire n_5595, n_5596, n_5597, n_5598, n_5599, n_5600, n_5601, n_5602;
-  wire n_5603, n_5604, n_5605, n_5606, n_5607, n_5608, n_5609, n_5610;
-  wire n_5611, n_5612, n_5613, n_5614, n_5615, n_5616, n_5617, n_5618;
-  wire n_5619, n_5620, n_5621, n_5622, n_5623, n_5624, n_5625, n_5626;
-  wire n_5627, n_5628, n_5629, n_5630, n_5631, n_5632, n_5633, n_5634;
-  wire n_5635, n_5636, n_5637, n_5638, n_5639, n_5640, n_5641, n_5642;
-  wire n_5643, n_5644, n_5645, n_5646, n_5647, n_5648, n_5649, n_5650;
-  wire n_5651, n_5652, n_5653, n_5654, n_5655, n_5656, n_5657, n_5658;
-  wire n_5659, n_5660, n_5661, n_5662, n_5663, n_5664, n_5665, n_5666;
-  wire n_5667, n_5668, n_5669, n_5670, n_5671, n_5672, n_5673, n_5674;
-  wire n_5675, n_5676, n_5677, n_5678, n_5679, n_5680, n_5681, n_5682;
-  wire n_5683, n_5684, n_5685, n_5686, n_5687, n_5688, n_5689, n_5690;
-  wire n_5691, n_5692, n_5693, n_5694, n_5695, n_5696, n_5697, n_5699;
-  wire n_5700, n_5701, n_5702, n_5703, n_5704, n_5705, n_5706, n_5707;
-  wire n_5708, n_5709, n_5710, n_5711, n_5712, n_5713, n_5714, n_5715;
-  wire n_5716, n_5717, n_5718, n_5719, n_5720, n_5721, n_5722, n_5723;
-  wire n_5724, n_5725, n_5726, n_5727, n_5728, n_5729, n_5730, n_5731;
-  wire n_5732, n_5733, n_5734, n_5735, n_5736, n_5737, n_5738, n_5739;
-  wire n_5740, n_5741, n_5742, n_5743, n_5744, n_5745, n_5746, n_5747;
-  wire n_5748, n_5749, n_5750, n_5751, n_5752, n_5753, n_5754, n_5755;
-  wire n_5756, n_5757, n_5758, n_5759, n_5760, n_5761, n_5762, n_5763;
-  wire n_5764, n_5765, n_5766, n_5767, n_5768, n_5769, n_5770, n_5771;
-  wire n_5772, n_5773, n_5774, n_5775, n_5776, n_5777, n_5778, n_5779;
-  wire n_5780, n_5781, n_5782, n_5783, n_5784, n_5785, n_5786, n_5787;
-  wire n_5788, n_5789, n_5790, n_5791, n_5792, n_5793, n_5795, n_5796;
-  wire n_5797, n_5798, n_5799, n_5800, n_5801, n_5802, n_5803, n_5804;
-  wire n_5805, n_5806, n_5807, n_5808, n_5809, n_5810, n_5811, n_5812;
-  wire n_5813, n_5814, n_5815, n_5816, n_5817, n_5818, n_5819, n_5820;
-  wire n_5821, n_5822, n_5823, n_5824, n_5825, n_5826, n_5827, n_5828;
-  wire n_5829, n_5830, n_5831, n_5832, n_5833, n_5834, n_5835, n_5836;
-  wire n_5837, n_5838, n_5839, n_5840, n_5841, n_5842, n_5843, n_5844;
-  wire n_5845, n_5846, n_5847, n_5848, n_5849, n_5850, n_5851, n_5852;
-  wire n_5853, n_5854, n_5855, n_5856, n_5857, n_5858, n_5859, n_5860;
-  wire n_5861, n_5862, n_5863, n_5864, n_5865, n_5866, n_5867, n_5868;
-  wire n_5869, n_5870, n_5871, n_5872, n_5873, n_5874, n_5875, n_5876;
-  wire n_5877, n_5878, n_5880, n_5881, n_5882, n_5883, n_5884, n_5885;
-  wire n_5886, n_5887, n_5888, n_5889, n_5890, n_5891, n_5892, n_5893;
-  wire n_5894, n_5895, n_5896, n_5897, n_5898, n_5899, n_5900, n_5901;
-  wire n_5902, n_5903, n_5904, n_5905, n_5906, n_5907, n_5908, n_5909;
-  wire n_5910, n_5911, n_5912, n_5913, n_5914, n_5915, n_5916, n_5917;
-  wire n_5918, n_5919, n_5921, n_5922, n_5923, n_5924, n_5925, n_5926;
-  wire n_5927, n_5928, n_5929, n_5930, n_5932, n_5933, n_5934, n_5935;
-  wire n_5937, n_5938, n_5939, n_5940, n_5941, n_5942, n_5943, n_5944;
-  wire n_5945, n_5946, n_5947, n_5948, n_5949, n_5950, n_5951, n_5952;
-  wire n_5953, n_5954, n_5955, n_5956, n_5957, n_5958, n_5959, n_5960;
-  wire n_5961, n_5962, n_5963, n_5964, n_5965, n_5966, n_5967, n_5968;
-  wire n_5969, n_5970, n_5971, n_5972, n_5973, n_5974, n_5975, n_5976;
-  wire n_5977, n_5978, n_5979, n_5980, n_5981, n_5982, n_5983, n_5984;
-  wire n_5985, n_5986, n_5987, n_5988, n_5989, n_5990, n_5991, n_5992;
-  wire n_5993, n_5994, n_5995, n_5996, n_5997, n_5998, n_5999, n_6000;
-  wire n_6001, n_6002, n_6003, n_6004, n_6005, n_6006, n_6007, n_6008;
-  wire n_6010, n_6011, n_6012, n_6013, n_6014, n_6015, n_6016, n_6017;
-  wire n_6018, n_6019, n_6020, n_6021, n_6022, n_6023, n_6024, n_6025;
-  wire n_6026, n_6027, n_6028, n_6029, n_6030, n_6031, n_6032, n_6033;
-  wire n_6034, n_6035, n_6036, n_6037, n_6038, n_6039, n_6040, n_6041;
-  wire n_6042, n_6043, n_6044, n_6045, n_6046, n_6047, n_6048, n_6049;
-  wire n_6050, n_6051, n_6052, n_6053, n_6054, n_6055, n_6056, n_6057;
-  wire n_6058, n_6059, n_6060, n_6061, n_6062, n_6063, n_6064, n_6065;
-  wire n_6066, n_6067, n_6068, n_6069, n_6070, n_6071, n_6072, n_6073;
-  wire n_6074, n_6075, n_6076, n_6077, n_6078, n_6079, n_6080, n_6081;
-  wire n_6082, n_6083, n_6084, n_6085, n_6086, n_6087, n_6088, n_6089;
-  wire n_6090, n_6091, n_6092, n_6093, n_6094, n_6095, n_6096, n_6097;
-  wire n_6098, n_6099, n_6100, n_6101, n_6102, n_6103, n_6104, n_6105;
-  wire n_6106, n_6107, n_6108, n_6109, n_6110, n_6111, n_6112, n_6113;
-  wire n_6114, n_6115, n_6116, n_6117, n_6118, n_6119, n_6120, n_6121;
-  wire n_6122, n_6123, n_6124, n_6125, n_6126, n_6127, n_6128, n_6129;
-  wire n_6130, n_6131, n_6132, n_6133, n_6134, n_6135, n_6136, n_6137;
-  wire n_6138, n_6139, n_6140, n_6141, n_6142, n_6143, n_6144, n_6145;
-  wire n_6146, n_6147, n_6148, n_6149, n_6150, n_6151, n_6152, n_6153;
-  wire n_6154, n_6155, n_6156, n_6157, n_6158, n_6159, n_6160, n_6161;
-  wire n_6162, n_6163, n_6164, n_6165, n_6166, n_6167, n_6168, n_6169;
-  wire n_6170, n_6171, n_6172, n_6173, n_6174, n_6175, n_6176, n_6177;
-  wire n_6178, n_6179, n_6180, n_6181, n_6182, n_6183, n_6184, n_6185;
-  wire n_6186, n_6187, n_6188, n_6189, n_6190, n_6191, n_6192, n_6193;
-  wire n_6194, n_6195, n_6196, n_6197, n_6198, n_6199, n_6200, n_6201;
-  wire n_6202, n_6203, n_6204, n_6205, n_6206, n_6207, n_6208, n_6209;
-  wire n_6210, n_6211, n_6212, n_6213, n_6214, n_6215, n_6216, n_6217;
-  wire n_6218, n_6219, n_6220, n_6221, n_6222, n_6223, n_6224, n_6225;
-  wire n_6226, n_6227, n_6228, n_6229, n_6230, n_6231, n_6232, n_6233;
-  wire n_6234, n_6235, n_6236, n_6237, n_6238, n_6239, n_6240, n_6241;
-  wire n_6242, n_6243, n_6244, n_6245, n_6246, n_6247, n_6248, n_6249;
-  wire n_6250, n_6251, n_6252, n_6253, n_6254, n_6255, n_6256, n_6257;
-  wire n_6258, n_6259, n_6260, n_6261, n_6262, n_6263, n_6264, n_6265;
-  wire n_6266, n_6267, n_6268, n_6269, n_6270, n_6271, n_6272, n_6273;
-  wire n_6274, n_6275, n_6276, n_6277, n_6278, n_6279, n_6280, n_6281;
-  wire n_6282, n_6283, n_6284, n_6285, n_6286, n_6287, n_6288, n_6289;
-  wire n_6290, n_6291, n_6292, n_6293, n_6294, n_6295, n_6296, n_6297;
-  wire n_6298, n_6299, n_6300, n_6301, n_6302, n_6303, n_6304, n_6305;
-  wire n_6306, n_6307, n_6308, n_6309, n_6310, n_6311, n_6312, n_6313;
-  wire n_6314, n_6315, n_6316, n_6317, n_6318, n_6319, n_6320, n_6321;
-  wire n_6322, n_6323, n_6324, n_6325, n_6326, n_6327, n_6328, n_6329;
-  wire n_6330, n_6331, n_6332, n_6333, n_6334, n_6335, n_6336, n_6337;
-  wire n_6338, n_6339, n_6340, n_6341, n_6342, n_6343, n_6344, n_6345;
-  wire n_6346, n_6347, n_6348, n_6349, n_6350, n_6351, n_6352, n_6353;
-  wire n_6354, n_6355, n_6356, n_6357, n_6358, n_6359, n_6360, n_6361;
-  wire n_6362, n_6363, n_6364, n_6365, n_6366, n_6367, n_6368, n_6369;
-  wire n_6370, n_6371, n_6372, n_6373, n_6374, n_6375, n_6376, n_6377;
-  wire n_6378, n_6379, n_6380, n_6381, n_6382, n_6383, n_6384, n_6385;
-  wire n_6386, n_6387, n_6388, n_6389, n_6390, n_6391, n_6392, n_6393;
-  wire n_6394, n_6395, n_6396, n_6397, n_6398, n_6399, n_6400, n_6402;
-  wire n_6403, n_6404, n_6405, n_6406, n_6407, n_6408, n_6409, n_6410;
-  wire n_6411, n_6412, n_6413, n_6414, n_6415, n_6416, n_6417, n_6418;
-  wire n_6419, n_6420, n_6421, n_6422, n_6423, n_6424, n_6425, n_6426;
-  wire n_6427, n_6428, n_6429, n_6430, n_6431, n_6432, n_6433, n_6434;
-  wire n_6435, n_6436, n_6437, n_6438, n_6439, n_6440, n_6441, n_6442;
-  wire n_6443, n_6444, n_6445, n_6446, n_6447, n_6448, n_6449, n_6450;
-  wire n_6451, n_6452, n_6453, n_6454, n_6455, n_6456, n_6457, n_6458;
-  wire n_6459, n_6460, n_6461, n_6462, n_6463, n_6464, n_6465, n_6466;
-  wire n_6467, n_6468, n_6469, n_6470, n_6471, n_6472, n_6473, n_6474;
-  wire n_6475, n_6476, n_6477, n_6478, n_6479, n_6480, n_6481, n_6482;
-  wire n_6483, n_6484, n_6485, n_6486, n_6487, n_6488, n_6489, n_6490;
-  wire n_6491, n_6492, n_6493, n_6494, n_6495, n_6496, n_6497, n_6498;
-  wire n_6499, n_6500, n_6501, n_6502, n_6503, n_6504, n_6505, n_6506;
-  wire n_6507, n_6508, n_6509, n_6510, n_6511, n_6512, n_6513, n_6514;
-  wire n_6515, n_6516, n_6517, n_6518, n_6519, n_6520, n_6521, n_6522;
-  wire n_6523, n_6524, n_6525, n_6526, n_6527, n_6528, n_6529, n_6530;
-  wire n_6531, n_6532, n_6533, n_6534, n_6535, n_6536, n_6537, n_6538;
-  wire n_6539, n_6540, n_6541, n_6542, n_6543, n_6544, n_6546, n_6547;
-  wire n_6548, n_6549, n_6550, n_6551, n_6552, n_6553, n_6554, n_6555;
-  wire n_6556, n_6557, n_6558, n_6559, n_6560, n_6561, n_6562, n_6563;
-  wire n_6564, n_6565, n_6566, n_6567, n_6568, n_6569, n_6570, n_6571;
-  wire n_6572, n_6573, n_6574, n_6575, n_6576, n_6577, n_6578, n_6579;
-  wire n_6580, n_6581, n_6582, n_6583, n_6584, n_6585, n_6586, n_6587;
-  wire n_6588, n_6589, n_6590, n_6591, n_6592, n_6593, n_6594, n_6595;
-  wire n_6596, n_6597, n_6598, n_6599, n_6600, n_6601, n_6602, n_6603;
-  wire n_6604, n_6605, n_6606, n_6607, n_6608, n_6609, n_6610, n_6611;
-  wire n_6612, n_6613, n_6614, n_6615, n_6616, n_6617, n_6618, n_6619;
-  wire n_6620, n_6621, n_6622, n_6623, n_6624, n_6625, n_6626, n_6627;
-  wire n_6628, n_6629, n_6630, n_6631, n_6632, n_6633, n_6634, n_6635;
-  wire n_6636, n_6637, n_6638, n_6639, n_6640, n_6641, n_6642, n_6643;
-  wire n_6644, n_6645, n_6646, n_6647, n_6648, n_6649, n_6650, n_6651;
-  wire n_6652, n_6653, n_6654, n_6655, n_6656, n_6657, n_6658, n_6659;
-  wire n_6660, n_6661, n_6662, n_6663, n_6664, n_6665, n_6666, n_6667;
-  wire n_6668, n_6669, n_6670, n_6671, n_6672, n_6673, n_6674, n_6675;
-  wire n_6676, n_6677, n_6678, n_6679, n_6680, n_6681, n_6682, n_6683;
-  wire n_6684, n_6685, n_6686, n_6687, n_6688, n_6689, n_6690, n_6691;
-  wire n_6692, n_6693, n_6694, n_6696, n_6697, n_6698, n_6699, n_6700;
-  wire n_6701, n_6702, n_6703, n_6704, n_6705, n_6706, n_6707, n_6708;
-  wire n_6709, n_6710, n_6711, n_6712, n_6713, n_6714, n_6715, n_6716;
-  wire n_6717, n_6718, n_6719, n_6720, n_6721, n_6722, n_6723, n_6724;
-  wire n_6725, n_6726, n_6727, n_6728, n_6729, n_6730, n_6731, n_6732;
-  wire n_6733, n_6734, n_6735, n_6736, n_6737, n_6738, n_6739, n_6740;
-  wire n_6741, n_6742, n_6743, n_6744, n_6745, n_6746, n_6747, n_6748;
-  wire n_6749, n_6750, n_6751, n_6752, n_6753, n_6754, n_6755, n_6756;
-  wire n_6757, n_6758, n_6759, n_6760, n_6761, n_6762, n_6763, n_6764;
-  wire n_6765, n_6766, n_6767, n_6768, n_6769, n_6770, n_6771, n_6772;
-  wire n_6773, n_6774, n_6775, n_6776, n_6777, n_6778, n_6779, n_6780;
-  wire n_6781, n_6782, n_6783, n_6784, n_6785, n_6786, n_6787, n_6788;
-  wire n_6789, n_6790, n_6791, n_6792, n_6793, n_6794, n_6795, n_6796;
-  wire n_6797, n_6798, n_6799, n_6800, n_6801, n_6802, n_6803, n_6804;
-  wire n_6805, n_6806, n_6807, n_6808, n_6809, n_6810, n_6811, n_6812;
-  wire n_6813, n_6814, n_6815, n_6816, n_6817, n_6818, n_6819, n_6820;
-  wire n_6821, n_6822, n_6823, n_6824, n_6825, n_6826, n_6827, n_6828;
-  wire n_6829, n_6830, n_6831, n_6832, n_6833, n_6834, n_6835, n_6836;
-  wire n_6837, n_6838, n_6839, n_6840, n_6841, n_6842, n_6843, n_6844;
-  wire n_6845, n_6846, n_6847, n_6848, n_6849, n_6850, n_6851, n_6852;
-  wire n_6853, n_6854, n_6855, n_6856, n_6857, n_6858, n_6859, n_6860;
-  wire n_6861, n_6862, n_6863, n_6864, n_6865, n_6866, n_6867, n_6868;
-  wire n_6869, n_6870, n_6871, n_6872, n_6873, n_6874, n_6875, n_6876;
-  wire n_6877, n_6878, n_6879, n_6880, n_6881, n_6882, n_6883, n_6884;
-  wire n_6886, n_6887, n_6888, n_6889, n_6890, n_6891, n_6892, n_6893;
-  wire n_6894, n_6895, n_6896, n_6897, n_6898, n_6899, n_6900, n_6901;
-  wire n_6902, n_6903, n_6904, n_6905, n_6906, n_6907, n_6908, n_6909;
-  wire n_6910, n_6911, n_6912, n_6913, n_6915, n_6916, n_6917, n_6918;
-  wire n_6919, n_6920, n_6921, n_6922, n_6923, n_6924, n_6925, n_6926;
-  wire n_6927, n_6928, n_6929, n_6930, n_6931, n_6932, n_6933, n_6934;
-  wire n_6935, n_6936, n_6937, n_6938, n_6939, n_6940, n_6941, n_6942;
-  wire n_6943, n_6944, n_6945, n_6946, n_6947, n_6948, n_6949, n_6950;
-  wire n_6951, n_6952, n_6953, n_6954, n_6955, n_6956, n_6957, n_6958;
-  wire n_6959, n_6960, n_6961, n_6962, n_6963, n_6964, n_6965, n_6966;
-  wire n_6967, n_6968, n_6969, n_6970, n_6971, n_6972, n_6973, n_6974;
-  wire n_6975, n_6976, n_6977, n_6978, n_6979, n_6980, n_6981, n_6982;
-  wire n_6983, n_6984, n_6985, n_6986, n_6987, n_6988, n_6989, n_6990;
-  wire n_6991, n_6992, n_6993, n_6994, n_6995, n_6996, n_6997, n_6998;
-  wire n_6999, n_7000, n_7001, n_7002, n_7003, n_7004, n_7005, n_7006;
-  wire n_7007, n_7008, n_7009, n_7010, n_7011, n_7012, n_7013, n_7014;
-  wire n_7015, n_7016, n_7017, n_7018, n_7019, n_7020, n_7021, n_7022;
-  wire n_7023, n_7024, n_7025, n_7026, n_7027, n_7028, n_7029, n_7030;
-  wire n_7031, n_7032, n_7033, n_7034, n_7035, n_7036, n_7037, n_7038;
-  wire n_7039, n_7040, n_7041, n_7042, n_7043, n_7044, n_7045, n_7046;
-  wire n_7047, n_7048, n_7049, n_7050, n_7051, n_7052, n_7053, n_7054;
-  wire n_7055, n_7056, n_7057, n_7058, n_7059, n_7060, n_7061, n_7062;
-  wire n_7063, n_7064, n_7065, n_7066, n_7067, n_7068, n_7069, n_7070;
-  wire n_7071, n_7072, n_7073, n_7074, n_7075, n_7076, n_7077, n_7078;
-  wire n_7079, n_7080, n_7081, n_7082, n_7083, n_7084, n_7085, n_7086;
-  wire n_7087, n_7088, n_7089, n_7090, n_7091, n_7092, n_7093, n_7094;
-  wire n_7095, n_7096, n_7097, n_7098, n_7099, n_7100, n_7101, n_7102;
-  wire n_7103, n_7104, n_7105, n_7106, n_7107, n_7108, n_7109, n_7110;
-  wire n_7111, n_7112, n_7113, n_7114, n_7115, n_7116, n_7117, n_7118;
-  wire n_7119, n_7120, n_7121, n_7122, n_7123, n_7124, n_7125, n_7126;
-  wire n_7127, n_7128, n_7129, n_7130, n_7131, n_7132, n_7133, n_7134;
-  wire n_7135, n_7136, n_7137, n_7138, n_7139, n_7140, n_7141, n_7142;
-  wire n_7143, n_7144, n_7145, n_7146, n_7147, n_7148, n_7149, n_7150;
-  wire n_7151, n_7152, n_7153, n_7154, n_7155, n_7156, n_7157, n_7158;
-  wire n_7159, n_7160, n_7161, n_7162, n_7163, n_7164, n_7165, n_7166;
-  wire n_7167, n_7168, n_7169, n_7170, n_7171, n_7172, n_7173, n_7174;
-  wire n_7175, n_7176, n_7177, n_7178, n_7179, n_7180, n_7181, n_7182;
-  wire n_7183, n_7184, n_7185, n_7186, n_7187, n_7188, n_7189, n_7190;
-  wire n_7191, n_7192, n_7193, n_7194, n_7195, n_7196, n_7197, n_7199;
-  wire n_7200, n_7201, n_7202, n_7204, n_7205, n_7206, n_7207, n_7208;
-  wire n_7209, n_7210, n_7211, n_7212, n_7213, n_7214, n_7215, n_7216;
-  wire n_7217, n_7218, n_7219, n_7220, n_7221, n_7222, n_7223, n_7224;
-  wire n_7225, n_7226, n_7227, n_7228, n_7229, n_7230, n_7231, n_7232;
-  wire n_7233, n_7234, n_7235, n_7236, n_7237, n_7238, n_7239, n_7240;
-  wire n_7241, n_7242, n_7243, n_7244, n_7245, n_7246, n_7247, n_7248;
-  wire n_7249, n_7250, n_7251, n_7252, n_7253, n_7254, n_7255, n_7256;
-  wire n_7257, n_7258, n_7259, n_7260, n_7261, n_7262, n_7263, n_7264;
-  wire n_7265, n_7266, n_7267, n_7268, n_7269, n_7270, n_7271, n_7272;
-  wire n_7273, n_7274, n_7275, n_7276, n_7277, n_7279, n_7280, n_7281;
-  wire n_7282, n_7283, n_7284, n_7285, n_7286, n_7287, n_7288, n_7289;
-  wire n_7290, n_7291, n_7292, n_7293, n_7294, n_7295, n_7297, n_7298;
-  wire n_7299, n_7300, n_7301, n_7302, n_7303, n_7304, n_7305, n_7306;
-  wire n_7307, n_7308, n_7309, n_7310, n_7311, n_7312, n_7313, n_7314;
-  wire n_7315, n_7316, n_7317, n_7318, n_7319, n_7320, n_7321, n_7322;
-  wire n_7323, n_7324, n_7325, n_7326, n_7327, n_7328, n_7329, n_7330;
-  wire n_7331, n_7332, n_7333, n_7334, n_7335, n_7336, n_7337, n_7338;
-  wire n_7339, n_7340, n_7341, n_7342, n_7343, n_7344, n_7345, n_7346;
-  wire n_7347, n_7348, n_7349, n_7350, n_7351, n_7352, n_7353, n_7354;
-  wire n_7355, n_7356, n_7357, n_7358, n_7359, n_7360, n_7361, n_7362;
-  wire n_7363, n_7364, n_7365, n_7366, n_7367, n_7368, n_7369, n_7370;
-  wire n_7371, n_7372, n_7373, n_7374, n_7375, n_7376, n_7377, n_7378;
-  wire n_7379, n_7380, n_7381, n_7382, n_7383, n_7386, n_7387, n_7388;
-  wire n_7389, n_7390, n_7391, n_7392, n_7393, n_7394, n_7395, n_7396;
-  wire n_7397, n_7398, n_7399, n_7401, n_7402, n_7404, n_7405, n_7406;
-  wire n_7407, n_7408, n_7409, n_7410, n_7411, n_7412, n_7413, n_7414;
-  wire n_7415, n_7416, n_7417, n_7418, n_7419, n_7420, n_7421, n_7422;
-  wire n_7423, n_7424, n_7425, n_7426, n_7427, n_7428, n_7429, n_7430;
-  wire n_7431, n_7432, n_7433, n_7434, n_7435, n_7436, n_7437, n_7438;
-  wire n_7439, n_7440, n_7441, n_7442, n_7443, n_7444, n_7445, n_7446;
-  wire n_7447, n_7448, n_7449, n_7450, n_7451, n_7452, n_7453, n_7454;
-  wire n_7455, n_7456, n_7457, n_7458, n_7459, n_7460, n_7461, n_7462;
-  wire n_7463, n_7464, n_7465, n_7466, n_7467, n_7468, n_7469, n_7470;
-  wire n_7471, n_7472, n_7473, n_7474, n_7475, n_7476, n_7477, n_7478;
-  wire n_7479, n_7480, n_7481, n_7482, n_7483, n_7484, n_7485, n_7486;
-  wire n_7487, n_7488, n_7489, n_7490, n_7491, n_7492, n_7493, n_7494;
-  wire n_7495, n_7496, n_7497, n_7498, n_7499, n_7500, n_7501, n_7502;
-  wire n_7503, n_7504, n_7505, n_7506, n_7507, n_7508, n_7509, n_7510;
-  wire n_7511, n_7512, n_7513, n_7514, n_7515, n_7516, n_7517, n_7518;
-  wire n_7519, n_7520, n_7521, n_7522, n_7523, n_7524, n_7525, n_7526;
-  wire n_7527, n_7528, n_7529, n_7530, n_7531, n_7532, n_7533, n_7534;
-  wire n_7535, n_7536, n_7537, n_7538, n_7539, n_7540, n_7541, n_7542;
-  wire n_7543, n_7544, n_7545, n_7546, n_7547, n_7548, n_7549, n_7550;
-  wire n_7551, n_7552, n_7553, n_7554, n_7555, n_7556, n_7557, n_7558;
-  wire n_7559, n_7560, n_7561, n_7562, n_7563, n_7564, n_7565, n_7566;
-  wire n_7567, n_7568, n_7569, n_7570, n_7571, n_7572, n_7573, n_7574;
-  wire n_7575, n_7576, n_7577, n_7578, n_7579, n_7580, n_7581, n_7582;
-  wire n_7583, n_7584, n_7585, n_7586, n_7587, n_7588, n_7589, n_7590;
-  wire n_7591, n_7592, n_7593, n_7594, n_7595, n_7596, n_7597, n_7598;
-  wire n_7599, n_7600, n_7601, n_7602, n_7603, n_7604, n_7605, n_7606;
-  wire n_7607, n_7608, n_7609, n_7610, n_7611, n_7612, n_7613, n_7614;
-  wire n_7615, n_7616, n_7617, n_7618, n_7619, n_7620, n_7622, n_7624;
-  wire n_7625, n_7626, n_7627, n_7628, n_7630, n_7631, n_7632, n_7633;
-  wire n_7634, n_7635, n_7636, n_7637, n_7638, n_7639, n_7640, n_7641;
-  wire n_7642, n_7643, n_7644, n_7645, n_7646, n_7647, n_7648, n_7649;
-  wire n_7650, n_7651, n_7652, n_7653, n_7654, n_7655, n_7656, n_7657;
-  wire n_7658, n_7660, n_7661, n_7662, n_7663, n_7664, n_7665, n_7666;
-  wire n_7667, n_7668, n_7669, n_7670, n_7671, n_7672, n_7673, n_7674;
-  wire n_7675, n_7676, n_7677, n_7678, n_7679, n_7680, n_7681, n_7683;
-  wire n_7684, n_7685, n_7686, n_7687, n_7688, n_7689, n_7690, n_7691;
-  wire n_7692, n_7693, n_7694, n_7695, n_7696, n_7697, n_7698, n_7699;
-  wire n_7700, n_7701, n_7702, n_7703, n_7704, n_7705, n_7706, n_7707;
-  wire n_7708, n_7709, n_7710, n_7711, n_7712, n_7713, n_7714, n_7715;
-  wire n_7716, n_7717, n_7718, n_7719, n_7720, n_7721, n_7722, n_7723;
-  wire n_7724, n_7725, n_7726, n_7727, n_7728, n_7729, n_7730, n_7731;
-  wire n_7732, n_7733, n_7734, n_7735, n_7736, n_7737, n_7738, n_7739;
-  wire n_7740, n_7741, n_7742, n_7743, n_7744, n_7745, n_7746, n_7747;
-  wire n_7748, n_7749, n_7750, n_7751, n_7752, n_7753, n_7754, n_7755;
-  wire n_7756, n_7757, n_7758, n_7759, n_7760, n_7761, n_7762, n_7763;
-  wire n_7764, n_7765, n_7766, n_7767, n_7768, n_7769, n_7770, n_7771;
-  wire n_7772, n_7773, n_7774, n_7775, n_7776, n_7777, n_7778, n_7779;
-  wire n_7780, n_7781, n_7782, n_7783, n_7784, n_7785, n_7786, n_7787;
-  wire n_7788, n_7789, n_7790, n_7791, n_7792, n_7793, n_7794, n_7795;
-  wire n_7796, n_7797, n_7798, n_7799, n_7800, n_7801, n_7802, n_7803;
-  wire n_7804, n_7805, n_7806, n_7807, n_7808, n_7809, n_7810, n_7811;
-  wire n_7812, n_7813, n_7814, n_7815, n_7816, n_7817, n_7818, n_7819;
-  wire n_7820, n_7821, n_7822, n_7823, n_7824, n_7825, n_7826, n_7827;
-  wire n_7828, n_7829, n_7830, n_7831, n_7832, n_7833, n_7834, n_7835;
-  wire n_7836, n_7837, n_7838, n_7839, n_7840, n_7841, n_7842, n_7843;
-  wire n_7844, n_7845, n_7846, n_7847, n_7848, n_7849, n_7850, n_7851;
-  wire n_7852, n_7853, n_7854, n_7855, n_7856, n_7857, n_7858, n_7859;
-  wire n_7860, n_7861, n_7862, n_7863, n_7864, n_7865, n_7866, n_7867;
-  wire n_7868, n_7869, n_7870, n_7871, n_7872, n_7873, n_7874, n_7875;
-  wire n_7876, n_7877, n_7878, n_7879, n_7880, n_7881, n_7882, n_7883;
-  wire n_7884, n_7885, n_7886, n_7887, n_7888, n_7889, n_7890, n_7891;
-  wire n_7892, n_7893, n_7894, n_7895, n_7896, n_7897, n_7898, n_7899;
-  wire n_7900, n_7901, n_7902, n_7903, n_7904, n_7905, n_7906, n_7907;
-  wire n_7908, n_7909, n_7910, n_7911, n_7912, n_7913, n_7914, n_7915;
-  wire n_7916, n_7917, n_7918, n_7919, n_7920, n_7921, n_7922, n_7923;
-  wire n_7924, n_7925, n_7926, n_7927, n_7928, n_7929, n_7930, n_7931;
-  wire n_7932, n_7933, n_7934, n_7935, n_7936, n_7937, n_7938, n_7939;
-  wire n_7940, n_7941, n_7942, n_7943, n_7944, n_7945, n_7946, n_7947;
-  wire n_7948, n_7949, n_7950, n_7951, n_7952, n_7953, n_7954, n_7955;
-  wire n_7956, n_7957, n_7958, n_7959, n_7960, n_7961, n_7962, n_7963;
-  wire n_7964, n_7965, n_7966, n_7968, n_7969, n_7970, n_7971, n_7972;
-  wire n_7973, n_7974, n_7975, n_7976, n_7977, n_7978, n_7979, n_7980;
-  wire n_7981, n_7982, n_7983, n_7985, n_7986, n_7987, n_7988, n_7989;
-  wire n_7990, n_7991, n_7992, n_7993, n_7994, n_7995, n_7996, n_7997;
-  wire n_7998, n_7999, n_8000, n_8001, n_8002, n_8003, n_8004, n_8005;
-  wire n_8006, n_8007, n_8008, n_8009, n_8010, n_8011, n_8012, n_8013;
-  wire n_8014, n_8015, n_8016, n_8017, n_8018, n_8019, n_8020, n_8021;
-  wire n_8022, n_8023, n_8024, n_8025, n_8026, n_8027, n_8028, n_8029;
-  wire n_8030, n_8031, n_8032, n_8033, n_8034, n_8035, n_8036, n_8037;
-  wire n_8038, n_8039, n_8040, n_8041, n_8042, n_8043, n_8044, n_8045;
-  wire n_8046, n_8047, n_8048, n_8049, n_8050, n_8051, n_8052, n_8053;
-  wire n_8054, n_8055, n_8056, n_8057, n_8058, n_8059, n_8060, n_8061;
-  wire n_8062, n_8063, n_8064, n_8065, n_8066, n_8067, n_8068, n_8069;
-  wire n_8070, n_8071, n_8072, n_8073, n_8074, n_8075, n_8076, n_8077;
-  wire n_8078, n_8079, n_8080, n_8081, n_8082, n_8083, n_8084, n_8085;
-  wire n_8086, n_8087, n_8088, n_8089, n_8090, n_8091, n_8092, n_8093;
-  wire n_8094, n_8095, n_8096, n_8097, n_8098, n_8099, n_8100, n_8101;
-  wire n_8102, n_8103, n_8104, n_8105, n_8106, n_8107, n_8108, n_8109;
-  wire n_8110, n_8111, n_8112, n_8113, n_8114, n_8115, n_8116, n_8117;
-  wire n_8118, n_8119, n_8120, n_8121, n_8122, n_8123, n_8124, n_8125;
-  wire n_8126, n_8127, n_8128, n_8129, n_8130, n_8131, n_8132, n_8133;
-  wire n_8134, n_8135, n_8136, n_8137, n_8138, n_8139, n_8140, n_8141;
-  wire n_8142, n_8143, n_8144, n_8145, n_8146, n_8147, n_8148, n_8149;
-  wire n_8150, n_8151, n_8152, n_8153, n_8154, n_8155, n_8156, n_8157;
-  wire n_8158, n_8159, n_8160, n_8161, n_8162, n_8163, n_8164, n_8165;
-  wire n_8166, n_8167, n_8168, n_8169, n_8170, n_8171, n_8172, n_8173;
-  wire n_8174, n_8175, n_8176, n_8177, n_8178, n_8179, n_8180, n_8181;
-  wire n_8182, n_8183, n_8184, n_8185, n_8186, n_8187, n_8188, n_8189;
-  wire n_8190, n_8191, n_8192, n_8193, n_8194, n_8195, n_8196, n_8197;
-  wire n_8198, n_8199, n_8200, n_8201, n_8202, n_8203, n_8204, n_8205;
-  wire n_8206, n_8207, n_8208, n_8209, n_8210, n_8211, n_8212, n_8213;
-  wire n_8214, n_8215, n_8216, n_8217, n_8218, n_8219, n_8220, n_8221;
-  wire n_8222, n_8223, n_8224, n_8225, n_8226, n_8227, n_8228, n_8229;
-  wire n_8230, n_8231, n_8232, n_8233, n_8234, n_8235, n_8236, n_8237;
-  wire n_8238, n_8239, n_8240, n_8241, n_8242, n_8243, n_8244, n_8245;
-  wire n_8246, n_8247, n_8248, n_8249, n_8250, n_8251, n_8252, n_8253;
-  wire n_8254, n_8255, n_8256, n_8257, n_8258, n_8259, n_8260, n_8261;
-  wire n_8262, n_8263, n_8264, n_8265, n_8266, n_8267, n_8268, n_8269;
-  wire n_8270, n_8271, n_8272, n_8273, n_8274, n_8275, n_8276, n_8277;
-  wire n_8278, n_8279, n_8280, n_8281, n_8282, n_8283, n_8284, n_8285;
-  wire n_8286, n_8287, n_8288, n_8289, n_8290, n_8291, n_8292, n_8293;
-  wire n_8294, n_8295, n_8296, n_8298, n_8299, n_8300, n_8301, n_8302;
-  wire n_8303, n_8304, n_8305, n_8306, n_8307, n_8308, n_8309, n_8310;
-  wire n_8311, n_8312, n_8313, n_8314, n_8315, n_8316, n_8317, n_8318;
-  wire n_8319, n_8320, n_8321, n_8322, n_8323, n_8324, n_8325, n_8326;
-  wire n_8327, n_8328, n_8329, n_8330, n_8331, n_8332, n_8333, n_8334;
-  wire n_8335, n_8336, n_8337, n_8338, n_8339, n_8340, n_8341, n_8343;
-  wire n_8344, n_8345, n_8346, n_8347, n_8348, n_8349, n_8350, n_8351;
-  wire n_8352, n_8353, n_8354, n_8355, n_8356, n_8357, n_8358, n_8359;
-  wire n_8360, n_8361, n_8362, n_8363, n_8364, n_8365, n_8366, n_8367;
-  wire n_8368, n_8369, n_8370, n_8371, n_8372, n_8373, n_8374, n_8375;
-  wire n_8376, n_8377, n_8378, n_8379, n_8380, n_8381, n_8382, n_8383;
-  wire n_8384, n_8385, n_8386, n_8387, n_8388, n_8389, n_8390, n_8391;
-  wire n_8392, n_8393, n_8394, n_8395, n_8396, n_8397, n_8398, n_8399;
-  wire n_8400, n_8401, n_8402, n_8403, n_8404, n_8405, n_8406, n_8407;
-  wire n_8408, n_8409, n_8410, n_8411, n_8412, n_8413, n_8414, n_8415;
-  wire n_8416, n_8417, n_8418, n_8419, n_8420, n_8421, n_8422, n_8423;
-  wire n_8424, n_8425, n_8426, n_8427, n_8428, n_8429, n_8430, n_8431;
-  wire n_8432, n_8433, n_8434, n_8435, n_8436, n_8437, n_8438, n_8439;
-  wire n_8440, n_8441, n_8442, n_8443, n_8444, n_8445, n_8446, n_8447;
-  wire n_8448, n_8449, n_8450, n_8451, n_8452, n_8453, n_8454, n_8455;
-  wire n_8456, n_8457, n_8458, n_8459, n_8460, n_8461, n_8462, n_8463;
-  wire n_8464, n_8465, n_8466, n_8467, n_8468, n_8469, n_8470, n_8471;
-  wire n_8472, n_8473, n_8474, n_8475, n_8476, n_8477, n_8478, n_8479;
-  wire n_8480, n_8481, n_8482, n_8483, n_8484, n_8485, n_8486, n_8487;
-  wire n_8488, n_8489, n_8490, n_8491, n_8492, n_8493, n_8494, n_8495;
-  wire n_8496, n_8497, n_8498, n_8499, n_8500, n_8501, n_8502, n_8503;
-  wire n_8504, n_8505, n_8506, n_8507, n_8508, n_8509, n_8510, n_8511;
-  wire n_8512, n_8513, n_8514, n_8515, n_8516, n_8517, n_8518, n_8519;
-  wire n_8520, n_8521, n_8522, n_8523, n_8524, n_8525, n_8526, n_8527;
-  wire n_8528, n_8529, n_8530, n_8531, n_8532, n_8533, n_8534, n_8535;
-  wire n_8536, n_8537, n_8538, n_8539, n_8540, n_8541, n_8542, n_8543;
-  wire n_8544, n_8545, n_8546, n_8547, n_8548, n_8549, n_8550, n_8551;
-  wire n_8552, n_8553, n_8554, n_8555, n_8556, n_8557, n_8558, n_8559;
-  wire n_8560, n_8561, n_8562, n_8563, n_8564, n_8565, n_8566, n_8567;
-  wire n_8568, n_8569, n_8570, n_8571, n_8572, n_8573, n_8574, n_8575;
-  wire n_8576, n_8577, n_8578, n_8579, n_8580, n_8581, n_8582, n_8583;
-  wire n_8584, n_8585, n_8586, n_8587, n_8588, n_8589, n_8590, n_8591;
-  wire n_8592, n_8593, n_8594, n_8595, n_8596, n_8597, n_8598, n_8599;
-  wire n_8600, n_8601, n_8602, n_8603, n_8604, n_8605, n_8606, n_8607;
-  wire n_8608, n_8609, n_8610, n_8611, n_8612, n_8613, n_8614, n_8615;
-  wire n_8616, n_8617, n_8618, n_8619, n_8620, n_8621, n_8622, n_8623;
-  wire n_8624, n_8625, n_8626, n_8627, n_8628, n_8629, n_8630, n_8631;
-  wire n_8632, n_8633, n_8634, n_8635, n_8636, n_8637, n_8638, n_8639;
-  wire n_8640, n_8641, n_8642, n_8643, n_8644, n_8645, n_8646, n_8647;
-  wire n_8648, n_8649, n_8651, n_8652, n_8653, n_8654, n_8655, n_8656;
-  wire n_8657, n_8658, n_8659, n_8660, n_8661, n_8662, n_8663, n_8664;
-  wire n_8665, n_8666, n_8667, n_8668, n_8669, n_8670, n_8671, n_8672;
-  wire n_8673, n_8674, n_8675, n_8676, n_8677, n_8678, n_8679, n_8680;
-  wire n_8681, n_8682, n_8684, n_8685, n_8686, n_8687, n_8688, n_8689;
-  wire n_8690, n_8691, n_8692, n_8693, n_8694, n_8695, n_8696, n_8697;
-  wire n_8698, n_8699, n_8700, n_8701, n_8702, n_8703, n_8704, n_8705;
-  wire n_8706, n_8707, n_8708, n_8709, n_8710, n_8711, n_8712, n_8713;
-  wire n_8714, n_8715, n_8716, n_8717, n_8718, n_8719, n_8720, n_8721;
-  wire n_8722, n_8723, n_8724, n_8725, n_8726, n_8727, n_8728, n_8729;
-  wire n_8730, n_8731, n_8732, n_8733, n_8734, n_8735, n_8736, n_8737;
-  wire n_8738, n_8739, n_8740, n_8741, n_8742, n_8743, n_8744, n_8745;
-  wire n_8746, n_8747, n_8748, n_8749, n_8750, n_8751, n_8752, n_8753;
-  wire n_8754, n_8755, n_8756, n_8757, n_8758, n_8759, n_8760, n_8761;
-  wire n_8762, n_8763, n_8764, n_8765, n_8766, n_8767, n_8768, n_8769;
-  wire n_8770, n_8771, n_8772, n_8773, n_8774, n_8775, n_8776, n_8777;
-  wire n_8778, n_8779, n_8780, n_8781, n_8782, n_8783, n_8784, n_8785;
-  wire n_8786, n_8787, n_8788, n_8789, n_8790, n_8791, n_8792, n_8793;
-  wire n_8794, n_8795, n_8796, n_8797, n_8798, n_8799, n_8802, n_8803;
-  wire n_8804, n_8805, n_8806, n_8807, n_8808, n_8810, n_8814, n_8815;
-  wire n_8816, n_8817, n_8818, n_8819, n_8822, n_8823, n_8824, n_8825;
-  wire n_8826, n_8827, n_8828, n_8829, n_8830, n_8831, n_8832, n_8833;
-  wire n_8834, n_8835, n_8836, n_8838, n_8844, n_8845, n_8847, n_8853;
-  wire n_8854, n_8855, n_8856, n_8857, n_8859, n_8860, n_8861, n_8862;
-  wire n_8863, n_8864, n_8865, n_8866, n_8867, n_8868, n_8870, n_8871;
-  wire n_8874, n_8875, n_8876, n_8877, n_8878, n_8879, n_8880, n_8881;
-  wire n_8882, n_8883, n_8884, n_8885, n_8886, n_8887, n_8888, n_8889;
-  wire n_8890, n_8891, n_8892, n_8893, n_8894, n_8895, n_8896, n_8897;
-  wire n_8898, n_8899, n_8900, n_8901, n_8902, n_8903, n_8904, n_8905;
-  wire n_8906, n_8907, n_8908, n_8909, n_8910, n_8911, n_8912, n_8914;
-  wire n_8921, n_8922, n_8924, n_8931, n_8932, n_8933, n_8934, n_8935;
-  wire n_8936, n_8939, n_8940, n_8941, n_8942, n_8943, n_8944, n_8945;
-  wire n_8946, n_8947, n_8948, n_8949, n_8950, n_8951, n_8952, n_8953;
-  wire n_8954, n_8955, n_8956, n_8957, n_8958, n_8959, n_8960, n_8961;
-  wire n_8962, n_8963, n_8964, n_8965, n_8966, n_8967, n_8968, n_8970;
-  wire n_8971, n_8972, n_8973, n_8974, n_8975, n_8976, n_8977, n_8978;
-  wire n_8979, n_8980, n_8981, n_8982, n_8983, n_8984, n_8985, n_8986;
-  wire n_8987, n_8988, n_8989, n_8991, n_8992, n_8993, n_8994, n_8996;
-  wire n_8997, n_8998, n_8999, n_9000, n_9001, n_9002, n_9003, n_9004;
-  wire n_9005, n_9006, n_9007, n_9008, n_9009, n_9010, n_9011, n_9012;
-  wire n_9013, n_9014, n_9015, n_9016, n_9017, n_9018, n_9019, n_9020;
-  wire n_9021, n_9022, n_9023, n_9024, n_9025, n_9026, n_9027, n_9028;
-  wire n_9029, n_9030, n_9031, n_9032, n_9033, n_9034, n_9035, n_9036;
-  wire n_9037, n_9038, n_9039, n_9040, n_9041, n_9042, n_9043, n_9044;
-  wire n_9045, n_9046, n_9047, n_9048, n_9049, n_9050, n_9051, n_9052;
-  wire n_9053, n_9054, n_9055, n_9056, n_9057, n_9058, n_9059, n_9060;
-  wire n_9061, n_9062, n_9063, n_9064, n_9065, n_9066, n_9067, n_9068;
-  wire n_9069, n_9070, n_9071, n_9072, n_9073, n_9074, n_9075, n_9076;
-  wire n_9077, n_9078, n_9079, n_9080, n_9081, n_9082, n_9083, n_9084;
-  wire n_9085, n_9086, n_9087, n_9088, n_9089, n_9090, n_9091, n_9092;
-  wire n_9093, n_9094, n_9095, n_9096, n_9097, n_9098, n_9099, n_9100;
-  wire n_9101, n_9102, n_9103, n_9104, n_9105, n_9106, n_9107, n_9108;
-  wire n_9109, n_9110, n_9111, n_9112, n_9113, n_9114, n_9115, n_9116;
-  wire n_9117, n_9118, n_9119, n_9120, n_9121, n_9122, n_9123, n_9124;
-  wire n_9125, n_9126, n_9127, n_9128, n_9129, n_9130, n_9131, n_9132;
-  wire n_9133, n_9134, n_9135, n_9136, n_9137, n_9138, n_9139, n_9140;
-  wire n_9141, n_9142, n_9143, n_9144, n_9145, n_9146, n_9147, n_9148;
-  wire n_9149, n_9150, n_9151, n_9152, n_9153, n_9154, n_9155, n_9156;
-  wire n_9157, n_9158, n_9159, n_9160, n_9161, n_9162, n_9163, n_9164;
-  wire n_9165, n_9166, n_9167, n_9168, n_9169, n_9170, n_9171, n_9172;
-  wire n_9173, n_9174, n_9175, n_9176, n_9177, n_9178, n_9179, n_9180;
-  wire n_9181, n_9182, n_9183, n_9184, n_9185, n_9186, n_9187, n_9188;
-  wire n_9189, n_9190, n_9191, n_9192, n_9193, n_9194, n_9195, n_9196;
-  wire n_9197, n_9198, n_9199, n_9200, n_9201, n_9202, n_9203, n_9204;
-  wire n_9205, n_9206, n_9207, n_9208, n_9209, n_9210, n_9211, n_9212;
-  wire n_9213, n_9214, n_9215, n_9216, n_9217, n_9218, n_9219, n_9220;
-  wire n_9221, n_9222, n_9223, n_9224, n_9225, n_9226, n_9227, n_9228;
-  wire n_9229, n_9230, n_9231, n_9232, n_9233, n_9234, n_9235, n_9236;
-  wire n_9237, n_9238, n_9239, n_9240, n_9241, n_9242, n_9243, n_9244;
-  wire n_9245, n_9246, n_9247, n_9248, n_9249, n_9250, n_9251, n_9252;
-  wire n_9253, n_9254, n_9255, n_9256, n_9257, n_9258, n_9259, n_9260;
-  wire n_9261, n_9262, n_9263, n_9264, n_9265, n_9266, n_9267, n_9268;
-  wire n_9269, n_9270, n_9271, n_9272, n_9273, n_9274, n_9275, n_9276;
-  wire n_9277, n_9278, n_9279, n_9280, n_9281, n_9282, n_9283, n_9284;
-  wire n_9285, n_9286, n_9287, n_9288, n_9289, n_9290, n_9291, n_9292;
-  wire n_9293, n_9294, n_9295, n_9296, n_9297, n_9298, n_9299, n_9300;
-  wire n_9301, n_9302, n_9303, n_9304, n_9305, n_9306, n_9307, n_9308;
-  wire n_9309, n_9310, n_9311, n_9312, n_9313, n_9314, n_9315, n_9316;
-  wire n_9317, n_9318, n_9319, n_9320, n_9323, n_9324, n_9325, n_9326;
-  wire n_9327, n_9328, n_9329, n_9330, n_9331, n_9332, n_9333, n_9334;
-  wire n_9335, n_9336, n_9337, n_9338, n_9339, n_9340, n_9341, n_9342;
-  wire n_9343, n_9344, n_9345, n_9346, n_9347, n_9348, n_9349, n_9350;
-  wire n_9351, n_9352, n_9353, n_9354, n_9355, n_9356, n_9357, n_9358;
-  wire n_9359, n_9360, n_9361, n_9362, n_9363, n_9364, n_9365, n_9366;
-  wire n_9367, n_9368, n_9369, n_9370, n_9371, n_9372, n_9373, n_9374;
-  wire n_9375, n_9376, n_9377, n_9378, n_9379, n_9380, n_9381, n_9382;
-  wire n_9383, n_9384, n_9385, n_9386, n_9387, n_9388, n_9389, n_9390;
-  wire n_9391, n_9392, n_9393, n_9394, n_9395, n_9396, n_9397, n_9398;
-  wire n_9399, n_9400, n_9401, n_9402, n_9403, n_9404, n_9405, n_9406;
-  wire n_9407, n_9408, n_9409, n_9410, n_9411, n_9412, n_9413, n_9414;
-  wire n_9415, n_9416, n_9417, n_9418, n_9419, n_9420, n_9421, n_9422;
-  wire n_9423, n_9424, n_9425, n_9426, n_9427, n_9428, n_9429, n_9430;
-  wire n_9431, n_9432, n_9433, n_9434, n_9435, n_9436, n_9437, n_9438;
-  wire n_9439, n_9440, n_9441, n_9442, n_9443, n_9444, n_9445, n_9446;
-  wire n_9447, n_9448, n_9449, n_9450, n_9451, n_9452, n_9453, n_9454;
-  wire n_9455, n_9456, n_9457, n_9458, n_9459, n_9460, n_9461, n_9462;
-  wire n_9463, n_9464, n_9465, n_9466, n_9467, n_9468, n_9469, n_9470;
-  wire n_9471, n_9472, n_9473, n_9474, n_9475, n_9476, n_9477, n_9478;
-  wire n_9479, n_9480, n_9481, n_9482, n_9483, n_9484, n_9485, n_9486;
-  wire n_9487, n_9488, n_9489, n_9490, n_9491, n_9492, n_9493, n_9494;
-  wire n_9495, n_9496, n_9497, n_9498, n_9499, n_9500, n_9501, n_9502;
-  wire n_9503, n_9504, n_9505, n_9506, n_9507, n_9508, n_9509, n_9510;
-  wire n_9511, n_9512, n_9513, n_9514, n_9515, n_9516, n_9517, n_9518;
-  wire n_9519, n_9520, n_9521, n_9522, n_9523, n_9524, n_9525, n_9526;
-  wire n_9527, n_9528, n_9529, n_9530, n_9531, n_9532, n_9533, n_9534;
-  wire n_9535, n_9536, n_9537, n_9538, n_9539, n_9540, n_9541, n_9542;
-  wire n_9543, n_9544, n_9545, n_9546, n_9547, n_9548, n_9549, n_9550;
-  wire n_9551, n_9552, n_9553, n_9554, n_9555, n_9556, n_9557, n_9558;
-  wire n_9559, n_9560, n_9561, n_9562, n_9563, n_9564, n_9565, n_9566;
-  wire n_9567, n_9568, n_9569, n_9570, n_9571, n_9572, n_9573, n_9574;
-  wire n_9575, n_9576, n_9577, n_9578, n_9579, n_9580, n_9581, n_9582;
-  wire n_9583, n_9584, n_9585, n_9586, n_9587, n_9588, n_9589, n_9590;
-  wire n_9591, n_9592, n_9593, n_9594, n_9595, n_9596, n_9597, n_9598;
-  wire n_9599, n_9600, n_9601, n_9602, n_9603, n_9604, n_9605, n_9606;
-  wire n_9607, n_9608, n_9609, n_9610, n_9611, n_9612, n_9613, n_9614;
-  wire n_9615, n_9616, n_9617, n_9618, n_9619, n_9620, n_9621, n_9622;
-  wire n_9623, n_9624, n_9625, n_9626, n_9627, n_9628, n_9629, n_9630;
-  wire n_9631, n_9632, n_9633, n_9634, n_9635, n_9636, n_9637, n_9638;
-  wire n_9639, n_9640, n_9641, n_9642, n_9643, n_9644, n_9645, n_9646;
-  wire n_9647, n_9648, n_9649, n_9650, n_9651, n_9652, n_9653, n_9654;
-  wire n_9655, n_9656, n_9657, n_9658, n_9659, n_9660, n_9661, n_9662;
-  wire n_9663, n_9664, n_9665, n_9666, n_9667, n_9668, n_9669, n_9670;
-  wire n_9671, n_9672, n_9673, n_9674, n_9675, n_9676, n_9677, n_9678;
-  wire n_9679, n_9680, n_9681, n_9682, n_9683, n_9684, n_9685, n_9686;
-  wire n_9687, n_9688, n_9689, n_9690, n_9691, n_9692, n_9693, n_9694;
-  wire n_9695, n_9696, n_9697, n_9698, n_9699, n_9700, n_9701, n_9702;
-  wire n_9703, n_9704, n_9705, n_9706, n_9707, n_9708, n_9709, n_9710;
-  wire n_9711, n_9712, n_9713, n_9714, n_9715, n_9716, n_9717, n_9718;
-  wire n_9719, n_9720, n_9721, n_9722, n_9723, n_9724, n_9725, n_9726;
-  wire n_9727, n_9728, n_9729, n_9730, n_9731, n_9732, n_9733, n_9734;
-  wire n_9735, n_9736, n_9737, n_9738, n_9739, n_9740, n_9741, n_9742;
-  wire n_9743, n_9744, n_9745, n_9746, n_9747, n_9748, n_9749, n_9751;
-  wire n_9752, n_9753, n_9754, n_9755, n_9756, n_9757, n_9758, n_9759;
-  wire n_9760, n_9761, n_9762, n_9763, n_9764, n_9765, n_9766, n_9767;
-  wire n_9768, n_9769, n_9770, n_9771, n_9772, n_9773, n_9774, n_9775;
-  wire n_9776, n_9777, n_9778, n_9779, n_9780, n_9781, n_9782, n_9783;
-  wire n_9784, n_9785, n_9786, n_9787, n_9788, n_9789, n_9790, n_9791;
-  wire n_9792, n_9793, n_9794, n_9795, n_9796, n_9797, n_9798, n_9799;
-  wire n_9800, n_9801, n_9802, n_9803, n_9804, n_9805, n_9806, n_9807;
-  wire n_9808, n_9809, n_9810, n_9811, n_9812, n_9813, n_9814, n_9815;
-  wire n_9816, n_9817, n_9818, n_9819, n_9820, n_9821, n_9822, n_9823;
-  wire n_9824, n_9825, n_9826, n_9827, n_9828, n_9829, n_9830, n_9831;
-  wire n_9832, n_9833, n_9834, n_9835, n_9836, n_9837, n_9838, n_9839;
-  wire n_9840, n_9841, n_9842, n_9843, n_9844, n_9845, n_9846, n_9847;
-  wire n_9848, n_9849, n_9850, n_9851, n_9852, n_9853, n_9854, n_9855;
-  wire n_9856, n_9857, n_9858, n_9859, n_9860, n_9861, n_9862, n_9863;
-  wire n_9864, n_9865, n_9866, n_9867, n_9868, n_9869, n_9870, n_9871;
-  wire n_9872, n_9873, n_9874, n_9875, n_9876, n_9877, n_9878, n_9879;
-  wire n_9880, n_9881, n_9882, n_9883, n_9884, n_9885, n_9886, n_9887;
-  wire n_9888, n_9889, n_9890, n_9891, n_9892, n_9893, n_9894, n_9895;
-  wire n_9896, n_9897, n_9898, n_9899, n_9900, n_9901, n_9902, n_9903;
-  wire n_9904, n_9905, n_9906, n_9907, n_9908, n_9909, n_9910, n_9911;
-  wire n_9912, n_9913, n_9914, n_9915, n_9916, n_9917, n_9918, n_9919;
-  wire n_9920, n_9921, n_9922, n_9923, n_9924, n_9925, n_9926, n_9927;
-  wire n_9928, n_9929, n_9930, n_9931, n_9932, n_9933, n_9934, n_9935;
-  wire n_9936, n_9937, n_9938, n_9939, n_9940, n_9941, n_9942, n_9943;
-  wire n_9944, n_9945, n_9946, n_9947, n_9948, n_9949, n_9950, n_9951;
-  wire n_9952, n_9953, n_9954, n_9955, n_9956, n_9957, n_9958, n_9959;
-  wire n_9960, n_9961, n_9962, n_9963, n_9964, n_9965, n_9966, n_9967;
-  wire n_9968, n_9969, n_9970, n_9971, n_9972, n_9973, n_9974, n_9975;
-  wire n_9976, n_9977, n_9978, n_9979, n_9980, n_9981, n_9982, n_9983;
-  wire n_9984, n_9985, n_9986, n_9987, n_9988, n_9989, n_9990, n_9991;
-  wire n_9992, n_9993, n_9994, n_9995, n_9996, n_9997, n_9998, n_9999;
-  wire n_10000, n_10001, n_10002, n_10003, n_10004, n_10005, n_10006,
-       n_10007;
-  wire n_10008, n_10009, n_10010, n_10011, n_10012, n_10013, n_10014,
-       n_10015;
-  wire n_10016, n_10017, n_10018, n_10019, n_10020, n_10021, n_10022,
-       n_10023;
-  wire n_10024, n_10025, n_10026, n_10027, n_10028, n_10029, n_10030,
-       n_10031;
-  wire n_10032, n_10033, n_10034, n_10035, n_10036, n_10037, n_10038,
-       n_10039;
-  wire n_10040, n_10041, n_10042, n_10043, n_10044, n_10045, n_10046,
-       n_10047;
-  wire n_10048, n_10049, n_10050, n_10051, n_10052, n_10053, n_10054,
-       n_10055;
-  wire n_10056, n_10057, n_10058, n_10059, n_10060, n_10061, n_10062,
-       n_10063;
-  wire n_10064, n_10065, n_10066, n_10067, n_10068, n_10069, n_10070,
-       n_10071;
-  wire n_10072, n_10073, n_10074, n_10075, n_10076, n_10077, n_10078,
-       n_10079;
-  wire n_10080, n_10081, n_10082, n_10083, n_10084, n_10085, n_10086,
-       n_10087;
-  wire n_10088, n_10089, n_10090, n_10091, n_10092, n_10093, n_10094,
-       n_10095;
-  wire n_10096, n_10097, n_10098, n_10099, n_10100, n_10101, n_10102,
-       n_10103;
-  wire n_10104, n_10105, n_10106, n_10107, n_10108, n_10109, n_10110,
-       n_10111;
-  wire n_10112, n_10113, n_10114, n_10115, n_10116, n_10117, n_10118,
-       n_10119;
-  wire n_10120, n_10121, n_10122, n_10123, n_10124, n_10125, n_10126,
-       n_10127;
-  wire n_10128, n_10129, n_10130, n_10131, n_10132, n_10133, n_10134,
-       n_10135;
-  wire n_10136, n_10137, n_10138, n_10139, n_10140, n_10141, n_10142,
-       n_10143;
-  wire n_10144, n_10145, n_10146, n_10147, n_10148, n_10150, n_10151,
-       n_10152;
-  wire n_10153, n_10154, n_10155, n_10156, n_10157, n_10158, n_10159,
-       n_10160;
-  wire n_10161, n_10162, n_10163, n_10164, n_10165, n_10166, n_10167,
-       n_10168;
-  wire n_10169, n_10170, n_10171, n_10172, n_10173, n_10174, n_10175,
-       n_10176;
-  wire n_10177, n_10178, n_10179, n_10180, n_10181, n_10182, n_10183,
-       n_10184;
-  wire n_10185, n_10186, n_10187, n_10188, n_10189, n_10190, n_10191,
-       n_10192;
-  wire n_10193, n_10194, n_10195, n_10196, n_10197, n_10198, n_10199,
-       n_10200;
-  wire n_10201, n_10202, n_10203, n_10204, n_10205, n_10206, n_10207,
-       n_10208;
-  wire n_10209, n_10210, n_10211, n_10212, n_10213, n_10214, n_10215,
-       n_10216;
-  wire n_10217, n_10218, n_10219, n_10220, n_10221, n_10222, n_10223,
-       n_10224;
-  wire n_10225, n_10226, n_10227, n_10228, n_10229, n_10230, n_10231,
-       n_10232;
-  wire n_10233, n_10234, n_10235, n_10236, n_10237, n_10238, n_10239,
-       n_10240;
-  wire n_10241, n_10242, n_10243, n_10244, n_10245, n_10246, n_10247,
-       n_10248;
-  wire n_10249, n_10250, n_10251, n_10252, n_10253, n_10254, n_10255,
-       n_10256;
-  wire n_10257, n_10258, n_10259, n_10260, n_10261, n_10262, n_10263,
-       n_10264;
-  wire n_10265, n_10266, n_10267, n_10268, n_10269, n_10270, n_10271,
-       n_10272;
-  wire n_10273, n_10274, n_10275, n_10276, n_10277, n_10278, n_10279,
-       n_10280;
-  wire n_10281, n_10282, n_10283, n_10284, n_10285, n_10286, n_10287,
-       n_10288;
-  wire n_10289, n_10290, n_10291, n_10292, n_10293, n_10294, n_10295,
-       n_10296;
-  wire n_10297, n_10298, n_10299, n_10300, n_10301, n_10302, n_10303,
-       n_10304;
-  wire n_10305, n_10306, n_10307, n_10308, n_10309, n_10310, n_10311,
-       n_10312;
-  wire n_10313, n_10314, n_10315, n_10316, n_10317, n_10318, n_10319,
-       n_10320;
-  wire n_10321, n_10322, n_10323, n_10324, n_10325, n_10326, n_10327,
-       n_10328;
-  wire n_10330, n_10333, n_10334, n_10335, n_10336, n_10337, n_10338,
-       n_10339;
-  wire n_10340, n_10341, n_10342, n_10343, n_10344, n_10345, n_10346,
-       n_10347;
-  wire n_10348, n_10349, n_10350, n_10351, n_10352, n_10353, n_10354,
-       n_10355;
-  wire n_10356, n_10357, n_10358, n_10359, n_10360, n_10361, n_10362,
-       n_10363;
-  wire n_10364, n_10365, n_10366, n_10367, n_10368, n_10369, n_10370,
-       n_10371;
-  wire n_10372, n_10373, n_10374, n_10375, n_10376, n_10377, n_10378,
-       n_10379;
-  wire n_10380, n_10381, n_10382, n_10383, n_10384, n_10385, n_10386,
-       n_10387;
-  wire n_10388, n_10389, n_10390, n_10391, n_10392, n_10393, n_10394,
-       n_10395;
-  wire n_10396, n_10397, n_10398, n_10399, n_10400, n_10401, n_10402,
-       n_10403;
-  wire n_10404, n_10405, n_10406, n_10407, n_10408, n_10409, n_10410,
-       n_10411;
-  wire n_10412, n_10413, n_10414, n_10415, n_10416, n_10417, n_10418,
-       n_10419;
-  wire n_10420, n_10421, n_10422, n_10423, n_10424, n_10425, n_10426,
-       n_10427;
-  wire n_10428, n_10429, n_10430, n_10431, n_10432, n_10433, n_10434,
-       n_10435;
-  wire n_10436, n_10437, n_10438, n_10439, n_10440, n_10441, n_10442,
-       n_10443;
-  wire n_10444, n_10445, n_10446, n_10447, n_10448, n_10449, n_10450,
-       n_10451;
-  wire n_10452, n_10453, n_10454, n_10455, n_10456, n_10457, n_10458,
-       n_10459;
-  wire n_10460, n_10461, n_10462, n_10463, n_10464, n_10465, n_10466,
-       n_10467;
-  wire n_10468, n_10469, n_10470, n_10471, n_10472, n_10473, n_10474,
-       n_10475;
-  wire n_10476, n_10477, n_10478, n_10479, n_10480, n_10481, n_10482,
-       n_10483;
-  wire n_10484, n_10485, n_10486, n_10487, n_10488, n_10489, n_10490,
-       n_10491;
-  wire n_10492, n_10493, n_10494, n_10495, n_10496, n_10497, n_10498,
-       n_10499;
-  wire n_10500, n_10501, n_10502, n_10503, n_10504, n_10505, n_10506,
-       n_10507;
-  wire n_10508, n_10509, n_10510, n_10511, n_10512, n_10513, n_10514,
-       n_10515;
-  wire n_10516, n_10517, n_10518, n_10519, n_10520, n_10521, n_10522,
-       n_10523;
-  wire n_10524, n_10525, n_10526, n_10527, n_10528, n_10529, n_10530,
-       n_10531;
-  wire n_10532, n_10533, n_10534, n_10535, n_10536, n_10537, n_10538,
-       n_10539;
-  wire n_10540, n_10541, n_10542, n_10543, n_10544, n_10545, n_10546,
-       n_10547;
-  wire n_10548, n_10549, n_10550, n_10551, n_10552, n_10553, n_10554,
-       n_10555;
-  wire n_10556, n_10557, n_10558, n_10559, n_10560, n_10561, n_10562,
-       n_10563;
-  wire n_10564, n_10565, n_10566, n_10567, n_10568, n_10569, n_10570,
-       n_10573;
-  wire n_10574, n_10575, n_10576, n_10577, n_10578, n_10579, n_10580,
-       n_10581;
-  wire n_10582, n_10583, n_10584, n_10585, n_10586, n_10587, n_10588,
-       n_10589;
-  wire n_10590, n_10591, n_10592, n_10593, n_10594, n_10595, n_10596,
-       n_10597;
-  wire n_10598, n_10599, n_10600, n_10601, n_10602, n_10603, n_10604,
-       n_10605;
-  wire n_10606, n_10607, n_10608, n_10609, n_10610, n_10611, n_10612,
-       n_10613;
-  wire n_10614, n_10615, n_10616, n_10617, n_10618, n_10619, n_10620,
-       n_10621;
-  wire n_10622, n_10623, n_10624, n_10625, n_10626, n_10627, n_10628,
-       n_10629;
-  wire n_10630, n_10631, n_10632, n_10633, n_10634, n_10635, n_10636,
-       n_10637;
-  wire n_10638, n_10639, n_10640, n_10641, n_10642, n_10643, n_10644,
-       n_10645;
-  wire n_10646, n_10647, n_10648, n_10649, n_10650, n_10651, n_10652,
-       n_10653;
-  wire n_10655, n_10656, n_10657, n_10658, n_10659, n_10660, n_10661,
-       n_10662;
-  wire n_10663, n_10664, n_10665, n_10666, n_10667, n_10668, n_10669,
-       n_10670;
-  wire n_10671, n_10672, n_10673, n_10674, n_10675, n_10676, n_10677,
-       n_10678;
-  wire n_10679, n_10680, n_10681, n_10682, n_10683, n_10684, n_10685,
-       n_10686;
-  wire n_10687, n_10690, n_10691, n_10692, n_10695, n_10696, n_10697,
-       n_10698;
-  wire n_10699, n_10700, n_10701, n_10702, n_10703, n_10704, n_10705,
-       n_10706;
-  wire n_10707, n_10708, n_10709, n_10710, n_10711, n_10712, n_10713,
-       n_10714;
-  wire n_10715, n_10716, n_10717, n_10718, n_10719, n_10720, n_10721,
-       n_10722;
-  wire n_10723, n_10724, n_10725, n_10726, n_10727, n_10728, n_10729,
-       n_10730;
-  wire n_10731, n_10732, n_10733, n_10734, n_10735, n_10736, n_10737,
-       n_10738;
-  wire n_10739, n_10740, n_10741, n_10742, n_10743, n_10744, n_10745,
-       n_10746;
-  wire n_10747, n_10748, n_10749, n_10750, n_10751, n_10752, n_10753,
-       n_10754;
-  wire n_10755, n_10756, n_10757, n_10758, n_10759, n_10760, n_10761,
-       n_10762;
-  wire n_10763, n_10764, n_10765, n_10766, n_10767, n_10768, n_10769,
-       n_10770;
-  wire n_10771, n_10772, n_10773, n_10774, n_10775, n_10776, n_10777,
-       n_10778;
-  wire n_10779, n_10780, n_10781, n_10782, n_10783, n_10784, n_10785,
-       n_10786;
-  wire n_10787, n_10788, n_10789, n_10790, n_10791, n_10792, n_10793,
-       n_10794;
-  wire n_10795, n_10796, n_10797, n_10798, n_10799, n_10800, n_10801,
-       n_10802;
-  wire n_10803, n_10804, n_10805, n_10806, n_10807, n_10808, n_10809,
-       n_10810;
-  wire n_10811, n_10812, n_10813, n_10814, n_10815, n_10816, n_10817,
-       n_10818;
-  wire n_10819, n_10820, n_10821, n_10822, n_10823, n_10824, n_10825,
-       n_10826;
-  wire n_10827, n_10828, n_10829, n_10830, n_10831, n_10832, n_10833,
-       n_10834;
-  wire n_10835, n_10836, n_10837, n_10840, n_10841, n_10842, n_10843,
-       n_10844;
-  wire n_10845, n_10846, n_10847, n_10848, n_10849, n_10850, n_10851,
-       n_10852;
-  wire n_10853, n_10854, n_10855, n_10856, n_10857, n_10858, n_10859,
-       n_10860;
-  wire n_10861, n_10862, n_10863, n_10864, n_10865, n_10866, n_10867,
-       n_10868;
-  wire n_10869, n_10870, n_10871, n_10872, n_10873, n_10874, n_10875,
-       n_10876;
-  wire n_10877, n_10878, n_10879, n_10880, n_10881, n_10882, n_10883,
-       n_10884;
-  wire n_10885, n_10886, n_10887, n_10888, n_10889, n_10890, n_10891,
-       n_10892;
-  wire n_10893, n_10894, n_10895, n_10896, n_10897, n_10898, n_10899,
-       n_10900;
-  wire n_10901, n_10902, n_10903, n_10904, n_10905, n_10906, n_10907,
-       n_10908;
-  wire n_10909, n_10910, n_10911, n_10912, n_10913, n_10914, n_10915,
-       n_10916;
-  wire n_10917, n_10918, n_10919, n_10920, n_10921, n_10922, n_10923,
-       n_10924;
-  wire n_10925, n_10926, n_10927, n_10928, n_10929, n_10930, n_10931,
-       n_10932;
-  wire n_10933, n_10934, n_10935, n_10936, n_10937, n_10938, n_10939,
-       n_10940;
-  wire n_10941, n_10942, n_10943, n_10944, n_10945, n_10946, n_10947,
-       n_10948;
-  wire n_10949, n_10950, n_10951, n_10952, n_10953, n_10954, n_10955,
-       n_10956;
-  wire n_10957, n_10958, n_10960, n_10961, n_10962, n_10963, n_10964,
-       n_10965;
-  wire n_10966, n_10967, n_10968, n_10969, n_10970, n_10971, n_10972,
-       n_10973;
-  wire n_10974, n_10975, n_10976, n_10977, n_10978, n_10979, n_10980,
-       n_10981;
-  wire n_10982, n_10983, n_10984, n_10985, n_10986, n_10987, n_10988,
-       n_10989;
-  wire n_10990, n_10991, n_10992, n_10993, n_10994, n_10995, n_10996,
-       n_10997;
-  wire n_10998, n_10999, n_11000, n_11001, n_11002, n_11003, n_11004,
-       n_11005;
-  wire n_11006, n_11018, n_11019, n_11020, n_11021, n_11022, n_11028,
-       n_11031;
-  wire n_11032, n_11033, n_11034, n_11035, n_11036, n_11037, n_11038,
-       n_11039;
-  wire n_11040, n_11041, n_11043, n_11044, n_11045, n_11046, n_11054,
-       n_11058;
-  wire n_11086, n_11087, n_11088, n_11089, n_11090, n_11091, n_11092,
-       n_11093;
-  wire n_11094, n_11096, n_11098, n_11099, n_11100, n_11101, n_11102,
-       n_11104;
-  wire n_11105, n_11110, n_11111, n_11112, n_11113, n_11114, n_11121,
-       n_11122;
-  wire n_11123, n_11124, n_11125, n_11128, n_11129, n_11130, n_11132,
-       n_11133;
-  wire n_11134, n_11135, n_11137, n_11138, n_11139, n_11140, n_11141,
-       n_11142;
-  wire n_11143, n_11144, n_11145, n_11146, n_11147, n_11148, n_11149,
-       n_11151;
-  wire n_11152, n_11153, n_11154, n_11155, n_11156, n_11157, n_11158,
-       n_11159;
-  wire n_11160, n_11161, n_11164, n_11165, n_11166, n_11167, n_11168,
-       n_11169;
-  wire n_11170, n_11171, n_11172, n_11173, n_11174, n_11175, n_11176,
-       n_11179;
-  wire n_11181, n_11207, n_11208, n_11209, n_11210, n_11212, n_11213,
-       n_11214;
-  wire n_11215, n_11216, n_11217, n_11218, n_11219, n_11220, n_11221,
-       n_11222;
-  wire n_11223, n_11224, n_11225, n_11226, n_11227, n_11228, n_11229,
-       n_11230;
-  wire n_11231, n_11232, n_11233, n_11234, n_11235, n_11236, n_11237,
-       n_11238;
-  wire n_11239, n_11240, n_11241, n_11242, n_11243, n_11244, n_11245,
-       n_11246;
-  wire n_11247, n_11248, n_11249, n_11250, n_11251, n_11252, n_11253,
-       n_11254;
-  wire n_11255, n_11256, n_11257, n_11258, n_11259, n_11260, n_11261,
-       n_11262;
-  wire n_11265, n_11266, n_11268, n_11269, n_11271, n_11272, n_11273,
-       n_11274;
-  wire n_11275, n_11276, n_11277, n_11278, n_11279, n_11280, n_11281,
-       n_11282;
-  wire n_11283, n_11284, n_11286, n_11287, n_11289, n_11290, n_11291,
-       n_11294;
-  wire n_11295, n_11296, n_11298, n_11299, n_11300, n_11302, n_11303,
-       n_11304;
-  wire n_11306, n_11307, n_11310, n_11311, n_11312, n_11313, n_11314,
-       n_11315;
-  wire n_11316, n_11317, n_11318, n_11319, n_11320, n_11321, n_11322,
-       n_11323;
-  wire n_11324, n_11325, n_11326, n_11327, n_11328, n_11329, n_11330,
-       n_11331;
-  wire n_11332, n_11333, n_11334, n_11335, n_11336, n_11337, n_11338,
-       n_11339;
-  wire n_11340, n_11341, n_11342, n_11343, n_11344, n_11345, n_11346,
-       n_11347;
-  wire n_11348, n_11349, n_11350, n_11351, n_11352, n_11353, n_11354,
-       n_11355;
-  wire n_11356, n_11357, n_11360, n_11361, n_11362, n_11363, n_11364,
-       n_11365;
-  wire n_11366, n_11367, n_11368, n_11369, n_11370, n_11371, n_11372,
-       n_11373;
-  wire n_11374, n_11375, n_11376, n_11377, n_11378, n_11379, n_11380,
-       n_11381;
-  wire n_11382, n_11383, n_11384, n_11385, n_11386, n_11388, n_11389,
-       n_11390;
-  wire n_11391, n_11392, n_11393, n_11395, n_11396, n_11397, n_11398,
-       n_11399;
-  wire n_11400, n_11401, n_11411, n_11412, n_11413, n_11414, n_11415,
-       n_11418;
-  wire n_11421, n_11422, n_11423, n_11425, n_11428, n_11431, n_11432,
-       n_11433;
-  wire n_11434, n_11435, n_11438, n_11439, n_11440, n_11441, n_11442,
-       n_11443;
-  wire n_11446, n_11447, n_11450, n_11451, n_11452, n_11455, n_11456,
-       n_11457;
-  wire n_11458, n_11459, n_11460, n_11461, n_11462, n_11463, n_11464,
-       n_11465;
-  wire n_11466, n_11467, n_11468, n_11469, n_11470, n_11471, n_11472,
-       n_11473;
-  wire n_11474, n_11475, n_11476, n_11478, n_11479, n_11480, n_11482,
-       n_11483;
-  wire n_11513, n_11514, n_11515, n_11516, n_11517, n_11518, n_11550,
-       n_11552;
-  wire n_11553, n_11554, n_11555, n_11556, n_11557, n_11558, n_11559,
-       n_11561;
-  wire n_11563, n_11564, n_11566, n_11567, n_11568, n_11569, n_11570,
-       n_11571;
-  wire n_11572, n_11573, n_11574, n_11579, n_11580, n_11581, n_11593,
-       n_11625;
-  wire n_11626, n_11628, n_11629, n_11631, n_11632, n_11633, n_11635,
-       n_11636;
-  wire n_11637, n_11646, n_11647, n_11648, n_11651, n_11652, n_11653,
-       n_11654;
-  wire n_11655, n_11661, n_11662, n_11667, n_11668, n_11669, n_11670,
-       n_11671;
-  wire n_11672, n_11673, n_11674, n_11675, n_11676, n_11677, n_11678,
-       n_11679;
-  wire n_11680, n_11681, n_11682, n_11684, n_11685, n_11686, n_11687,
-       n_11688;
-  wire n_11689, n_11690, n_11691, n_11692, n_11694, n_11695, n_11696,
-       n_11697;
-  wire n_11698, n_11699, n_11700, n_11701, n_11702, n_11703, n_11704,
-       n_11705;
-  wire n_11706, n_11708, n_11709, n_11710, n_11711, n_11712, n_11713,
-       n_11714;
-  wire n_11715, n_11716, n_11717, n_11719, n_11720, n_11721, n_11722,
-       n_11723;
-  wire n_11724, n_11725, n_11726, n_11727, n_11728, n_11730, n_11731,
-       n_11732;
-  wire n_11733, n_11734, n_11735, n_11736, n_11737, n_11738, n_11739,
-       n_11740;
-  wire n_11742, n_11743, n_11744, n_11745, n_11746, n_11748, n_11749,
-       n_11751;
-  wire n_11753, n_11754, n_11756, n_11757, n_11761, n_11762, n_11763,
-       n_11765;
-  wire n_11769, n_11770, n_11771, n_11772, n_11773, n_11777, n_11780,
-       n_11781;
-  wire n_11783, n_11785, n_11786, n_11787, n_11788, n_11791, n_11795,
-       n_11796;
-  wire n_11797, n_11798, n_11799, n_11800, n_11801, n_11802, n_11804,
-       n_11805;
-  wire n_11807, n_11809, n_11810, n_11811, n_11813, n_11814, n_11815,
-       n_11816;
-  wire n_11817, n_11818, n_11819, n_11820, n_11822, n_11824, n_11825,
-       n_11826;
-  wire n_11827, n_11828, n_11829, n_11830, n_11831, n_11832, n_11833,
-       n_11834;
-  wire n_11835, n_11836, n_11837, n_11838, n_11839, n_11841, n_11842,
-       n_11843;
-  wire n_11844, n_11845, n_11846, n_11847, n_11848, n_11850, n_11851,
-       n_11852;
-  wire n_11853, n_11854, n_11855, n_11856, n_11857, n_11858, n_11859,
-       n_11860;
-  wire n_11861, n_11862, n_11863, n_11864, n_11865, n_11866, n_11867,
-       n_11868;
-  wire n_11869, n_11871, n_11872, n_11873, n_11874, n_11875, n_11876,
-       n_11877;
-  wire n_11878, n_11879, n_11880, n_11881, n_11882, n_11883, n_11884,
-       n_11885;
-  wire n_11886, n_11887, n_11888, n_11889, n_11890, n_11892, n_11893,
-       n_11895;
-  wire n_11896, n_11897, n_11898, n_11899, n_11900, n_11901, n_11902,
-       n_11903;
-  wire n_11904, n_11905, n_11906, n_11907, n_11908, n_11909, n_11917,
-       n_11918;
-  wire n_11919, n_11924, n_11925, n_11931, n_11932, n_11933, n_11934,
-       n_11936;
-  wire n_11938, n_11939, n_11940, n_11941, n_11944, n_11945, n_11946,
-       n_11947;
-  wire n_11948, n_11949, n_11950, n_11951, n_11952, n_11953, n_11954,
-       n_11955;
-  wire n_11956, n_11957, n_11958, n_11959, n_11960, n_11961, n_11962,
-       n_11963;
-  wire n_11964, n_11965, n_11966, n_11967, n_11968, n_11969, n_11970,
-       n_11971;
-  wire n_11972, n_11973, n_11974, n_11975, n_11976, n_11977, n_11978,
-       n_11979;
-  wire n_11980, n_11981, n_11982, n_11983, n_11984, n_11985, n_11986,
-       n_11987;
-  wire n_11988, n_11989, n_11990, n_11991, n_11992, n_11993, n_11994,
-       n_11995;
-  wire n_11996, n_11997, n_11998, n_11999, n_12000, n_12001, n_12002,
-       n_12005;
-  wire n_12007, n_12008, n_12009, n_12010, n_12011, n_12012, n_12016,
-       n_12017;
-  wire n_12018, n_12019, n_12020, n_12021, n_12022, n_12024, n_12025,
-       n_12027;
-  wire n_12029, n_12030, n_12031, n_12032, n_12033, n_12034, n_12035,
-       n_12036;
-  wire n_12037, n_12039, n_12040, n_12041, n_12042, n_12044, n_12045,
-       n_12046;
-  wire n_12047, n_12048, n_12049, n_12050, n_12051, n_12052, n_12055,
-       n_12056;
-  wire n_12057, n_12058, n_12059, n_12060, n_12061, n_12062, n_12063,
-       n_12064;
-  wire n_12065, n_12066, n_12067, n_12068, n_12069, n_12070, n_12071,
-       n_12072;
-  wire n_12073, n_12074, n_12075, n_12076, n_12077, n_12079, n_12080,
-       n_12081;
-  wire n_12082, n_12083, n_12084, n_12085, n_12086, n_12087, n_12088,
-       n_12089;
-  wire n_12090, n_12091, n_12092, n_12093, n_12094, n_12095, n_12096,
-       n_12097;
-  wire n_12098, n_12099, n_12100, n_12101, n_12102, n_12103, n_12104,
-       n_12105;
-  wire n_12106, n_12107, n_12108, n_12109, n_12110, n_12111, n_12112,
-       n_12113;
-  wire n_12114, n_12115, n_12116, n_12117, n_12118, n_12120, n_12122,
-       n_12123;
-  wire n_12124, n_12125, n_12126, n_12127, n_12128, n_12129, n_12130,
-       n_12131;
-  wire n_12132, n_12133, n_12134, n_12135, n_12136, n_12137, n_12138,
-       n_12139;
-  wire n_12140, n_12141, n_12142, n_12143, n_12144, n_12145, n_12146,
-       n_12147;
-  wire n_12148, n_12150, n_12151, n_12152, n_12153, n_12154, n_12155,
-       n_12156;
-  wire n_12157, n_12158, n_12159, n_12160, n_12161, n_12162, n_12163,
-       n_12164;
-  wire n_12165, n_12166, n_12167, n_12168, n_12169, n_12170, n_12172,
-       n_12174;
-  wire n_12175, n_12176, n_12177, n_12178, n_12179, n_12180, n_12181,
-       n_12182;
-  wire n_12183, n_12184, n_12186, n_12187, n_12188, n_12189, n_12190,
-       n_12191;
-  wire n_12192, n_12193, n_12194, n_12195, n_12196, n_12197, n_12198,
-       n_12199;
-  wire n_12200, n_12201, n_12202, n_12203, n_12204, n_12205, n_12206,
-       n_12207;
-  wire n_12208, n_12209, n_12210, n_12211, n_12212, n_12213, n_12214,
-       n_12215;
-  wire n_12216, n_12217, n_12218, n_12219, n_12221, n_12222, n_12223,
-       n_12224;
-  wire n_12225, n_12226, n_12227, n_12228, n_12229, n_12230, n_12231,
-       n_12232;
-  wire n_12233, n_12234, n_12235, n_12236, n_12237, n_12238, n_12239,
-       n_12240;
-  wire n_12241, n_12242, n_12243, n_12244, n_12245, n_12246, n_12247,
-       n_12248;
-  wire n_12249, n_12250, n_12251, n_12252, n_12253, n_12254, n_12255,
-       n_12256;
-  wire n_12257, n_12259, n_12260, n_12261, n_12262, n_12263, n_12264,
-       n_12265;
-  wire n_12266, n_12267, n_12268, n_12269, n_12270, n_12271, n_12272,
-       n_12273;
-  wire n_12274, n_12275, n_12276, n_12277, n_12278, n_12279, n_12280,
-       n_12281;
-  wire n_12282, n_12283, n_12284, n_12285, n_12286, n_12287, n_12288,
-       n_12289;
-  wire n_12290, n_12291, n_12292, n_12293, n_12294, n_12295, n_12296,
-       n_12297;
-  wire n_12298, n_12299, n_12300, n_12301, n_12302, n_12303, n_12304,
-       n_12305;
-  wire n_12306, n_12307, n_12308, n_12309, n_12310, n_12311, n_12312,
-       n_12313;
-  wire n_12314, n_12315, n_12316, n_12317, n_12318, n_12319, n_12320,
-       n_12321;
-  wire n_12322, n_12323, n_12324, n_12325, n_12327, n_12328, n_12329,
-       n_12330;
-  wire n_12331, n_12332, n_12333, n_12334, n_12335, n_12336, n_12337,
-       n_12338;
-  wire n_12340, n_12341, n_12342, n_12344, n_12345, n_12346, n_12347,
-       n_12348;
-  wire n_12349, n_12350, n_12351, n_12352, n_12353, n_12354, n_12355,
-       n_12356;
-  wire n_12357, n_12358, n_12359, n_12360, n_12361, n_12362, n_12363,
-       n_12364;
-  wire n_12365, n_12366, n_12367, n_12368, n_12369, n_12370, n_12371,
-       n_12372;
-  wire n_12374, n_12375, n_12376, n_12377, n_12378, n_12379, n_12380,
-       n_12381;
-  wire n_12382, n_12383, n_12384, n_12385, n_12386, n_12387, n_12388,
-       n_12389;
-  wire n_12390, n_12391, n_12392, n_12393, n_12394, n_12395, n_12396,
-       n_12397;
-  wire n_12398, n_12399, n_12400, n_12401, n_12402, n_12403, n_12404,
-       n_12405;
-  wire n_12406, n_12407, n_12408, n_12409, n_12410, n_12411, n_12412,
-       n_12413;
-  wire n_12414, n_12415, n_12416, n_12417, n_12418, n_12419, n_12420,
-       n_12421;
-  wire n_12422, n_12423, n_12424, n_12425, n_12426, n_12427, n_12428,
-       n_12429;
-  wire n_12430, n_12431, n_12432, n_12433, n_12434, n_12435, n_12436,
-       n_12437;
-  wire n_12438, n_12439, n_12440, n_12441, n_12442, n_12443, n_12444,
-       n_12445;
-  wire n_12446, n_12447, n_12448, n_12449, n_12450, n_12451, n_12452,
-       n_12453;
-  wire n_12454, n_12455, n_12456, n_12457, n_12458, n_12459, n_12460,
-       n_12461;
-  wire n_12462, n_12463, n_12464, n_12467, n_12469, n_12472, n_12473,
-       n_12474;
-  wire n_12477, n_12479, n_12480, n_12483, n_12484, n_12487, n_12491,
-       n_12492;
-  wire n_12493, n_12495, n_12497, n_12498, n_12500, n_12501, n_12502,
-       n_12503;
-  wire n_12504, n_12505, n_12506, n_12507, n_12508, n_12509, n_12510,
-       n_12512;
-  wire n_12513, n_12514, n_12515, n_12516, n_12517, n_12518, n_12519,
-       n_12520;
-  wire n_12521, n_12522, n_12523, n_12524, n_12525, n_12526, n_12527,
-       n_12528;
-  wire n_12529, n_12530, n_12531, n_12532, n_12533, n_12534, n_12535,
-       n_12536;
-  wire n_12537, n_12538, n_12539, n_12540, n_12541, n_12542, n_12543,
-       n_12544;
-  wire n_12545, n_12546, n_12547, n_12548, n_12549, n_12550, n_12551,
-       n_12552;
-  wire n_12553, n_12554, n_12555, n_12556, n_12557, n_12558, n_12559,
-       n_12560;
-  wire n_12561, n_12562, n_12563, n_12564, n_12565, n_12566, n_12567,
-       n_12568;
-  wire n_12569, n_12570, n_12571, n_12572, n_12573, n_12574, n_12575,
-       n_12576;
-  wire n_12577, n_12578, n_12579, n_12580, n_12581, n_12582, n_12583,
-       n_12584;
-  wire n_12585, n_12586, n_12587, n_12588, n_12589, n_12590, n_12591,
-       n_12592;
-  wire n_12593, n_12594, n_12595, n_12596, n_12597, n_12598, n_12599,
-       n_12600;
-  wire n_12602, n_12603, n_12604, n_12605, n_12606, n_12607, n_12608,
-       n_12609;
-  wire n_12610, n_12611, n_12612, n_12613, n_12614, n_12615, n_12616,
-       n_12617;
-  wire n_12618, n_12619, n_12620, n_12621, n_12623, n_12624, n_12626,
-       n_12627;
-  wire n_12628, n_12629, n_12630, n_12631, n_12632, n_12633, n_12635,
-       n_12636;
-  wire n_12638, n_12639, n_12640, n_12641, n_12642, n_12643, n_12644,
-       n_12645;
-  wire n_12646, n_12647, n_12648, n_12649, n_12650, n_12651, n_12652,
-       n_12653;
-  wire n_12654, n_12655, n_12656, n_12657, n_12658, n_12659, n_12660,
-       n_12661;
-  wire n_12662, n_12663, n_12664, n_12665, n_12666, n_12668, n_12669,
-       n_12671;
-  wire n_12672, n_12673, n_12674, n_12675, n_12676, n_12677, n_12678,
-       n_12679;
-  wire n_12680, n_12681, n_12683, n_12684, n_12685, n_12686, n_12687,
-       n_12688;
-  wire n_12689, n_12690, n_12691, n_12692, n_12693, n_12694, n_12695,
-       n_12696;
-  wire n_12697, n_12698, n_12699, n_12700, n_12701, n_12702, n_12703,
-       n_12704;
-  wire n_12705, n_12706, n_12707, n_12708, n_12709, n_12710, n_12711,
-       n_12712;
-  wire n_12713, n_12714, n_12715, n_12716, n_12717, n_12718, n_12719,
-       n_12720;
-  wire n_12721, n_12722, n_12723, n_12724, n_12725, n_12726, n_12727,
-       n_12728;
-  wire n_12729, n_12730, n_12731, n_12732, n_12733, n_12734, n_12735,
-       n_12736;
-  wire n_12737, n_12738, n_12739, n_12740, n_12741, n_12742, n_12743,
-       n_12744;
-  wire n_12745, n_12746, n_12747, n_12748, n_12749, n_12750, n_12751,
-       n_12752;
-  wire n_12753, n_12754, n_12755, n_12756, n_12757, n_12758, n_12759,
-       n_12761;
-  wire n_12762, n_12763, n_12764, n_12765, n_12766, n_12767, n_12768,
-       n_12769;
-  wire n_12770, n_12771, n_12772, n_12773, n_12774, n_12775, n_12776,
-       n_12777;
-  wire n_12778, n_12779, n_12780, n_12781, n_12782, n_12783, n_12784,
-       n_12785;
-  wire n_12786, n_12788, n_12790, n_12792, n_12793, n_12794, n_12795,
-       n_12796;
-  wire n_12797, n_12798, n_12799, n_12800, n_12801, n_12802, n_12803,
-       n_12804;
-  wire n_12805, n_12806, n_12807, n_12808, n_12809, n_12810, n_12811,
-       n_12814;
-  wire n_12815, n_12816, n_12817, n_12818, n_12819, n_12821, n_12822,
-       n_12825;
-  wire n_12826, n_12829, n_12830, n_12831, n_12832, n_12833, n_12834,
-       n_12835;
-  wire n_12836, n_12837, n_12838, n_12839, n_12841, n_12842, n_12843,
-       n_12844;
-  wire n_12845, n_12846, n_12847, n_12848, n_12849, n_12850, n_12851,
-       n_12852;
-  wire n_12853, n_12854, n_12855, n_12856, n_12857, n_12858, n_12859,
-       n_12860;
-  wire n_12861, n_12862, n_12863, n_12864, n_12865, n_12866, n_12867,
-       n_12868;
-  wire n_12869, n_12870, n_12871, n_12872, n_12873, n_12874, n_12875,
-       n_12876;
-  wire n_12877, n_12878, n_12879, n_12880, n_12881, n_12882, n_12883,
-       n_12884;
-  wire n_12885, n_12886, n_12887, n_12888, n_12889, n_12890, n_12891,
-       n_12892;
-  wire n_12893, n_12894, n_12895, n_12896, n_12897, n_12898, n_12899,
-       n_12900;
-  wire n_12901, n_12902, n_12903, n_12904, n_12905, n_12906, n_12907,
-       n_12908;
-  wire n_12909, n_12910, n_12911, n_12912, n_12913, n_12914, n_12915,
-       n_12916;
-  wire n_12917, n_12918, n_12919, n_12920, n_12921, n_12922, n_12923,
-       n_12924;
-  wire n_12925, n_12926, n_12927, n_12928, n_12929, n_12930, n_12931,
-       n_12932;
-  wire n_12933, n_12934, n_12935, n_12936, n_12937, n_12938, n_12939,
-       n_12940;
-  wire n_12941, n_12942, n_12943, n_12944, n_12945, n_12946, n_12947,
-       n_12948;
-  wire n_12949, n_12950, n_12951, n_12952, n_12953, n_12954, n_12955,
-       n_12956;
-  wire n_12957, n_12958, n_12959, n_12960, n_12961, n_12962, n_12963,
-       n_12964;
-  wire n_12965, n_12966, n_12967, n_12968, n_12969, n_12970, n_12971,
-       n_12972;
-  wire n_12973, n_12974, n_12975, n_12976, n_12977, n_12978, n_12979,
-       n_12980;
-  wire n_12981, n_12982, n_12983, n_12984, n_12985, n_12986, n_12987,
-       n_12988;
-  wire n_12989, n_12990, n_12991, n_12992, n_12993, n_12994, n_12995,
-       n_12996;
-  wire n_12997, n_12998, n_12999, n_13000, n_13001, n_13002, n_13004,
-       n_13005;
-  wire n_13006, n_13007, n_13008, n_13009, n_13010, n_13011, n_13012,
-       n_13013;
-  wire n_13014, n_13015, n_13016, n_13017, n_13018, n_13019, n_13020,
-       n_13021;
-  wire n_13022, n_13023, n_13024, n_13025, n_13026, n_13027, n_13028,
-       n_13029;
-  wire n_13030, n_13031, n_13032, n_13033, n_13034, n_13035, n_13036,
-       n_13037;
-  wire n_13038, n_13039, n_13040, n_13041, n_13042, n_13043, n_13044,
-       n_13045;
-  wire n_13046, n_13047, n_13048, n_13049, n_13051, n_13052, n_13053,
-       n_13054;
-  wire n_13055, n_13056, n_13057, n_13058, n_13059, n_13060, n_13061,
-       n_13062;
-  wire n_13063, n_13064, n_13065, n_13067, n_13068, n_13069, n_13070,
-       n_13073;
-  wire n_13074, n_13075, n_13076, n_13077, n_13078, n_13079, n_13080,
-       n_13081;
-  wire n_13082, n_13083, n_13084, n_13085, n_13086, n_13087, n_13088,
-       n_13089;
-  wire n_13090, n_13091, n_13092, n_13093, n_13094, n_13096, n_13097,
-       n_13098;
-  wire n_13099, n_13100, n_13101, n_13102, n_13103, n_13105, n_13106,
-       n_13107;
-  wire n_13108, n_13111, n_13112, n_13113, n_13114, n_13115, n_13116,
-       n_13117;
-  wire n_13118, n_13119, n_13120, n_13121, n_13122, n_13123, n_13124,
-       n_13125;
-  wire n_13126, n_13127, n_13128, n_13134, n_13142, n_13143, n_13144,
-       n_13145;
-  wire n_13146, n_13147, n_13148, n_13149, n_13150, n_13151, n_13152,
-       n_13153;
-  wire n_13154, n_13155, n_13157, n_13158, n_13159, n_13160, n_13161,
-       n_13162;
-  wire n_13163, n_13164, n_13165, n_13166, n_13167, n_13168, n_13169,
-       n_13170;
-  wire n_13171, n_13172, n_13173, n_13174, n_13175, n_13176, n_13177,
-       n_13178;
-  wire n_13179, n_13180, n_13181, n_13182, n_13183, n_13184, n_13185,
-       n_13186;
-  wire n_13187, n_13188, n_13189, n_13190, n_13191, n_13192, n_13193,
-       n_13194;
-  wire n_13195, n_13196, n_13197, n_13198, n_13199, n_13200, n_13201,
-       n_13202;
-  wire n_13204, n_13205, n_13206, n_13207, n_13208, n_13209, n_13210,
-       n_13211;
-  wire n_13212, n_13213, n_13214, n_13215, n_13216, n_13218, n_13219,
-       n_13220;
-  wire n_13221, n_13222, n_13223, n_13224, n_13225, n_13226, n_13227,
-       n_13228;
-  wire n_13229, n_13230, n_13231, n_13232, n_13233, n_13234, n_13235,
-       n_13236;
-  wire n_13237, n_13238, n_13239, n_13240, n_13241, n_13242, n_13243,
-       n_13244;
-  wire n_13245, n_13246, n_13247, n_13248, n_13249, n_13250, n_13251,
-       n_13252;
-  wire n_13253, n_13254, n_13255, n_13256, n_13257, n_13258, n_13259,
-       n_13260;
-  wire n_13261, n_13262, n_13263, n_13264, n_13265, n_13266, n_13267,
-       n_13268;
-  wire n_13269, n_13270, n_13272, n_13273, n_13274, n_13275, n_13277,
-       n_13282;
-  wire n_13283, n_13284, n_13285, n_13286, n_13287, n_13288, n_13303,
-       n_13308;
-  wire n_13309, n_13310, n_13311, n_13312, n_13313, n_13314, n_13315,
-       n_13316;
-  wire n_13317, n_13318, n_13319, n_13320, n_13321, n_13322, n_13323,
-       n_13324;
-  wire n_13325, n_13326, n_13327, n_13328, n_13329, n_13331, n_13332,
-       n_13334;
-  wire n_13335, n_13336, n_13337, n_13338, n_13339, n_13340, n_13341,
-       n_13342;
-  wire n_13343, n_13344, n_13345, n_13346, n_13347, n_13348, n_13349,
-       n_13351;
-  wire n_13352, n_13353, n_13354, n_13355, n_13356, n_13357, n_13358,
-       n_13359;
-  wire n_13360, n_13361, n_13362, n_13363, n_13364, n_13365, n_13366,
-       n_13367;
-  wire n_13369, n_13370, n_13371, n_13373, n_13374, n_13375, n_13376,
-       n_13377;
-  wire n_13378, n_13379, n_13380, n_13381, n_13382, n_13383, n_13384,
-       n_13385;
-  wire n_13386, n_13387, n_13388, n_13389, n_13390, n_13391, n_13392,
-       n_13393;
-  wire n_13394, n_13395, n_13396, n_13397, n_13398, n_13399, n_13400,
-       n_13401;
-  wire n_13402, n_13403, n_13404, n_13405, n_13406, n_13407, n_13408,
-       n_13409;
-  wire n_13410, n_13411, n_13412, n_13413, n_13414, n_13415, n_13416,
-       n_13417;
-  wire n_13418, n_13419, n_13420, n_13421, n_13422, n_13423, n_13424,
-       n_13425;
-  wire n_13426, n_13427, n_13428, n_13429, n_13430, n_13431, n_13432,
-       n_13433;
-  wire n_13434, n_13435, n_13436, n_13437, n_13438, n_13439, n_13440,
-       n_13441;
-  wire n_13442, n_13443, n_13444, n_13445, n_13446, n_13447, n_13448,
-       n_13449;
-  wire n_13451, n_13452, n_13453, n_13454, n_13455, n_13456, n_13457,
-       n_13458;
-  wire n_13459, n_13460, n_13461, n_13462, n_13464, n_13465, n_13466,
-       n_13467;
-  wire n_13468, n_13469, n_13470, n_13471, n_13472, n_13473, n_13474,
-       n_13475;
-  wire n_13476, n_13477, n_13478, n_13479, n_13480, n_13481, n_13482,
-       n_13483;
-  wire n_13484, n_13485, n_13486, n_13487, n_13488, n_13489, n_13490,
-       n_13491;
-  wire n_13492, n_13493, n_13494, n_13495, n_13496, n_13497, n_13498,
-       n_13499;
-  wire n_13500, n_13501, n_13502, n_13503, n_13504, n_13505, n_13506,
-       n_13507;
-  wire n_13508, n_13509, n_13510, n_13511, n_13512, n_13513, n_13514,
-       n_13515;
-  wire n_13516, n_13517, n_13518, n_13519, n_13520, n_13521, n_13522,
-       n_13523;
-  wire n_13524, n_13525, n_13526, n_13527, n_13528, n_13529, n_13530,
-       n_13531;
-  wire n_13532, n_13533, n_13534, n_13535, n_13536, n_13538, n_13539,
-       n_13540;
-  wire n_13541, n_13542, n_13543, n_13544, n_13545, n_13546, n_13547,
-       n_13548;
-  wire n_13549, n_13550, n_13551, n_13552, n_13553, n_13554, n_13555,
-       n_13556;
-  wire n_13557, n_13558, n_13559, n_13560, n_13561, n_13562, n_13563,
-       n_13564;
-  wire n_13565, n_13566, n_13567, n_13568, n_13569, n_13570, n_13571,
-       n_13572;
-  wire n_13573, n_13574, n_13575, n_13576, n_13577, n_13578, n_13579,
-       n_13580;
-  wire n_13581, n_13582, n_13583, n_13584, n_13585, n_13586, n_13587,
-       n_13588;
-  wire n_13589, n_13590, n_13591, n_13592, n_13593, n_13594, n_13595,
-       n_13596;
-  wire n_13597, n_13598, n_13599, n_13600, n_13601, n_13602, n_13603,
-       n_13604;
-  wire n_13605, n_13606, n_13607, n_13608, n_13609, n_13610, n_13611,
-       n_13612;
-  wire n_13613, n_13614, n_13615, n_13616, n_13617, n_13618, n_13619,
-       n_13620;
-  wire n_13621, n_13622, n_13623, n_13624, n_13625, n_13626, n_13627,
-       n_13628;
-  wire n_13629, n_13630, n_13631, n_13632, n_13633, n_13634, n_13635,
-       n_13636;
-  wire n_13637, n_13638, n_13639, n_13640, n_13641, n_13642, n_13643,
-       n_13644;
-  wire n_13645, n_13646, n_13647, n_13648, n_13649, n_13650, n_13651,
-       n_13652;
-  wire n_13653, n_13654, n_13655, n_13656, n_13657, n_13658, n_13659,
-       n_13660;
-  wire n_13661, n_13662, n_13663, n_13664, n_13665, n_13666, n_13667,
-       n_13668;
-  wire n_13669, n_13670, n_13671, n_13672, n_13673, n_13674, n_13675,
-       n_13676;
-  wire n_13677, n_13678, n_13679, n_13680, n_13681, n_13682, n_13683,
-       n_13684;
-  wire n_13685, n_13686, n_13687, n_13688, n_13689, n_13690, n_13691,
-       n_13692;
-  wire n_13693, n_13694, n_13695, n_13696, n_13697, n_13698, n_13699,
-       n_13700;
-  wire n_13701, n_13702, n_13703, n_13704, n_13705, n_13706, n_13707,
-       n_13708;
-  wire n_13709, n_13710, n_13711, n_13712, n_13713, n_13714, n_13715,
-       n_13716;
-  wire n_13717, n_13718, n_13719, n_13720, n_13721, n_13722, n_13723,
-       n_13724;
-  wire n_13725, n_13726, n_13727, n_13728, n_13729, n_13730, n_13731,
-       n_13732;
-  wire n_13733, n_13734, n_13735, n_13736, n_13737, n_13738, n_13739,
-       n_13740;
-  wire n_13741, n_13743, n_13744, n_13745, n_13746, n_13747, n_13748,
-       n_13749;
-  wire n_13750, n_13751, n_13752, n_13753, n_13754, n_13755, n_13756,
-       n_13757;
-  wire n_13758, n_13759, n_13760, n_13761, n_13762, n_13763, n_13764,
-       n_13765;
-  wire n_13766, n_13767, n_13768, n_13769, n_13770, n_13771, n_13772,
-       n_13773;
-  wire n_13775, n_13776, n_13777, n_13778, n_13779, n_13780, n_13781,
-       n_13782;
-  wire n_13783, n_13784, n_13785, n_13786, n_13787, n_13788, n_13789,
-       n_13790;
-  wire n_13791, n_13792, n_13793, n_13794, n_13795, n_13796, n_13797,
-       n_13798;
-  wire n_13799, n_13800, n_13801, n_13802, n_13803, n_13804, n_13805,
-       n_13807;
-  wire n_13808, n_13809, n_13810, n_13811, n_13812, n_13813, n_13814,
-       n_13815;
-  wire n_13816, n_13817, n_13818, n_13819, n_13820, n_13821, n_13822,
-       n_13823;
-  wire n_13824, n_13825, n_13826, n_13827, n_13828, n_13829, n_13830,
-       n_13831;
-  wire n_13834, n_13835, n_13836, n_13837, n_13838, n_13839, n_13840,
-       n_13841;
-  wire n_13842, n_13843, n_13844, n_13845, n_13846, n_13847, n_13848,
-       n_13849;
-  wire n_13850, n_13851, n_13852, n_13853, n_13854, n_13855, n_13856,
-       n_13857;
-  wire n_13858, n_13859, n_13860, n_13861, n_13862, n_13863, n_13864,
-       n_13865;
-  wire n_13866, n_13867, n_13868, n_13869, n_13870, n_13871, n_13872,
-       n_13873;
-  wire n_13874, n_13875, n_13877, n_13878, n_13879, n_13880, n_13882,
-       n_13884;
-  wire n_13885, n_15856, n_15857, n_15858, n_15859, n_15860, n_15861,
-       n_15862;
-  wire n_15863, n_15864, n_15865, n_15866, n_15867, n_15868, n_15869,
-       n_15870;
-  wire n_15871, n_15872, n_15873, n_15874, n_15875, n_15876, n_15877,
-       n_15879;
-  wire n_15880, n_15881, n_15882, n_15883, n_15884, n_15885, n_15886,
-       n_15887;
-  wire n_15889, n_15890, n_15891, n_15896, n_15897, n_15898, n_15899,
-       n_15900;
-  wire n_15901, n_15902, n_15903, n_15904, n_15905, n_15906, n_15907,
-       n_15908;
-  wire n_15909, n_15910, n_15911, n_15912, n_15913, n_15914, n_15915,
-       n_15916;
-  wire n_15917, n_15918, n_15919, n_15920, n_15921, n_15922, n_15923,
-       n_15924;
-  wire n_15925, n_15926, n_15927, n_15928, n_15929, n_15930, n_15931,
-       n_15932;
-  wire n_15933, n_15934, n_15935, n_15936, n_15937, n_15938, n_15939,
-       n_15940;
-  wire n_15941, n_15942, n_15943, n_15945, n_15946, n_15947, n_15948,
-       n_15949;
-  wire n_15950, n_15951, n_15952, n_15953, n_15954, n_15955, n_15956,
-       n_15957;
-  wire n_15958, n_15959, n_15960, n_15961, n_15962, n_15963, n_15964,
-       n_15965;
-  wire n_15966, n_15967, n_15968, n_15969, n_15970, n_15971, n_15972,
-       n_15973;
-  wire n_15974, n_15975, n_15976, n_15977, n_15978, n_15979, n_15980,
-       n_15981;
-  wire n_15982, n_15983, n_15984, n_15985, n_15986, n_15987, n_15988,
-       n_15989;
-  wire n_15990, n_15991, n_15992, n_15993, n_15994, n_15995, n_15996,
-       n_15997;
-  wire n_15998, n_15999, n_16000, n_16001, n_16002, n_16003, n_16004,
-       n_16005;
-  wire n_16006, n_16007, n_16008, n_16009, n_16010, n_16011, n_16012,
-       n_16152;
-  wire n_16153, n_16157, n_16158, n_16162, n_16163, n_16167, n_16168,
-       n_16172;
-  wire n_16173, n_16177, n_16178, n_16182, n_16183, n_16187, n_16188,
-       n_16192;
-  wire n_16193, n_16197, n_16198, n_16202, n_16203, n_16207, n_16208,
-       n_16212;
-  wire n_16213, n_16217, n_16218, n_16222, n_16223, n_16227, n_16228,
-       n_16232;
-  wire n_16233, n_16237, n_16238, n_16242, n_16243, n_16247, n_16248,
-       n_16252;
-  wire n_16253, n_16257, n_16258, n_16262, n_16263, n_16267, n_16268,
-       n_16272;
-  wire n_16273, n_16277, n_16278, n_16282, n_16283, n_16287, n_16288,
-       n_16292;
-  wire n_16293, n_16297, n_16298, n_16302, n_16303, n_16307, n_16308,
-       n_16312;
-  wire n_16313, n_16317, n_16318, n_16322, n_16323, n_16327, n_16328,
-       n_16332;
-  wire n_16333, n_16337, n_16338, n_16342, n_16343, n_16347, n_16348,
-       n_16352;
-  wire n_16353, n_16357, n_16358, n_16362, n_16363, n_16367, n_16368,
-       n_16372;
-  wire n_16373, n_16377, n_16378, n_16382, n_16383, n_16387, n_16388,
-       n_16400;
-  wire n_16413, n_16421, n_16429, n_16437, n_16445, n_16453, n_16461,
-       n_16469;
-  wire n_16477, n_16482, n_16483, n_16487, n_16488, n_16492, n_16493,
-       n_16497;
-  wire n_16498, n_16502, n_16503, n_16507, n_16508, n_16512, n_16513,
-       n_16517;
-  wire n_16518, n_16522, n_16523, n_16527, n_16528, n_16532, n_16533,
-       n_16537;
-  wire n_16538, n_16542, n_16543, n_16547, n_16548, n_16552, n_16553,
-       n_16557;
-  wire n_16558, n_16847, n_16849, n_16853, n_16855, n_16865, n_16873,
-       n_17393;
-  wire n_17394, n_17395, n_17396, n_17397, n_17398, n_17399, n_17413,
-       n_17427;
-  wire n_17481, n_17482, n_17483, n_17497, n_17498, n_17499, n_17933,
-       n_17934;
-  wire n_17935, n_17936, n_17937, n_17938, n_17939, n_17940, n_17941,
-       n_17942;
-  wire n_17943, n_17944, n_17945, n_17946, n_17947, n_17948, n_17949,
-       n_17950;
-  wire n_17951, n_17952, n_17953, n_17954, n_17955, n_17956, n_17957,
-       n_17958;
-  wire n_17959, n_17960, n_17961, n_17962, n_17963, n_17964, n_17965,
-       n_17966;
-  wire n_17967, n_17968, n_17969, n_17970, n_17971, n_17972, n_17973,
-       n_17974;
-  wire n_17975, n_17976, n_17977, n_17978, n_17979, n_17980, n_17981,
-       n_17982;
-  wire n_17983, n_17984, n_17985, n_17986, n_17987, n_82523_BAR, rx,
-       u_soc_data_csb;
-  wire u_soc_data_we, u_soc_dccm_adapter_data_csbD,
-       u_soc_dccm_adapter_data_mem_error_internal,
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       , u_soc_dccm_adapter_data_mem_u_reqfifo_n_85,
-       u_soc_dccm_adapter_data_mem_u_rspfifo_n_125,
-       u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69,
-       u_soc_dccm_adapter_data_weD;
-  wire u_soc_dccm_adapter_rvalid_o, \u_soc_dccm_to_xbar[d_valid] ,
-       u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68,
-       u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108,
-       u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52,
-       u_soc_iccm_adapter_instr_csbD, u_soc_iccm_adapter_instr_weD,
-       u_soc_iccm_adapter_rvalid;
-  wire u_soc_iccm_ctrl_we, u_soc_instr_we, u_soc_intr_u_rx,
-       u_soc_intr_u_tx, \u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ,
-       u_soc_n_289, u_soc_prog_rst_ni, u_soc_reset_manager_rst_q;
-  wire u_soc_rx_dv_i, \u_soc_tcam_to_xbar[d_valid] , u_soc_u_dccm_csb1,
-       u_soc_u_dccm_csb2, u_soc_u_dccm_csb3, u_soc_u_dccm_csb4,
-       u_soc_u_iccm_csb1, u_soc_u_iccm_csb2;
-  wire u_soc_u_iccm_csb3, u_soc_u_iccm_csb4, u_soc_u_tcam_n_26,
-       u_soc_u_tcam_n_27, u_soc_u_tcam_rvalid_o,
-       u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85,
-       u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125,
-       u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69;
-  wire u_soc_u_top_data_we, u_soc_u_top_u_core_clk,
-       u_soc_u_top_u_core_core_busy_q,
-       u_soc_u_top_u_core_core_clock_gate_i_en_latch,
-       \u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ,
-       \u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ,
-       u_soc_u_top_u_core_csr_access,
-       u_soc_u_top_u_core_csr_mstatus_mie;
-  wire u_soc_u_top_u_core_csr_mstatus_tw,
-       u_soc_u_top_u_core_csr_restore_mret_id,
-       u_soc_u_top_u_core_csr_save_cause,
-       u_soc_u_top_u_core_csr_save_id, u_soc_u_top_u_core_csr_save_if,
-       u_soc_u_top_u_core_ctrl_busy, u_soc_u_top_u_core_debug_csr_save,
-       u_soc_u_top_u_core_debug_ebreakm;
-  wire u_soc_u_top_u_core_debug_ebreaku, u_soc_u_top_u_core_debug_mode,
-       u_soc_u_top_u_core_debug_single_step,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_1,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_2,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_4,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_6,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_8;
-  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_10,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_12,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_14,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_16,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_18,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_20,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_22,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_24;
-  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_26,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_28,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_30,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_32,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_34,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_36,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_38,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_40;
-  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_42,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_44,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_46,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_48,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_50,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_52,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_54,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_56;
-  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_58,
-       u_soc_u_top_u_core_ex_block_i_add_98_45_n_61,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
-  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287,
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
-  wire \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
-       , u_soc_u_top_u_core_fetch_enable_q,
-       u_soc_u_top_u_core_id_in_ready,
-       u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec,
-       u_soc_u_top_u_core_id_stage_i_branch_in_dec,
-       u_soc_u_top_u_core_id_stage_i_branch_set,
-       u_soc_u_top_u_core_id_stage_i_controller_run,
-       u_soc_u_top_u_core_id_stage_i_csr_pipe_flush;
-  wire u_soc_u_top_u_core_id_stage_i_div_en_dec,
-       u_soc_u_top_u_core_id_stage_i_dret_insn_dec,
-       u_soc_u_top_u_core_id_stage_i_ebrk_insn,
-       u_soc_u_top_u_core_id_stage_i_ecall_insn_dec,
-       u_soc_u_top_u_core_id_stage_i_flush_id,
-       u_soc_u_top_u_core_id_stage_i_id_fsm_q,
-       u_soc_u_top_u_core_id_stage_i_illegal_insn_dec,
-       u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel;
-  wire u_soc_u_top_u_core_id_stage_i_jump_in_dec,
-       u_soc_u_top_u_core_id_stage_i_jump_set,
-       u_soc_u_top_u_core_id_stage_i_jump_set_dec,
-       u_soc_u_top_u_core_id_stage_i_lsu_req_dec,
-       u_soc_u_top_u_core_id_stage_i_mret_insn_dec,
-       u_soc_u_top_u_core_id_stage_i_mult_en_dec,
-       u_soc_u_top_u_core_id_stage_i_n_932,
-       u_soc_u_top_u_core_id_stage_i_n_1058;
-  wire u_soc_u_top_u_core_id_stage_i_n_1065,
-       u_soc_u_top_u_core_id_stage_i_n_1227,
-       u_soc_u_top_u_core_id_stage_i_n_1229,
-       u_soc_u_top_u_core_id_stage_i_rf_ren_a,
-       u_soc_u_top_u_core_id_stage_i_rf_ren_b,
-       u_soc_u_top_u_core_id_stage_i_rf_we_dec,
-       u_soc_u_top_u_core_id_stage_i_stall_id,
-       u_soc_u_top_u_core_id_stage_i_stall_wb;
-  wire u_soc_u_top_u_core_id_stage_i_wfi_insn_dec,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12
-       ;
-  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28
-       ;
-  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44
-       ;
-  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58
-       ,
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60
-       ;
-  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       , u_soc_u_top_u_core_if_stage_i_instr_is_compressed,
-       u_soc_u_top_u_core_illegal_c_insn_id,
-       u_soc_u_top_u_core_illegal_csr_insn_id,
-       u_soc_u_top_u_core_instr_first_cycle_id,
-       u_soc_u_top_u_core_instr_is_compressed_id,
-       u_soc_u_top_u_core_instr_req_int,
-       u_soc_u_top_u_core_instr_valid_clear;
-  wire u_soc_u_top_u_core_instr_valid_id,
-       \u_soc_u_top_u_core_irqs[irq_external] ,
-       u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q,
-       u_soc_u_top_u_core_load_store_unit_i_data_we_q,
-       u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q,
-       u_soc_u_top_u_core_load_store_unit_i_n_937,
-       u_soc_u_top_u_core_load_store_unit_i_n_938,
-       u_soc_u_top_u_core_load_store_unit_i_n_939;
-  wire u_soc_u_top_u_core_lsu_sign_ext, u_soc_u_top_u_core_mult_sel_ex,
-       u_soc_u_top_u_core_pc_set, u_soc_u_top_u_core_ready_wb,
-       u_soc_u_top_u_core_trigger_match,
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ,
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ,
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
-  wire u_soc_u_uart_u_uart_core_n_186, u_soc_u_uart_u_uart_core_n_188,
-       u_soc_u_uart_u_uart_core_read_fifo_buffer_empty,
-       u_soc_u_uart_u_uart_core_rx_clr,
-       u_soc_u_uart_u_uart_core_rx_done,
-       u_soc_u_uart_u_uart_core_rx_en,
-       u_soc_u_uart_u_uart_core_rx_fifo_clr,
-       u_soc_u_uart_u_uart_core_rx_fifo_rst;
-  wire u_soc_u_uart_u_uart_core_rx_sbit,
-       u_soc_u_uart_u_uart_core_rx_status,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53;
-  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60,
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61;
-  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14;
-  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30;
-  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46;
-  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57,
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58,
-       u_soc_u_uart_u_uart_core_rx_time_n_217,
-       u_soc_u_uart_u_uart_core_rx_time_n_576;
-  wire u_soc_u_uart_u_uart_core_rx_time_n_577,
-       u_soc_u_uart_u_uart_core_rx_time_n_578,
-       u_soc_u_uart_u_uart_core_rx_time_n_579,
-       u_soc_u_uart_u_uart_core_rx_time_n_580,
-       u_soc_u_uart_u_uart_core_rx_time_n_581,
-       u_soc_u_uart_u_uart_core_rx_time_n_582,
-       u_soc_u_uart_u_uart_core_rx_time_n_583,
-       u_soc_u_uart_u_uart_core_rx_time_n_584;
-  wire u_soc_u_uart_u_uart_core_rx_time_n_585,
-       u_soc_u_uart_u_uart_core_rx_time_n_586,
-       u_soc_u_uart_u_uart_core_rx_time_n_587,
-       u_soc_u_uart_u_uart_core_rx_time_n_588,
-       u_soc_u_uart_u_uart_core_rx_time_n_589,
-       u_soc_u_uart_u_uart_core_rx_time_n_590,
-       u_soc_u_uart_u_uart_core_rx_time_n_591,
-       u_soc_u_uart_u_uart_core_rx_time_n_592;
-  wire u_soc_u_uart_u_uart_core_rx_time_n_593,
-       u_soc_u_uart_u_uart_core_rx_time_n_594,
-       u_soc_u_uart_u_uart_core_rx_time_n_595,
-       u_soc_u_uart_u_uart_core_rx_time_n_596,
-       u_soc_u_uart_u_uart_core_rx_time_n_597,
-       u_soc_u_uart_u_uart_core_rx_time_n_598,
-       u_soc_u_uart_u_uart_core_rx_time_n_599,
-       u_soc_u_uart_u_uart_core_rx_time_n_600;
-  wire u_soc_u_uart_u_uart_core_rx_time_n_601,
-       u_soc_u_uart_u_uart_core_rx_time_n_602,
-       u_soc_u_uart_u_uart_core_rx_time_n_603,
-       u_soc_u_uart_u_uart_core_rx_time_n_604,
-       u_soc_u_uart_u_uart_core_rx_time_n_605,
-       u_soc_u_uart_u_uart_core_rx_time_n_606,
-       u_soc_u_uart_u_uart_core_tx_done, u_soc_u_uart_u_uart_core_tx_en;
-  wire u_soc_u_uart_u_uart_core_tx_fifo_clear,
-       u_soc_u_uart_u_uart_core_tx_fifo_init,
-       u_soc_u_uart_u_uart_core_tx_fifo_re,
-       u_soc_u_uart_u_uart_core_tx_fifo_reset,
-       u_soc_u_uart_u_uart_core_write_fifo_buffer_empty,
-       u_soc_u_uart_u_uart_core_write_fifo_n_1309,
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ,
-       \u_soc_uart_to_xbar[d_valid] ;
-  wire \u_soc_xbar_to_lsu[d_valid] ;
-  iccm_controller u_soc_u_dut(.clk_i (wb_clk_i), .rst_ni (n_470),
-       .prog_i (io_in[8]), .rx_dv_i (u_soc_rx_dv_i), .rx_byte_i
-       (u_soc_rx_byte_i), .we_o (u_soc_iccm_ctrl_we), .addr_o
-       (u_soc_iccm_ctrl_addr_o), .wdata_o (u_soc_iccm_ctrl_data),
-       .reset_o (u_soc_prog_rst_ni));
-  tcam_top u_soc_u_tcam_u_tcam_top(.clk_i (wb_clk_i), .rst_ni
-       (io_out[37]), .csb_i (u_soc_u_tcam_n_26), .web_i
-       (u_soc_u_tcam_n_27), .wmask_i
-       ({\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30],
-       \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22],
-       \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14],
-       \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6]}), .addr_i
-       (u_soc_u_tcam_data_addr[27:0]), .wdata_i
-       (u_soc_u_tcam_data_wdata), .rdata_o ({UNCONNECTED282,
-       UNCONNECTED281, UNCONNECTED280, UNCONNECTED279, UNCONNECTED278,
-       UNCONNECTED277, UNCONNECTED276, UNCONNECTED275, UNCONNECTED274,
-       UNCONNECTED273, UNCONNECTED272, UNCONNECTED271, UNCONNECTED270,
-       UNCONNECTED269, UNCONNECTED268, UNCONNECTED267, UNCONNECTED266,
-       UNCONNECTED265, UNCONNECTED264, UNCONNECTED263, UNCONNECTED262,
-       UNCONNECTED261, UNCONNECTED260, UNCONNECTED259, UNCONNECTED258,
-       UNCONNECTED257, u_soc_u_tcam_rdata[5:0]}));
-  ibex_controller_WritebackStage1_BranchPredictor0
-       u_soc_u_top_u_core_id_stage_i_controller_i(.clk_i
-       (u_soc_u_top_u_core_clk), .rst_ni (io_out[37]), .ctrl_busy_o
-       (u_soc_u_top_u_core_ctrl_busy), .illegal_insn_i
-       (u_soc_u_top_u_core_id_stage_i_n_932), .ecall_insn_i
-       (u_soc_u_top_u_core_id_stage_i_ecall_insn_dec), .mret_insn_i
-       (u_soc_u_top_u_core_id_stage_i_mret_insn_dec), .dret_insn_i
-       (u_soc_u_top_u_core_id_stage_i_dret_insn_dec), .wfi_insn_i
-       (u_soc_u_top_u_core_id_stage_i_wfi_insn_dec), .ebrk_insn_i
-       (u_soc_u_top_u_core_id_stage_i_ebrk_insn), .csr_pipe_flush_i
-       (u_soc_u_top_u_core_id_stage_i_csr_pipe_flush), .instr_valid_i
-       (u_soc_u_top_u_core_instr_valid_id), .instr_i
-       (u_soc_u_top_u_core_instr_rdata_id), .instr_compressed_i
-       (u_soc_u_top_u_core_instr_rdata_c_id), .instr_is_compressed_i
-       (u_soc_u_top_u_core_instr_is_compressed_id),
-       .instr_valid_clear_o (u_soc_u_top_u_core_instr_valid_clear),
-       .id_in_ready_o (u_soc_u_top_u_core_id_in_ready),
-       .controller_run_o
-       (u_soc_u_top_u_core_id_stage_i_controller_run), .instr_req_o
-       (u_soc_u_top_u_core_instr_req_int), .pc_set_o
-       (u_soc_u_top_u_core_pc_set), .pc_mux_o
-       (u_soc_u_top_u_core_pc_mux_id), .exc_pc_mux_o
-       ({u_soc_u_top_u_core_exc_pc_mux_id[1],
-       u_soc_u_top_u_core_id_stage_i_n_1065}), .exc_cause_o
-       ({u_soc_u_top_u_core_exc_cause[5], UNCONNECTED286,
-       u_soc_u_top_u_core_exc_cause[3], UNCONNECTED285,
-       u_soc_u_top_u_core_exc_cause[1:0]}), .branch_set_spec_i
-       (u_soc_u_top_u_core_id_stage_i_branch_set), .jump_set_i
-       (u_soc_u_top_u_core_id_stage_i_jump_set), .csr_mstatus_mie_i
-       (u_soc_u_top_u_core_csr_mstatus_mie), .\irqs_i[irq_external] 
-       (\u_soc_u_top_u_core_irqs[irq_external] ), .debug_cause_o
-       (u_soc_u_top_u_core_debug_cause), .debug_csr_save_o
-       (u_soc_u_top_u_core_debug_csr_save), .debug_mode_o
-       (u_soc_u_top_u_core_debug_mode), .debug_single_step_i
-       (u_soc_u_top_u_core_debug_single_step), .debug_ebreakm_i
-       (u_soc_u_top_u_core_debug_ebreakm), .debug_ebreaku_i
-       (u_soc_u_top_u_core_debug_ebreaku), .trigger_match_i
-       (u_soc_u_top_u_core_trigger_match), .csr_save_if_o
-       (u_soc_u_top_u_core_csr_save_if), .csr_save_id_o
-       (u_soc_u_top_u_core_csr_save_id), .csr_restore_mret_id_o
-       (u_soc_u_top_u_core_csr_restore_mret_id), .csr_save_cause_o
-       (u_soc_u_top_u_core_csr_save_cause), .csr_mtval_o
-       (u_soc_u_top_u_core_csr_mtval), .priv_mode_i
-       (u_soc_u_top_u_core_priv_mode_id), .csr_mstatus_tw_i
-       (u_soc_u_top_u_core_csr_mstatus_tw), .stall_id_i
-       (u_soc_u_top_u_core_id_stage_i_stall_id), .stall_wb_i
-       (u_soc_u_top_u_core_id_stage_i_stall_wb), .flush_id_o
-       (u_soc_u_top_u_core_id_stage_i_flush_id), .ready_wb_i
-       (u_soc_u_top_u_core_ready_wb));
-  ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1
-       u_soc_u_top_u_core_id_stage_i_decoder_i(.illegal_insn_o
-       (u_soc_u_top_u_core_id_stage_i_illegal_insn_dec), .ebrk_insn_o
-       (u_soc_u_top_u_core_id_stage_i_ebrk_insn), .mret_insn_o
-       (u_soc_u_top_u_core_id_stage_i_mret_insn_dec), .dret_insn_o
-       (u_soc_u_top_u_core_id_stage_i_dret_insn_dec), .ecall_insn_o
-       (u_soc_u_top_u_core_id_stage_i_ecall_insn_dec), .wfi_insn_o
-       (u_soc_u_top_u_core_id_stage_i_wfi_insn_dec), .jump_set_o
-       (u_soc_u_top_u_core_id_stage_i_jump_set_dec),
-       .instr_first_cycle_i (u_soc_u_top_u_core_instr_first_cycle_id),
-       .instr_rdata_i ({UNCONNECTED_HIER_Z109, UNCONNECTED_HIER_Z108,
-       UNCONNECTED_HIER_Z107, UNCONNECTED_HIER_Z106,
-       UNCONNECTED_HIER_Z105, UNCONNECTED_HIER_Z104,
-       UNCONNECTED_HIER_Z103, u_soc_u_top_u_core_instr_rdata_id[24:15],
-       UNCONNECTED_HIER_Z102, UNCONNECTED_HIER_Z101,
-       UNCONNECTED_HIER_Z100, u_soc_u_top_u_core_instr_rdata_id[11:7],
-       UNCONNECTED_HIER_Z99, UNCONNECTED_HIER_Z98,
-       UNCONNECTED_HIER_Z97, UNCONNECTED_HIER_Z96,
-       UNCONNECTED_HIER_Z95, UNCONNECTED_HIER_Z94,
-       UNCONNECTED_HIER_Z93}), .instr_rdata_alu_i
-       ({u_soc_u_top_u_core_instr_rdata_id[31:25],
-       UNCONNECTED_HIER_Z124, UNCONNECTED_HIER_Z123,
-       UNCONNECTED_HIER_Z122, UNCONNECTED_HIER_Z121,
-       UNCONNECTED_HIER_Z120, UNCONNECTED_HIER_Z119,
-       UNCONNECTED_HIER_Z118, UNCONNECTED_HIER_Z117,
-       UNCONNECTED_HIER_Z116, UNCONNECTED_HIER_Z115,
-       u_soc_u_top_u_core_instr_rdata_id[14:12], UNCONNECTED_HIER_Z114,
-       UNCONNECTED_HIER_Z113, UNCONNECTED_HIER_Z112,
-       UNCONNECTED_HIER_Z111, UNCONNECTED_HIER_Z110,
-       u_soc_u_top_u_core_instr_rdata_id[6:0]}), .illegal_c_insn_i
-       (u_soc_u_top_u_core_illegal_c_insn_id), .imm_a_mux_sel_o
-       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .imm_b_mux_sel_o
-       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec),
-       .bt_a_mux_sel_o ({u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1],
-       UNCONNECTED291}), .bt_b_mux_sel_o
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel), .rf_we_o
-       (u_soc_u_top_u_core_id_stage_i_rf_we_dec), .rf_ren_a_o
-       (u_soc_u_top_u_core_id_stage_i_rf_ren_a), .rf_ren_b_o
-       (u_soc_u_top_u_core_id_stage_i_rf_ren_b), .alu_operator_o
-       (u_soc_u_top_u_core_alu_operator_ex), .alu_op_a_mux_sel_o
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec),
-       .alu_op_b_mux_sel_o
-       (u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec), .mult_en_o
-       (u_soc_u_top_u_core_id_stage_i_mult_en_dec), .div_en_o
-       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .mult_sel_o
-       (u_soc_u_top_u_core_mult_sel_ex), .div_sel_o
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .multdiv_operator_o
-       ({UNCONNECTED501, u_soc_u_top_u_core_multdiv_operator_ex[0]}),
-       .multdiv_signed_mode_o
-       (u_soc_u_top_u_core_multdiv_signed_mode_ex), .csr_access_o
-       (u_soc_u_top_u_core_csr_access), .csr_op_o
-       (u_soc_u_top_u_core_csr_op), .data_req_o
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .data_we_o
-       (u_soc_u_top_data_we), .data_type_o
-       (u_soc_u_top_u_core_lsu_type), .data_sign_extension_o
-       (u_soc_u_top_u_core_lsu_sign_ext), .jump_in_dec_o
-       (u_soc_u_top_u_core_id_stage_i_jump_in_dec), .branch_in_dec_o
-       (u_soc_u_top_u_core_id_stage_i_branch_in_dec));
-  uart_rx_prog u_soc_u_uart_rx_prog(.clk_i (wb_clk_i), .rst_ni (n_470),
-       .i_Rx_Serial (rx), .CLKS_PER_BIT (u_soc_baud_reg), .o_Rx_DV
-       (u_soc_rx_dv_i), .o_Rx_Byte (u_soc_rx_byte_i));
-  uart_rx u_soc_u_uart_u_uart_core_u_rx(.clk_i (wb_clk_i), .rst_ni
-       (io_out[37]), .i_Rx_Serial (u_soc_u_uart_u_uart_core_n_188),
-       .CLKS_PER_BIT (u_soc_u_uart_u_uart_core_control), .sbit_o
-       (u_soc_u_uart_u_uart_core_rx_sbit), .o_Rx_DV
-       (u_soc_u_uart_u_uart_core_rx_done), .o_Rx_Byte
-       (u_soc_u_uart_u_uart_core_rx));
-  uart_tx u_soc_u_uart_u_uart_core_u_tx(.clk_i (wb_clk_i), .rst_ni
-       (io_out[37]), .tx_en (u_soc_u_uart_u_uart_core_n_186),
-       .i_TX_Byte ({u_soc_u_uart_u_uart_core_tx_fifo_data[8],
-       u_soc_u_uart_u_uart_core_tx_fifo_data[6:0]}), .CLKS_PER_BIT
-       (u_soc_u_uart_u_uart_core_control), .o_TX_Serial (io_out[34]),
-       .o_TX_Done (u_soc_u_uart_u_uart_core_tx_done));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram1(.din0
-       (u_soc_data_wdata), .addr0 ({n_16332, n_16337, n_16342, n_16347,
-       n_16212, n_16232, n_16317, n_16367}), .wmask0 ({n_16469,
-       n_16453, n_16429, n_16421}), .csb0 (u_soc_u_dccm_csb1), .web0
-       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_2_net,
-       logic_0_3_net, logic_0_4_net, logic_0_5_net, logic_0_6_net,
-       logic_0_7_net, logic_0_8_net, logic_0_9_net}), .csb1 (n_17979),
-       .clk1 (logic_0_10_net), .dout0 (u_soc_u_dccm_rdata1));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram2(.din0
-       (u_soc_data_wdata), .addr0 ({n_16292, n_16297, n_16302, n_16217,
-       n_16372, n_16237, n_16247, n_16327}), .wmask0 ({n_16469,
-       n_16453, n_16429, n_16421}), .csb0 (u_soc_u_dccm_csb2), .web0
-       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_11_net,
-       logic_0_12_net, logic_0_13_net, logic_0_14_net, logic_0_15_net,
-       logic_0_16_net, logic_0_17_net, logic_0_18_net}), .csb1
-       (n_17980), .clk1 (logic_0_19_net), .dout0 (u_soc_u_dccm_rdata2));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram3(.din0
-       (u_soc_data_wdata), .addr0 ({n_16252, n_16257, n_16262, n_16267,
-       n_16272, n_16277, n_16282, n_16287}), .wmask0 ({n_16445,
-       n_16437, n_16477, n_16461}), .csb0 (u_soc_u_dccm_csb3), .web0
-       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_20_net,
-       logic_0_21_net, logic_0_22_net, logic_0_23_net, logic_0_24_net,
-       logic_0_25_net, logic_0_26_net, logic_0_27_net}), .csb1
-       (n_17981), .clk1 (logic_0_28_net), .dout0 (u_soc_u_dccm_rdata3));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram4(.din0
-       (u_soc_data_wdata), .addr0 ({n_16517, n_16507, n_16502, n_16492,
-       n_16557, n_16552, n_16532, n_16522}), .wmask0 ({n_16445,
-       n_16437, n_16477, n_16461}), .csb0 (u_soc_u_dccm_csb4), .web0
-       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_29_net,
-       logic_0_30_net, logic_0_31_net, logic_0_32_net, logic_0_33_net,
-       logic_0_34_net, logic_0_35_net, logic_0_36_net}), .csb1
-       (n_17982), .clk1 (logic_0_37_net), .dout0 (u_soc_u_dccm_rdata4));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram1(.din0
-       (u_soc_instr_wdata), .addr0 ({n_16172, n_16177, n_16182,
-       n_16187, n_16192, n_16197, n_16202, n_16207}), .wmask0
-       ({n_17499, n_17427, n_16865, n_17413}), .csb0
-       (u_soc_u_iccm_csb1), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
-       .addr1 ({logic_0_38_net, logic_0_39_net, logic_0_40_net,
-       logic_0_41_net, logic_0_42_net, logic_0_43_net, logic_0_44_net,
-       logic_0_45_net}), .csb1 (n_17983), .clk1 (logic_0_46_net),
-       .dout0 (u_soc_u_iccm_rdata1));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram2(.din0
-       (u_soc_instr_wdata), .addr0 ({n_16242, n_16322, n_16362,
-       n_16382, n_16152, n_16157, n_16162, n_16167}), .wmask0
-       ({n_16847, n_17482, n_16847, n_17427}), .csb0
-       (u_soc_u_iccm_csb2), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
-       .addr1 ({logic_0_47_net, logic_0_48_net, logic_0_49_net,
-       logic_0_50_net, logic_0_51_net, logic_0_52_net, logic_0_53_net,
-       logic_0_54_net}), .csb1 (n_17984), .clk1 (logic_0_55_net),
-       .dout0 (u_soc_u_iccm_rdata2));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram3(.din0
-       (u_soc_instr_wdata), .addr0 ({n_16227, n_16222, n_16312,
-       n_16357, n_16307, n_16352, n_16377, n_16387}), .wmask0
-       ({n_17413, n_17498, n_16853, n_16853}), .csb0
-       (u_soc_u_iccm_csb3), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
-       .addr1 ({logic_0_56_net, logic_0_57_net, logic_0_58_net,
-       logic_0_59_net, logic_0_60_net, logic_0_61_net, logic_0_62_net,
-       logic_0_63_net}), .csb1 (n_17985), .clk1 (logic_0_64_net),
-       .dout0 (u_soc_u_iccm_rdata3));
-  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram4(.din0
-       (u_soc_instr_wdata), .addr0 ({n_16542, n_16497, n_16527,
-       n_16487, n_16512, n_16482, n_16547, n_16537}), .wmask0
-       ({n_17498, n_17482, n_17483, n_16873}), .csb0
-       (u_soc_u_iccm_csb4), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
-       .addr1 ({logic_0_65_net, logic_0_66_net, logic_0_67_net,
-       logic_0_68_net, logic_0_69_net, logic_0_70_net, logic_0_71_net,
-       logic_0_72_net}), .csb1 (n_17986), .clk1 (logic_0_73_net),
-       .dout0 (u_soc_u_iccm_rdata4));
-  sky130_fd_sc_hd__inv_2 g276479(.A (n_13490), .Y (n_13303));
-  sky130_fd_sc_hd__inv_1 g276482(.A
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]), .Y
-       (n_13741));
-  sky130_fd_sc_hd__nor2b_1 g276475__5122(.A
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .B_N
-       (u_soc_u_top_u_core_instr_valid_id), .Y
-       (u_soc_u_top_u_core_instr_first_cycle_id));
-  sky130_fd_sc_hd__nor3b_1 g277248__8246(.A (n_13332), .B (n_13885),
-       .C_N (n_13610), .Y (u_soc_u_top_u_core_id_stage_i_branch_set));
-  sky130_fd_sc_hd__o221ai_1 g277249__7098(.A1 (n_82523_BAR), .A2
-       (n_13273), .B1 (n_13460), .B2 (n_13274), .C1 (n_13288), .Y
-       (n_13610));
-  sky130_fd_sc_hd__a21oi_1 g277250__6131(.A1 (n_13286), .A2 (n_13487),
-       .B1 (n_13287), .Y (n_13288));
-  sky130_fd_sc_hd__nor2_1 g277251__1881(.A (n_15890), .B (n_13286), .Y
-       (n_13287));
-  sky130_fd_sc_hd__inv_1 g277252(.A (n_13286), .Y (n_13376));
-  sky130_fd_sc_hd__nor3_1 g277253__5115(.A (n_13285), .B
-       (\u_soc_lsu_to_xbar[a_address] [30]), .C
-       (\u_soc_lsu_to_xbar[a_address] [31]), .Y (n_13286));
-  sky130_fd_sc_hd__nand2_1 g277254__7482(.A (n_13284), .B (n_15938), .Y
-       (n_13285));
-  sky130_fd_sc_hd__nor3_1 g277255__4733(.A (n_13283), .B
-       (\u_soc_lsu_to_xbar[a_address] [26]), .C
-       (\u_soc_lsu_to_xbar[a_address] [29]), .Y (n_13284));
-  sky130_fd_sc_hd__or4_1 g277256__6161(.A
-       (\u_soc_lsu_to_xbar[a_address] [19]), .B (n_13275), .C
-       (n_13282), .D (\u_soc_lsu_to_xbar[a_address] [18]), .X
-       (n_13283));
-  sky130_fd_sc_hd__or4_1 g277257__9315(.A
-       (\u_soc_lsu_to_xbar[a_address] [14]), .B (n_15939), .C
-       (\u_soc_lsu_to_xbar[a_address] [15]), .D
-       (\u_soc_lsu_to_xbar[a_address] [17]), .X (n_13282));
-  sky130_fd_sc_hd__nor4_1 g277262__9945(.A
-       (\u_soc_xbar_to_dccm[a_address] [8]), .B (n_13378), .C
-       (\u_soc_xbar_to_dccm[a_address] [9]), .D
-       (\u_soc_xbar_to_dccm[a_address] [11]), .Y (n_13277));
-  sky130_fd_sc_hd__nor2_1 g277263__2883(.A (n_13331), .B (n_13885), .Y
-       (u_soc_u_top_u_core_id_stage_i_jump_set));
-  sky130_fd_sc_hd__nand4_1 g277264__2346(.A (n_13453), .B (n_16009), .C
-       (u_soc_u_top_u_core_instr_valid_id), .D
-       (u_soc_u_top_u_core_id_stage_i_controller_run), .Y (n_13885));
-  sky130_fd_sc_hd__or4_1 g277266__1666(.A
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B
-       (\u_soc_xbar_to_dccm[a_address] [2]), .C
-       (\u_soc_xbar_to_dccm[a_address] [3]), .D
-       (\u_soc_lsu_to_xbar[a_address] [16]), .X (n_13275));
-  sky130_fd_sc_hd__nand2_1 g277267__7410(.A (n_13270), .B (n_13269), .Y
-       (n_13378));
-  sky130_fd_sc_hd__clkinv_1 g277268(.A (n_13273), .Y (n_13274));
-  sky130_fd_sc_hd__nor3_1 g277269__6417(.A (n_16012), .B
-       (\u_soc_lsu_to_xbar[a_address] [21]), .C
-       (\u_soc_lsu_to_xbar[a_address] [20]), .Y (n_13272));
-  sky130_fd_sc_hd__o21ai_1 g277270__5477(.A1 (n_13611), .A2
-       (\u_soc_lsu_to_xbar[a_address] [31]), .B1 (n_13374), .Y
-       (n_13273));
-  sky130_fd_sc_hd__inv_1 g277272(.A (n_13270), .Y (n_13373));
-  sky130_fd_sc_hd__nor2_1 g277273__2398(.A
-       (\u_soc_xbar_to_dccm[a_address] [4]), .B
-       (\u_soc_xbar_to_dccm[a_address] [5]), .Y (n_13269));
-  sky130_fd_sc_hd__nor2_1 g277274__5107(.A
-       (\u_soc_xbar_to_dccm[a_address] [6]), .B
-       (\u_soc_xbar_to_dccm[a_address] [7]), .Y (n_13270));
-  sky130_fd_sc_hd__o2111ai_1 g323786__6260(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A2 (n_11593), .B1
-       (n_13125), .C1 (n_13224), .D1 (n_13178), .Y (n_13812));
-  sky130_fd_sc_hd__o2111ai_1 g323788__4319(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .A2 (n_11593), .B1
-       (n_13211), .C1 (n_11567), .D1 (n_13186), .Y (n_13834));
-  sky130_fd_sc_hd__o2111ai_1 g323789__8428(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .A2 (n_11593), .B1
-       (n_13199), .C1 (n_11466), .D1 (n_13184), .Y (n_13820));
-  sky130_fd_sc_hd__o2111ai_1 g323790__5526(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2 (n_11593), .B1
-       (n_13213), .C1 (n_11581), .D1 (n_13183), .Y (n_13817));
-  sky130_fd_sc_hd__o2111ai_1 g323791__6783(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .A2 (n_11593), .B1
-       (n_13190), .C1 (n_13225), .D1 (n_13180), .Y (n_13814));
-  sky130_fd_sc_hd__o2111ai_1 g323792__3680(.A1 (n_11151), .A2
-       (n_13446), .B1 (n_13155), .C1 (n_13266), .D1 (n_13240), .Y
-       (n_13836));
-  sky130_fd_sc_hd__o2111ai_1 g323793__1617(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .A2 (n_11593), .B1
-       (n_13214), .C1 (n_11572), .D1 (n_13169), .Y (n_13829));
-  sky130_fd_sc_hd__o2111ai_1 g323795__2802(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .A2 (n_11593), .B1
-       (n_13212), .C1 (n_11568), .D1 (n_13188), .Y (n_13828));
-  sky130_fd_sc_hd__o2111ai_1 g323796__1705(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .A2 (n_11593), .B1
-       (n_13205), .C1 (n_11573), .D1 (n_13172), .Y (n_13825));
-  sky130_fd_sc_hd__o2111ai_1 g323797__5122(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .A2 (n_11593), .B1
-       (n_13201), .C1 (n_11566), .D1 (n_13171), .Y (n_13831));
-  sky130_fd_sc_hd__o2111ai_1 g323798__8246(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .A2 (n_11593), .B1
-       (n_13197), .C1 (n_11469), .D1 (n_13170), .Y (n_13830));
-  sky130_fd_sc_hd__o2111ai_1 g323799__7098(.A1 (n_11151), .A2
-       (n_13437), .B1 (n_13162), .C1 (n_13254), .D1 (n_13243), .Y
-       (n_13827));
-  sky130_fd_sc_hd__o2111ai_1 g323800__6131(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .A2 (n_11593), .B1
-       (n_13149), .C1 (n_11571), .D1 (n_13185), .Y (n_13835));
-  sky130_fd_sc_hd__o2111ai_1 g323801__1881(.A1 (n_11151), .A2
-       (n_13436), .B1 (n_13116), .C1 (n_13231), .D1 (n_13209), .Y
-       (n_13826));
-  sky130_fd_sc_hd__o221ai_1 g323802__5115(.A1
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .A2 (n_13526), .B1
-       (n_11039), .B2 (n_141), .C1 (n_13611), .Y (n_13374));
-  sky130_fd_sc_hd__o2111ai_1 g323803__7482(.A1 (n_11151), .A2
-       (n_13447), .B1 (n_13128), .C1 (n_13233), .D1 (n_13210), .Y
-       (n_13837));
-  sky130_fd_sc_hd__o2111ai_1 g323804__4733(.A1 (n_11151), .A2
-       (n_13431), .B1 (n_13122), .C1 (n_13228), .D1 (n_13200), .Y
-       (n_13821));
-  sky130_fd_sc_hd__o221ai_1 g323805__6161(.A1 (n_142), .A2 (n_11746),
-       .B1 (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B2 (n_11593), .C1
-       (n_13226), .Y (n_13810));
-  sky130_fd_sc_hd__o2111ai_1 g323806__9315(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .A2 (n_11593), .B1
-       (n_11442), .C1 (n_13167), .D1 (n_13194), .Y (n_13809));
-  sky130_fd_sc_hd__o2111ai_1 g323807__9945(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .A2 (n_11593), .B1
-       (n_13112), .C1 (n_13152), .D1 (n_13192), .Y (n_13807));
-  sky130_fd_sc_hd__o2111ai_1 g323808__2883(.A1 (n_11139), .A2
-       (n_11313), .B1 (n_13208), .C1 (n_13207), .D1 (n_13191), .Y
-       (n_13838));
-  sky130_fd_sc_hd__o2111ai_1 g323809__2346(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .A2 (n_11593), .B1
-       (n_13142), .C1 (n_11570), .D1 (n_13182), .Y (n_13816));
-  sky130_fd_sc_hd__nand4_1 g323810__1666(.A (n_13232), .B (n_13181), .C
-       (n_11441), .D (n_13143), .Y (n_13815));
-  sky130_fd_sc_hd__o2111ai_1 g323811__7410(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .A2 (n_11593), .B1
-       (n_13145), .C1 (n_11569), .D1 (n_13179), .Y (n_13813));
-  sky130_fd_sc_hd__o2111ai_1 g323812__6417(.A1 (n_11151), .A2
-       (n_13433), .B1 (n_13111), .C1 (n_13230), .D1 (n_13204), .Y
-       (n_13823));
-  sky130_fd_sc_hd__o2111ai_1 g323813__5477(.A1 (n_11151), .A2
-       (n_13432), .B1 (n_13121), .C1 (n_13229), .D1 (n_13202), .Y
-       (n_13822));
-  sky130_fd_sc_hd__o2111ai_1 g323814__2398(.A1 (n_11151), .A2
-       (n_13429), .B1 (n_13123), .C1 (n_13227), .D1 (n_13198), .Y
-       (n_13819));
-  sky130_fd_sc_hd__o2111ai_1 g323815__5107(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .A2 (n_11593), .B1
-       (n_13148), .C1 (n_11468), .D1 (n_13234), .Y (n_13824));
-  sky130_fd_sc_hd__o2111ai_1 g323816__6260(.A1 (n_15943), .A2
-       (n_11593), .B1 (n_13114), .C1 (n_13147), .D1 (n_13175), .Y
-       (n_13808));
-  sky130_fd_sc_hd__o2111ai_1 g323817__4319(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .A2 (n_11593), .B1
-       (n_11352), .C1 (n_13150), .D1 (n_13196), .Y (n_13818));
-  sky130_fd_sc_hd__o2111ai_1 g323818__8428(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .A2 (n_11593), .B1
-       (n_13126), .C1 (n_13161), .D1 (n_13193), .Y (n_13811));
-  sky130_fd_sc_hd__inv_1 g323819(.A (n_13268), .Y (n_13859));
-  sky130_fd_sc_hd__inv_1 g323820(.A (n_13264), .Y (n_13843));
-  sky130_fd_sc_hd__inv_1 g323821(.A (n_13263), .Y (n_13869));
-  sky130_fd_sc_hd__inv_1 g323822(.A (n_13262), .Y (n_13867));
-  sky130_fd_sc_hd__inv_1 g323823(.A (n_13261), .Y (n_13866));
-  sky130_fd_sc_hd__inv_1 g323824(.A (n_13260), .Y (n_13865));
-  sky130_fd_sc_hd__inv_1 g323825(.A (n_13259), .Y (n_13864));
-  sky130_fd_sc_hd__inv_1 g323826(.A (n_13258), .Y (n_13863));
-  sky130_fd_sc_hd__inv_1 g323827(.A (n_13257), .Y (n_13862));
-  sky130_fd_sc_hd__inv_1 g323828(.A (n_13256), .Y (n_13861));
-  sky130_fd_sc_hd__inv_1 g323829(.A (n_13255), .Y (n_13860));
-  sky130_fd_sc_hd__a222oi_1 g323830__5526(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .C1
-       (n_13514), .C2 (n_11058), .Y (n_13268));
-  sky130_fd_sc_hd__nor2_1 g323831__6783(.A (n_11367), .B (n_13236), .Y
-       (n_13267));
-  sky130_fd_sc_hd__nor2_1 g323832__3680(.A (n_11371), .B (n_13235), .Y
-       (n_13266));
-  sky130_fd_sc_hd__nor2_1 g323833__1617(.A (n_11379), .B (n_13237), .Y
-       (n_13265));
-  sky130_fd_sc_hd__a222oi_1 g323834__2802(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .C1
-       (n_13498), .C2 (n_11058), .Y (n_13264));
-  sky130_fd_sc_hd__o21ai_1 g323835__1705(.A1 (n_13452), .A2 (n_141),
-       .B1 (n_11323), .Y (n_13871));
-  sky130_fd_sc_hd__a222oi_1 g323836__5122(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .C1
-       (n_13524), .C2 (n_11058), .Y (n_13263));
-  sky130_fd_sc_hd__a222oi_1 g323837__8246(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .C1
-       (n_13522), .C2 (n_11058), .Y (n_13262));
-  sky130_fd_sc_hd__a222oi_1 g323838__7098(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .C1
-       (n_13521), .C2 (n_11058), .Y (n_13261));
-  sky130_fd_sc_hd__a222oi_1 g323839__6131(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .C1
-       (n_13520), .C2 (n_11058), .Y (n_13260));
-  sky130_fd_sc_hd__a222oi_1 g323840__1881(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .C1
-       (n_13519), .C2 (n_11058), .Y (n_13259));
-  sky130_fd_sc_hd__a222oi_1 g323841__5115(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .C1
-       (n_13518), .C2 (n_11058), .Y (n_13258));
-  sky130_fd_sc_hd__a222oi_1 g323842__7482(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .C1
-       (n_13517), .C2 (n_11058), .Y (n_13257));
-  sky130_fd_sc_hd__a222oi_1 g323843__4733(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .C1
-       (n_13516), .C2 (n_11058), .Y (n_13256));
-  sky130_fd_sc_hd__a222oi_1 g323844__6161(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .C1
-       (n_13515), .C2 (n_11058), .Y (n_13255));
-  sky130_fd_sc_hd__nor2_1 g323845(.A (n_11365), .B (n_13218), .Y
-       (n_13254));
-  sky130_fd_sc_hd__inv_1 g323846(.A (n_13253), .Y (n_13848));
-  sky130_fd_sc_hd__clkinv_1 g323847(.A (n_13252), .Y (n_13855));
-  sky130_fd_sc_hd__inv_1 g323848(.A (n_13251), .Y (n_13854));
-  sky130_fd_sc_hd__inv_1 g323849(.A (n_13250), .Y (n_13853));
-  sky130_fd_sc_hd__inv_1 g323850(.A (n_13249), .Y (n_13852));
-  sky130_fd_sc_hd__inv_1 g323851(.A (n_13248), .Y (n_13851));
-  sky130_fd_sc_hd__inv_1 g323852(.A (n_13247), .Y (n_13850));
-  sky130_fd_sc_hd__inv_1 g323853(.A (n_13246), .Y (n_13856));
-  sky130_fd_sc_hd__inv_1 g323854(.A (n_13245), .Y (n_13847));
-  sky130_fd_sc_hd__inv_1 g323855(.A (n_13244), .Y (n_13845));
-  sky130_fd_sc_hd__inv_1 g323856(.A (n_13239), .Y (n_13858));
-  sky130_fd_sc_hd__a222oi_1 g323857(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .C1
-       (n_13503), .C2 (n_11058), .Y (n_13253));
-  sky130_fd_sc_hd__a222oi_1 g323858(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .C1
-       (n_13510), .C2 (n_11058), .Y (n_13252));
-  sky130_fd_sc_hd__a222oi_1 g323859(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .C1
-       (n_13509), .C2 (n_11058), .Y (n_13251));
-  sky130_fd_sc_hd__a222oi_1 g323860(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .C1
-       (n_13508), .C2 (n_11058), .Y (n_13250));
-  sky130_fd_sc_hd__a222oi_1 g323861(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .C1
-       (n_13507), .C2 (n_11058), .Y (n_13249));
-  sky130_fd_sc_hd__a222oi_1 g323862(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .C1
-       (n_13506), .C2 (n_11058), .Y (n_13248));
-  sky130_fd_sc_hd__a222oi_1 g323863(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .C1
-       (n_13505), .C2 (n_11058), .Y (n_13247));
-  sky130_fd_sc_hd__a222oi_1 g323864(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .C1
-       (n_13511), .C2 (n_11058), .Y (n_13246));
-  sky130_fd_sc_hd__a222oi_1 g323865(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .C1
-       (n_13502), .C2 (n_11058), .Y (n_13245));
-  sky130_fd_sc_hd__a222oi_1 g323866(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .C1
-       (n_13500), .C2 (n_11058), .Y (n_13244));
-  sky130_fd_sc_hd__a22oi_1 g323867(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [21]), .Y (n_13243));
-  sky130_fd_sc_hd__a22oi_1 g323868(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [27]), .Y (n_13242));
-  sky130_fd_sc_hd__a22oi_1 g323869(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [26]), .Y (n_13241));
-  sky130_fd_sc_hd__a22oi_1 g323870(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [30]), .Y (n_13240));
-  sky130_fd_sc_hd__a222oi_1 g323871(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .C1
-       (n_13513), .C2 (n_11058), .Y (n_13239));
-  sky130_fd_sc_hd__xor2_1 g323872(.A (n_13526), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .X (n_13611));
-  sky130_fd_sc_hd__inv_1 g323873(.A (n_13238), .Y (n_13857));
-  sky130_fd_sc_hd__inv_1 g323874(.A (n_13223), .Y (n_13844));
-  sky130_fd_sc_hd__inv_1 g323875(.A (n_13222), .Y (n_13842));
-  sky130_fd_sc_hd__inv_1 g323876(.A (n_13221), .Y (n_13841));
-  sky130_fd_sc_hd__inv_1 g323877(.A (n_13220), .Y (n_13870));
-  sky130_fd_sc_hd__inv_1 g323878(.A (n_13219), .Y (n_13868));
-  sky130_fd_sc_hd__a222oi_1 g323879(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .C1
-       (n_13512), .C2 (n_11058), .Y (n_13238));
-  sky130_fd_sc_hd__nor2_1 g323880(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B (n_11593), .Y
-       (n_13237));
-  sky130_fd_sc_hd__nor2_1 g323881(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B (n_11593), .Y
-       (n_13236));
-  sky130_fd_sc_hd__nor2_1 g323882(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B (n_11593), .Y
-       (n_13235));
-  sky130_fd_sc_hd__nand2_1 g323883(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .Y (n_13234));
-  sky130_fd_sc_hd__nor2_1 g323884(.A (n_11373), .B (n_13176), .Y
-       (n_13233));
-  sky130_fd_sc_hd__nor2_1 g323885(.A (n_11370), .B (n_13173), .Y
-       (n_13232));
-  sky130_fd_sc_hd__nor2_1 g323886(.A (n_11380), .B (n_13187), .Y
-       (n_13231));
-  sky130_fd_sc_hd__nor2_1 g323887(.A (n_11381), .B (n_13189), .Y
-       (n_13230));
-  sky130_fd_sc_hd__nor2_1 g323888(.A (n_11383), .B (n_13177), .Y
-       (n_13229));
-  sky130_fd_sc_hd__nor2_1 g323889(.A (n_11368), .B (n_13195), .Y
-       (n_13228));
-  sky130_fd_sc_hd__nor2_1 g323890(.A (n_11361), .B (n_13174), .Y
-       (n_13227));
-  sky130_fd_sc_hd__nor2_1 g323891(.A (n_13151), .B (n_11465), .Y
-       (n_13226));
-  sky130_fd_sc_hd__a21oi_1 g323892(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [8]), .B1 (n_13144), .Y (n_13225));
-  sky130_fd_sc_hd__a21oi_1 g323893(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [6]), .B1 (n_13146), .Y (n_13224));
-  sky130_fd_sc_hd__a222oi_1 g323894(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .C1
-       (n_13499), .C2 (n_11058), .Y (n_13223));
-  sky130_fd_sc_hd__a222oi_1 g323895(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .A2 (n_11258), .B1
-       (n_11176), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .C1
-       (n_13497), .C2 (n_11058), .Y (n_13222));
-  sky130_fd_sc_hd__a222oi_1 g323896(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .A2 (n_11258), .B1
-       (n_11176), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .C1
-       (n_13496), .C2 (n_11058), .Y (n_13221));
-  sky130_fd_sc_hd__a222oi_1 g323897(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .C1
-       (n_13525), .C2 (n_11058), .Y (n_13220));
-  sky130_fd_sc_hd__a222oi_1 g323898(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .C1
-       (n_13523), .C2 (n_11058), .Y (n_13219));
-  sky130_fd_sc_hd__nor2_1 g323899(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B (n_11593), .Y
-       (n_13218));
-  sky130_fd_sc_hd__inv_1 g323900(.A (n_13216), .Y (n_13846));
-  sky130_fd_sc_hd__inv_1 g323901(.A (n_13215), .Y (n_13840));
-  sky130_fd_sc_hd__inv_1 g323902(.A (n_13206), .Y (n_13849));
-  sky130_fd_sc_hd__a222oi_1 g323904(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .C1
-       (n_13501), .C2 (n_11058), .Y (n_13216));
-  sky130_fd_sc_hd__a222oi_1 g323905(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .A2 (n_11258), .B1
-       (n_11176), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .C1
-       (n_13495), .C2 (n_11058), .Y (n_13215));
-  sky130_fd_sc_hd__o21a_1 g323906(.A1 (n_11208), .A2 (n_13406), .B1
-       (n_13166), .X (n_13214));
-  sky130_fd_sc_hd__o21a_1 g323907(.A1 (n_11208), .A2 (n_13394), .B1
-       (n_13165), .X (n_13213));
-  sky130_fd_sc_hd__o21a_1 g323908(.A1 (n_11208), .A2 (n_13405), .B1
-       (n_13164), .X (n_13212));
-  sky130_fd_sc_hd__o21a_1 g323909(.A1 (n_11208), .A2 (n_13411), .B1
-       (n_13163), .X (n_13211));
-  sky130_fd_sc_hd__a22oi_1 g323910(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [31]), .Y (n_13210));
-  sky130_fd_sc_hd__a22oi_1 g323911(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [20]), .Y (n_13209));
-  sky130_fd_sc_hd__o21a_1 g323912(.A1 (n_11208), .A2 (n_13415), .B1
-       (n_13158), .X (n_13208));
-  sky130_fd_sc_hd__a2bb2oi_1 g323913(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .A2_N (n_11593), .B1
-       (n_11139), .B2 (n_11306), .Y (n_13207));
-  sky130_fd_sc_hd__a222oi_1 g323914(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .A2 (n_11258), .B1
-       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .C1
-       (n_13504), .C2 (n_11058), .Y (n_13206));
-  sky130_fd_sc_hd__o21a_1 g323915(.A1 (n_11208), .A2 (n_13402), .B1
-       (n_13159), .X (n_13205));
-  sky130_fd_sc_hd__a22oi_1 g323916(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [17]), .Y (n_13204));
-  sky130_fd_sc_hd__a22oi_1 g323918(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [16]), .Y (n_13202));
-  sky130_fd_sc_hd__o21a_1 g323919(.A1 (n_11208), .A2 (n_13408), .B1
-       (n_13168), .X (n_13201));
-  sky130_fd_sc_hd__a22oi_1 g323920(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [15]), .Y (n_13200));
-  sky130_fd_sc_hd__o21a_1 g323921(.A1 (n_11208), .A2 (n_13397), .B1
-       (n_13154), .X (n_13199));
-  sky130_fd_sc_hd__a22oi_1 g323922(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [13]), .Y (n_13198));
-  sky130_fd_sc_hd__o21a_1 g323923(.A1 (n_11208), .A2 (n_13407), .B1
-       (n_13153), .X (n_13197));
-  sky130_fd_sc_hd__a22oi_1 g323924(.A1 (n_11745), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B1 (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [12]), .Y (n_13196));
-  sky130_fd_sc_hd__nor2_1 g323925(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B (n_11593), .Y
-       (n_13195));
-  sky130_fd_sc_hd__nand2_1 g323926(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_13194));
-  sky130_fd_sc_hd__nand2_1 g323927(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .Y (n_13193));
-  sky130_fd_sc_hd__nand2_1 g323928(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_13192));
-  sky130_fd_sc_hd__nand2_1 g323929(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .Y (n_13191));
-  sky130_fd_sc_hd__nor2_1 g323930(.A (n_11366), .B (n_13106), .Y
-       (n_13190));
-  sky130_fd_sc_hd__nor2_1 g323931(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B (n_11593), .Y
-       (n_13189));
-  sky130_fd_sc_hd__nand2_1 g323932(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .Y (n_13188));
-  sky130_fd_sc_hd__nor2_1 g323933(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B (n_11593), .Y
-       (n_13187));
-  sky130_fd_sc_hd__nand2_1 g323934(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .Y (n_13186));
-  sky130_fd_sc_hd__nand2_1 g323935(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .Y (n_13185));
-  sky130_fd_sc_hd__nand2_1 g323936(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .Y (n_13184));
-  sky130_fd_sc_hd__nand2_1 g323937(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_13183));
-  sky130_fd_sc_hd__nand2_1 g323938(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .Y (n_13182));
-  sky130_fd_sc_hd__nand2_1 g323939(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .Y (n_13181));
-  sky130_fd_sc_hd__nand2_1 g323940(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_13180));
-  sky130_fd_sc_hd__nand2_1 g323941(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .Y (n_13179));
-  sky130_fd_sc_hd__nand2_1 g323942(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_13178));
-  sky130_fd_sc_hd__nor2_1 g323943(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B (n_11593), .Y
-       (n_13177));
-  sky130_fd_sc_hd__nor2_1 g323944(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B (n_11593), .Y
-       (n_13176));
-  sky130_fd_sc_hd__nand2_1 g323945(.A (n_11745), .B (n_15943), .Y
-       (n_13175));
-  sky130_fd_sc_hd__nor2_1 g323946(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .B (n_11593), .Y
-       (n_13174));
-  sky130_fd_sc_hd__nor2_1 g323947(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B (n_11593), .Y
-       (n_13173));
-  sky130_fd_sc_hd__nand2_1 g323948(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .Y (n_13172));
-  sky130_fd_sc_hd__nand2_1 g323949(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .Y (n_13171));
-  sky130_fd_sc_hd__nand2_1 g323950(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .Y (n_13170));
-  sky130_fd_sc_hd__nand2_1 g323951(.A (n_11745), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .Y (n_13169));
-  sky130_fd_sc_hd__a21oi_1 g323952(.A1 (n_13093), .A2 (n_11152), .B1
-       (n_11330), .Y (n_13168));
-  sky130_fd_sc_hd__nor3_1 g323953(.A (n_11316), .B (n_11362), .C
-       (n_13108), .Y (n_13167));
-  sky130_fd_sc_hd__a21oi_1 g323954(.A1 (n_13102), .A2 (n_11152), .B1
-       (n_11340), .Y (n_13166));
-  sky130_fd_sc_hd__a21oi_1 g323955(.A1 (n_13101), .A2 (n_11152), .B1
-       (n_11324), .Y (n_13165));
-  sky130_fd_sc_hd__a21oi_1 g323956(.A1 (n_13100), .A2 (n_11152), .B1
-       (n_11335), .Y (n_13164));
-  sky130_fd_sc_hd__a21oi_1 g323957(.A1 (n_13099), .A2 (n_11152), .B1
-       (n_11333), .Y (n_13163));
-  sky130_fd_sc_hd__a21oi_1 g323958(.A1 (n_13103), .A2 (n_11207), .B1
-       (n_11331), .Y (n_13162));
-  sky130_fd_sc_hd__a21oi_1 g323959(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [5]), .B1 (n_13107), .Y (n_13161));
-  sky130_fd_sc_hd__a21oi_1 g323960(.A1 (n_13098), .A2 (n_11152), .B1
-       (n_11321), .Y (n_13160));
-  sky130_fd_sc_hd__a21oi_1 g323961(.A1 (n_13097), .A2 (n_11152), .B1
-       (n_11328), .Y (n_13159));
-  sky130_fd_sc_hd__a21oi_1 g323962(.A1 (n_13089), .A2 (n_11152), .B1
-       (n_11342), .Y (n_13158));
-  sky130_fd_sc_hd__a21oi_1 g323963(.A1 (n_13094), .A2 (n_11152), .B1
-       (n_11322), .Y (n_13157));
-  sky130_fd_sc_hd__nand2_1 g323964(.A (n_13115), .B (n_12783), .Y
-       (n_13498));
-  sky130_fd_sc_hd__inv_2 g323965(.A (n_619), .Y (n_13515));
-  sky130_fd_sc_hd__inv_2 g323966(.A (n_638), .Y (n_13514));
-  sky130_fd_sc_hd__inv_2 g323967(.A (n_130), .Y (n_13511));
-  sky130_fd_sc_hd__inv_2 g323968(.A (n_625), .Y (n_13510));
-  sky130_fd_sc_hd__inv_2 g323969(.A (n_616), .Y (n_13509));
-  sky130_fd_sc_hd__inv_2 g323970(.A (n_135), .Y (n_13508));
-  sky130_fd_sc_hd__inv_2 g323971(.A (n_113), .Y (n_13507));
-  sky130_fd_sc_hd__inv_2 g323972(.A (n_13134), .Y (n_13506));
-  sky130_fd_sc_hd__inv_2 g323973(.A (n_116), .Y (n_13503));
-  sky130_fd_sc_hd__inv_2 g323974(.A (n_109), .Y (n_13502));
-  sky130_fd_sc_hd__inv_2 g323975(.A (n_112), .Y (n_13500));
-  sky130_fd_sc_hd__inv_2 g323976(.A (n_620), .Y (n_13518));
-  sky130_fd_sc_hd__inv_2 g323977(.A (n_639), .Y (n_13524));
-  sky130_fd_sc_hd__inv_2 g323978(.A (n_141), .Y (n_13526));
-  sky130_fd_sc_hd__a21oi_1 g323979(.A1 (n_13090), .A2 (n_11207), .B1
-       (n_11320), .Y (n_13155));
-  sky130_fd_sc_hd__a21oi_1 g323980(.A1 (n_13092), .A2 (n_11152), .B1
-       (n_11317), .Y (n_13154));
-  sky130_fd_sc_hd__a21oi_1 g323981(.A1 (n_13091), .A2 (n_11152), .B1
-       (n_11336), .Y (n_13153));
-  sky130_fd_sc_hd__a21oi_1 g323982(.A1 (n_11312), .A2 (n_11104), .B1
-       (n_13105), .Y (n_13152));
-  sky130_fd_sc_hd__o22ai_1 g323983(.A1 (n_11151), .A2 (n_13420), .B1
-       (n_11208), .B2 (n_13387), .Y (n_13151));
-  sky130_fd_sc_hd__o21a_1 g323984(.A1 (n_11151), .A2 (n_13428), .B1
-       (n_13120), .X (n_13150));
-  sky130_fd_sc_hd__o21a_1 g323985(.A1 (n_11208), .A2 (n_13412), .B1
-       (n_13124), .X (n_13149));
-  sky130_fd_sc_hd__o21a_1 g323986(.A1 (n_11208), .A2 (n_13401), .B1
-       (n_13113), .X (n_13148));
-  sky130_fd_sc_hd__a21oi_1 g323987(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [2]), .B1 (n_13127), .Y (n_13147));
-  sky130_fd_sc_hd__o22ai_1 g323988(.A1 (n_11151), .A2 (n_13422), .B1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [5]), .B2 (n_11259), .Y (n_13146));
-  sky130_fd_sc_hd__o21a_1 g323989(.A1 (n_11208), .A2 (n_13390), .B1
-       (n_13117), .X (n_13145));
-  sky130_fd_sc_hd__o22ai_1 g323990(.A1 (n_11151), .A2 (n_13424), .B1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [7]), .B2 (n_11259), .Y (n_13144));
-  sky130_fd_sc_hd__o21a_1 g323991(.A1 (n_11151), .A2 (n_13425), .B1
-       (n_13118), .X (n_13143));
-  sky130_fd_sc_hd__o21a_1 g323992(.A1 (n_11208), .A2 (n_13393), .B1
-       (n_13119), .X (n_13142));
-  sky130_fd_sc_hd__o21ai_1 g323993(.A1 (n_11626), .A2 (n_13100), .B1
-       (n_11900), .Y (n_13516));
-  sky130_fd_sc_hd__a222oi_1 g323994(.A1 (u_soc_u_top_u_core_pc_id[20]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[20]), .C1 (n_13437), .C2
-       (n_11625), .Y (n_619));
-  sky130_fd_sc_hd__a222oi_1 g323995(.A1 (u_soc_u_top_u_core_pc_id[19]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[19]), .C1 (n_13436), .C2
-       (n_11625), .Y (n_638));
-  sky130_fd_sc_hd__o21ai_1 g323996(.A1 (n_11626), .A2 (n_13097), .B1
-       (n_11899), .Y (n_13513));
-  sky130_fd_sc_hd__a222oi_1 g323997(.A1 (u_soc_u_top_u_core_pc_id[16]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[16]), .C1 (n_13433), .C2
-       (n_11625), .Y (n_130));
-  sky130_fd_sc_hd__a222oi_1 g323998(.A1 (u_soc_u_top_u_core_pc_id[15]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[15]), .C1 (n_13432), .C2
-       (n_11625), .Y (n_625));
-  sky130_fd_sc_hd__a222oi_1 g323999(.A1 (u_soc_u_top_u_core_pc_id[14]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[14]), .C1 (n_13431), .C2
-       (n_11625), .Y (n_616));
-  sky130_fd_sc_hd__a222oi_1 g324000(.A1 (u_soc_u_top_u_core_pc_id[13]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[13]), .C1 (n_13430), .C2
-       (n_11625), .Y (n_135));
-  sky130_fd_sc_hd__a222oi_1 g324001(.A1 (u_soc_u_top_u_core_pc_id[12]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[12]), .C1 (n_13429), .C2
-       (n_11625), .Y (n_113));
-  sky130_fd_sc_hd__a222oi_1 g324002(.A1 (u_soc_u_top_u_core_pc_id[11]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[11]), .C1 (n_13428), .C2
-       (n_11625), .Y (n_13134));
-  sky130_fd_sc_hd__o21ai_1 g324003(.A1 (n_11626), .A2 (n_13101), .B1
-       (n_11896), .Y (n_13505));
-  sky130_fd_sc_hd__a222oi_1 g324004(.A1 (u_soc_u_top_u_core_pc_id[8]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[8]), .C1 (n_13425), .C2
-       (n_11625), .Y (n_116));
-  sky130_fd_sc_hd__a222oi_1 g324005(.A1 (u_soc_u_top_u_core_pc_id[7]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[7]), .C1 (n_13424), .C2
-       (n_11625), .Y (n_109));
-  sky130_fd_sc_hd__a222oi_1 g324006(.A1 (u_soc_u_top_u_core_pc_id[5]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[5]), .C1 (n_13422), .C2
-       (n_11625), .Y (n_112));
-  sky130_fd_sc_hd__o21ai_1 g324007(.A1 (n_11626), .A2 (n_13102), .B1
-       (n_11901), .Y (n_13517));
-  sky130_fd_sc_hd__a222oi_1 g324008(.A1 (u_soc_u_top_u_core_pc_id[23]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[23]), .C1 (n_13440), .C2
-       (n_11625), .Y (n_620));
-  sky130_fd_sc_hd__o21ai_1 g324009(.A1 (n_11626), .A2 (n_13093), .B1
-       (n_11902), .Y (n_13519));
-  sky130_fd_sc_hd__o21ai_1 g324010(.A1 (n_11626), .A2 (n_13094), .B1
-       (n_11903), .Y (n_13520));
-  sky130_fd_sc_hd__o21ai_1 g324011(.A1 (n_11626), .A2 (n_13098), .B1
-       (n_11905), .Y (n_13521));
-  sky130_fd_sc_hd__o21ai_1 g324012(.A1 (n_11626), .A2 (n_13099), .B1
-       (n_11906), .Y (n_13522));
-  sky130_fd_sc_hd__a222oi_1 g324013(.A1 (u_soc_u_top_u_core_pc_id[29]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[29]), .C1 (n_13446), .C2
-       (n_11625), .Y (n_639));
-  sky130_fd_sc_hd__a221o_1 g324014(.A1 (n_13409), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[25]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[25]));
-  sky130_fd_sc_hd__o221ai_1 g324015(.A1 (n_15881), .A2 (n_13103), .B1
-       (n_11022), .B2 (n_15883), .C1 (n_12260), .Y
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]));
-  sky130_fd_sc_hd__a221o_1 g324016(.A1 (n_13401), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[17]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[17]));
-  sky130_fd_sc_hd__a221o_1 g324017(.A1 (n_13413), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[29]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[29]));
-  sky130_fd_sc_hd__a221o_1 g324018(.A1 (n_13410), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[26]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[26]));
-  sky130_fd_sc_hd__a222oi_1 g324019(.A1 (u_soc_u_top_u_core_pc_id[31]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[31]), .C1 (n_13448), .C2
-       (n_11625), .Y (n_141));
-  sky130_fd_sc_hd__inv_2 g324020(.A (n_577), .Y
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]));
-  sky130_fd_sc_hd__a21oi_1 g324021(.A1 (n_13086), .A2 (n_11207), .B1
-       (n_11318), .Y (n_13128));
-  sky130_fd_sc_hd__o22ai_1 g324022(.A1 (n_11208), .A2 (n_13416), .B1
-       (n_11110), .B2 (n_11313), .Y (n_13127));
-  sky130_fd_sc_hd__o221a_1 g324023(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .A2 (n_16005), .B1
-       (n_11208), .B2 (n_13388), .C1 (n_11369), .X (n_13126));
-  sky130_fd_sc_hd__o221a_1 g324024(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .A2 (n_16005), .B1
-       (n_11208), .B2 (n_13389), .C1 (n_11392), .X (n_13125));
-  sky130_fd_sc_hd__a21oi_1 g324025(.A1 (n_13087), .A2 (n_11152), .B1
-       (n_11347), .Y (n_13124));
-  sky130_fd_sc_hd__a21oi_1 g324026(.A1 (n_13075), .A2 (n_11207), .B1
-       (n_11353), .Y (n_13123));
-  sky130_fd_sc_hd__a21oi_1 g324027(.A1 (n_13076), .A2 (n_11207), .B1
-       (n_11319), .Y (n_13122));
-  sky130_fd_sc_hd__a21oi_1 g324028(.A1 (n_13077), .A2 (n_11207), .B1
-       (n_11329), .Y (n_13121));
-  sky130_fd_sc_hd__a21oi_1 g324029(.A1 (n_13074), .A2 (n_11207), .B1
-       (n_11341), .Y (n_13120));
-  sky130_fd_sc_hd__a21oi_1 g324030(.A1 (n_13083), .A2 (n_11152), .B1
-       (n_11338), .Y (n_13119));
-  sky130_fd_sc_hd__a21oi_1 g324031(.A1 (n_13085), .A2 (n_11207), .B1
-       (n_11334), .Y (n_13118));
-  sky130_fd_sc_hd__a21oi_1 g324032(.A1 (n_13070), .A2 (n_11152), .B1
-       (n_11337), .Y (n_13117));
-  sky130_fd_sc_hd__a21oi_1 g324033(.A1 (n_13082), .A2 (n_11207), .B1
-       (n_11351), .Y (n_13116));
-  sky130_fd_sc_hd__nand3b_1 g324034(.A_N
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [1]), .B (n_13365), .C
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-       .Y (n_13453));
-  sky130_fd_sc_hd__nand2_1 g324035(.A (n_13420), .B (n_11625), .Y
-       (n_13115));
-  sky130_fd_sc_hd__a21oi_1 g324036(.A1 (n_669), .A2 (n_11152), .B1
-       (n_11326), .Y (n_13114));
-  sky130_fd_sc_hd__a21oi_1 g324037(.A1 (n_13088), .A2 (n_11152), .B1
-       (n_11325), .Y (n_13113));
-  sky130_fd_sc_hd__a21oi_1 g324038(.A1 (n_15945), .A2 (n_11152), .B1
-       (n_11339), .Y (n_13112));
-  sky130_fd_sc_hd__a21oi_1 g324039(.A1 (n_13078), .A2 (n_11207), .B1
-       (n_11327), .Y (n_13111));
-  sky130_fd_sc_hd__o21ai_1 g324040(.A1 (n_11626), .A2 (n_13073), .B1
-       (n_12784), .Y (n_13499));
-  sky130_fd_sc_hd__o21ai_1 g324041(.A1 (n_11626), .A2 (n_669), .B1
-       (n_12781), .Y (n_13496));
-  sky130_fd_sc_hd__o21ai_1 g324042(.A1 (n_11626), .A2 (n_13069), .B1
-       (n_12782), .Y (n_13497));
-  sky130_fd_sc_hd__a21o_1 g324043(.A1 (n_13386), .A2 (n_11443), .B1
-       (n_12947), .X (u_soc_u_top_u_core_alu_operand_b_ex[2]));
-  sky130_fd_sc_hd__a221o_1 g324044(.A1 (n_13414), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[30]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[30]));
-  sky130_fd_sc_hd__a221o_1 g324045(.A1 (n_13411), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[27]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[27]));
-  sky130_fd_sc_hd__a21o_1 g324046(.A1 (n_13395), .A2 (n_11443), .B1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[11]));
-  sky130_fd_sc_hd__a222oi_1 g324047(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[11]), .A2 (n_12263), .B1
-       (n_12261), .B2 (u_soc_u_top_u_core_instr_rdata_id[24]), .C1
-       (n_13388), .C2 (n_11443), .Y (n_577));
-  sky130_fd_sc_hd__o21ai_1 g324049(.A1 (n_15881), .A2 (n_13084), .B1
-       (n_12811), .Y (u_soc_u_top_u_core_alu_operand_b_ex[31]));
-  sky130_fd_sc_hd__inv_2 g324050(.A (n_119), .Y (n_13525));
-  sky130_fd_sc_hd__inv_2 g324051(.A (n_142), .Y
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]));
-  sky130_fd_sc_hd__o22ai_1 g324052(.A1 (n_11151), .A2 (n_13419), .B1
-       (n_11208), .B2 (n_13386), .Y (n_13108));
-  sky130_fd_sc_hd__o22ai_1 g324053(.A1 (n_11151), .A2 (n_13421), .B1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [4]), .B2 (n_11259), .Y (n_13107));
-  sky130_fd_sc_hd__o22ai_1 g324054(.A1 (n_11208), .A2 (n_13391), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .B2 (n_16005), .Y
-       (n_13106));
-  sky130_fd_sc_hd__o21ai_1 g324055(.A1 (n_11208), .A2 (n_15946), .B1
-       (n_11391), .Y (n_13105));
-  sky130_fd_sc_hd__o21ai_1 g324056(.A1 (n_11626), .A2 (n_13070), .B1
-       (n_11904), .Y (n_13501));
-  sky130_fd_sc_hd__o21ai_1 g324057(.A1 (n_11626), .A2 (n_15945), .B1
-       (n_12310), .Y (n_13495));
-  sky130_fd_sc_hd__a222oi_1 g324058(.A1 (u_soc_u_top_u_core_pc_id[30]),
-       .A2 (n_15862), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[30]), .C1 (n_13447), .C2
-       (n_11625), .Y (n_119));
-  sky130_fd_sc_hd__o21ai_1 g324059(.A1 (n_11626), .A2 (n_13087), .B1
-       (n_11907), .Y (n_13523));
-  sky130_fd_sc_hd__o21ai_1 g324060(.A1 (n_11626), .A2 (n_13088), .B1
-       (n_11898), .Y (n_13512));
-  sky130_fd_sc_hd__o21ai_1 g324061(.A1 (n_11626), .A2 (n_13083), .B1
-       (n_11897), .Y (n_13504));
-  sky130_fd_sc_hd__a221o_1 g324062(.A1 (n_13397), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[13]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[13]));
-  sky130_fd_sc_hd__a221o_1 g324063(.A1 (n_13396), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[12]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[12]));
-  sky130_fd_sc_hd__a22o_1 g324064(.A1 (n_13394), .A2 (n_11443), .B1
-       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[30]), .X
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]));
-  sky130_fd_sc_hd__a22o_1 g324065(.A1 (n_13393), .A2 (n_11443), .B1
-       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[29]), .X
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]));
-  sky130_fd_sc_hd__a22o_1 g324066(.A1 (n_13392), .A2 (n_11443), .B1
-       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[28]), .X
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]));
-  sky130_fd_sc_hd__a22o_1 g324067(.A1 (n_13391), .A2 (n_11443), .B1
-       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[27]), .X
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]));
-  sky130_fd_sc_hd__a221o_1 g324068(.A1 (n_13406), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[22]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[22]));
-  sky130_fd_sc_hd__a22o_1 g324069(.A1 (n_13390), .A2 (n_11443), .B1
-       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[26]), .X
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]));
-  sky130_fd_sc_hd__a22o_1 g324070(.A1 (n_13389), .A2 (n_11443), .B1
-       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[25]), .X
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]));
-  sky130_fd_sc_hd__nand2_8 g324071(.A (n_16008), .B (n_13096), .Y
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]));
-  sky130_fd_sc_hd__a221o_1 g324072(.A1 (n_13398), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[14]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[14]));
-  sky130_fd_sc_hd__a221o_1 g324073(.A1 (n_13412), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[28]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[28]));
-  sky130_fd_sc_hd__a221o_1 g324074(.A1 (n_13405), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[21]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[21]));
-  sky130_fd_sc_hd__a221o_1 g324075(.A1 (n_13403), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[19]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[19]));
-  sky130_fd_sc_hd__a221o_1 g324076(.A1 (n_13402), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[18]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[18]));
-  sky130_fd_sc_hd__o221ai_1 g324077(.A1 (n_15881), .A2 (n_13078), .B1
-       (n_11032), .B2 (n_15883), .C1 (n_12260), .Y
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]));
-  sky130_fd_sc_hd__a221o_1 g324078(.A1 (n_13399), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[15]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[15]));
-  sky130_fd_sc_hd__a221o_1 g324079(.A1 (n_13408), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[24]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[24]));
-  sky130_fd_sc_hd__a221o_1 g324080(.A1 (n_13407), .A2 (n_11443), .B1
-       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[23]), .C1
-       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[23]));
-  sky130_fd_sc_hd__a222oi_1 g324081(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[10]), .A2 (n_12263), .B1
-       (n_12261), .B2 (u_soc_u_top_u_core_instr_rdata_id[23]), .C1
-       (n_13387), .C2 (n_11443), .Y (n_142));
-  sky130_fd_sc_hd__inv_2 g324082(.A (n_13103), .Y (n_13404));
-  sky130_fd_sc_hd__inv_2 g324083(.A (n_13102), .Y (n_13439));
-  sky130_fd_sc_hd__inv_2 g324084(.A (n_13101), .Y (n_13427));
-  sky130_fd_sc_hd__inv_2 g324085(.A (n_13100), .Y (n_13438));
-  sky130_fd_sc_hd__inv_2 g324086(.A (n_13099), .Y (n_13444));
-  sky130_fd_sc_hd__inv_2 g324087(.A (n_13098), .Y (n_13443));
-  sky130_fd_sc_hd__inv_2 g324088(.A (n_13097), .Y (n_13435));
-  sky130_fd_sc_hd__nand2_2 g324089(.A (n_15946), .B (n_11443), .Y
-       (n_13096));
-  sky130_fd_sc_hd__nand2b_1 g324091(.A_N (n_13335), .B
-       (\u_soc_xbar_to_lsu[d_valid] ), .Y (n_13365));
-  sky130_fd_sc_hd__nand4_1 g324092(.A (n_12847), .B (n_12848), .C
-       (n_12892), .D (n_13002), .Y (n_13422));
-  sky130_fd_sc_hd__nand2_1 g324093(.A (n_13079), .B (n_13001), .Y
-       (n_13420));
-  sky130_fd_sc_hd__nor3_1 g324094(.A (n_12993), .B (n_12994), .C
-       (n_13080), .Y (n_13103));
-  sky130_fd_sc_hd__nand4_1 g324095(.A (n_13081), .B (n_12772), .C
-       (n_12771), .D (n_12836), .Y (n_13428));
-  sky130_fd_sc_hd__nor3_1 g324096(.A (n_12942), .B (n_13047), .C
-       (n_13049), .Y (n_13102));
-  sky130_fd_sc_hd__nor3_1 g324097(.A (n_12941), .B (n_13046), .C
-       (n_13048), .Y (n_13101));
-  sky130_fd_sc_hd__nor3_1 g324098(.A (n_12935), .B (n_13042), .C
-       (n_13043), .Y (n_13100));
-  sky130_fd_sc_hd__nor3_1 g324099(.A (n_12932), .B (n_13038), .C
-       (n_13041), .Y (n_13099));
-  sky130_fd_sc_hd__or4_1 g324100(.A (n_12834), .B (n_12931), .C
-       (n_13039), .D (n_12971), .X (n_13437));
-  sky130_fd_sc_hd__or4_1 g324101(.A (n_12830), .B (n_12925), .C
-       (n_13037), .D (n_12966), .X (n_13436));
-  sky130_fd_sc_hd__nor3_1 g324102(.A (n_12996), .B (n_13031), .C
-       (n_13035), .Y (n_13098));
-  sky130_fd_sc_hd__nor3_1 g324103(.A (n_12918), .B (n_13030), .C
-       (n_13014), .Y (n_13097));
-  sky130_fd_sc_hd__inv_2 g324104(.A (n_13094), .Y (n_13442));
-  sky130_fd_sc_hd__inv_2 g324105(.A (n_13093), .Y (n_13441));
-  sky130_fd_sc_hd__inv_2 g324106(.A (n_13092), .Y (n_13430));
-  sky130_fd_sc_hd__inv_2 g324107(.A (n_13091), .Y (n_13440));
-  sky130_fd_sc_hd__inv_2 g324108(.A (n_13090), .Y (n_13413));
-  sky130_fd_sc_hd__inv_2 g324109(.A (n_13089), .Y (n_13448));
-  sky130_fd_sc_hd__or4_1 g324110(.A (n_12711), .B (n_12862), .C
-       (n_12982), .D (n_13025), .X (n_13433));
-  sky130_fd_sc_hd__nor3_1 g324111(.A (n_12913), .B (n_13026), .C
-       (n_13027), .Y (n_13094));
-  sky130_fd_sc_hd__or4_1 g324112(.A (n_12821), .B (n_12704), .C
-       (n_12957), .D (n_13021), .X (n_13446));
-  sky130_fd_sc_hd__nor3_1 g324113(.A (n_12909), .B (n_13019), .C
-       (n_13020), .Y (n_13093));
-  sky130_fd_sc_hd__or4_1 g324114(.A (n_12819), .B (n_12907), .C
-       (n_13018), .D (n_12955), .X (n_13431));
-  sky130_fd_sc_hd__nor4_1 g324115(.A (n_12818), .B (n_12906), .C
-       (n_13032), .D (n_12952), .Y (n_13092));
-  sky130_fd_sc_hd__nor4_1 g324116(.A (n_12817), .B (n_12903), .C
-       (n_13012), .D (n_12950), .Y (n_13091));
-  sky130_fd_sc_hd__or3_1 g324117(.A (n_12902), .B (n_13011), .C
-       (n_13013), .X (n_13429));
-  sky130_fd_sc_hd__nand3_1 g324118(.A (n_13060), .B (n_12852), .C
-       (n_12999), .Y (n_13424));
-  sky130_fd_sc_hd__or4_1 g324119(.A (n_12991), .B (n_12990), .C
-       (n_12989), .D (n_12998), .X (n_13410));
-  sky130_fd_sc_hd__or4_1 g324120(.A (n_12986), .B (n_12984), .C
-       (n_12843), .D (n_12997), .X (n_13409));
-  sky130_fd_sc_hd__or4_1 g324121(.A (n_12826), .B (n_12987), .C
-       (n_12985), .D (n_13007), .X (n_13401));
-  sky130_fd_sc_hd__nor4_1 g324122(.A (n_12822), .B (n_12983), .C
-       (n_12844), .D (n_13006), .Y (n_13090));
-  sky130_fd_sc_hd__nand4_1 g324123(.A (n_12853), .B (n_13000), .C
-       (n_12854), .D (n_12874), .Y (n_13425));
-  sky130_fd_sc_hd__or4_1 g324124(.A (n_12910), .B (n_12861), .C
-       (n_13023), .D (n_12841), .X (n_13432));
-  sky130_fd_sc_hd__nor4_1 g324125(.A (n_12825), .B (n_12908), .C
-       (n_13010), .D (n_12960), .Y (n_13089));
-  sky130_fd_sc_hd__inv_2 g324126(.A (n_13088), .Y (n_13434));
-  sky130_fd_sc_hd__inv_2 g324127(.A (n_13087), .Y (n_13445));
-  sky130_fd_sc_hd__inv_2 g324128(.A (n_13086), .Y (n_13414));
-  sky130_fd_sc_hd__inv_2 g324129(.A (n_13085), .Y (n_13392));
-  sky130_fd_sc_hd__inv_2 g324130(.A (n_13084), .Y (n_13415));
-  sky130_fd_sc_hd__inv_2 g324131(.A (n_13083), .Y (n_13426));
-  sky130_fd_sc_hd__inv_2 g324132(.A (n_13082), .Y (n_13403));
-  sky130_fd_sc_hd__nor2_1 g324133(.A (n_12945), .B (n_13068), .Y
-       (n_13081));
-  sky130_fd_sc_hd__nand4_1 g324134(.A (n_13008), .B (n_12554), .C
-       (n_12225), .D (n_12552), .Y (n_13080));
-  sky130_fd_sc_hd__nor2_1 g324135(.A (n_12837), .B (n_13065), .Y
-       (n_13079));
-  sky130_fd_sc_hd__nand2_1 g324136(.A (n_13036), .B (n_13054), .Y
-       (n_13387));
-  sky130_fd_sc_hd__or4_1 g324137(.A (n_12831), .B (n_12846), .C
-       (n_12871), .D (n_12968), .X (n_13447));
-  sky130_fd_sc_hd__nand2_1 g324138(.A (n_13005), .B (n_13033), .Y
-       (n_13386));
-  sky130_fd_sc_hd__nor3_1 g324139(.A (n_12842), .B (n_12864), .C
-       (n_13029), .Y (n_13088));
-  sky130_fd_sc_hd__nor3_1 g324140(.A (n_12859), .B (n_12876), .C
-       (n_13009), .Y (n_13087));
-  sky130_fd_sc_hd__nor4_1 g324141(.A (n_12739), .B (n_12745), .C
-       (n_12975), .D (n_13045), .Y (n_13086));
-  sky130_fd_sc_hd__or4_1 g324142(.A (n_12761), .B (n_12937), .C
-       (n_12974), .D (n_12939), .X (n_13411));
-  sky130_fd_sc_hd__nor3_1 g324143(.A (n_12936), .B (n_12976), .C
-       (n_13062), .Y (n_13085));
-  sky130_fd_sc_hd__nand4_1 g324144(.A (n_13061), .B (n_12749), .C
-       (n_12748), .D (n_12835), .Y (n_13391));
-  sky130_fd_sc_hd__nor4_1 g324145(.A (n_12743), .B (n_12758), .C
-       (n_12962), .D (n_13034), .Y (n_13084));
-  sky130_fd_sc_hd__nor3_1 g324146(.A (n_12856), .B (n_12875), .C
-       (n_13044), .Y (n_13083));
-  sky130_fd_sc_hd__or4_1 g324147(.A (n_12742), .B (n_12740), .C
-       (n_12972), .D (n_13040), .X (n_13390));
-  sky130_fd_sc_hd__nand4_1 g324148(.A (n_13058), .B (n_12737), .C
-       (n_12832), .D (n_12735), .Y (n_13389));
-  sky130_fd_sc_hd__nor4_1 g324149(.A (n_12736), .B (n_12928), .C
-       (n_12969), .D (n_12929), .Y (n_13082));
-  sky130_fd_sc_hd__nand2_1 g324150(.A (n_13057), .B (n_13056), .Y
-       (n_13388));
-  sky130_fd_sc_hd__or4_1 g324151(.A (n_12728), .B (n_12921), .C
-       (n_12964), .D (n_12923), .X (n_13402));
-  sky130_fd_sc_hd__nand2_1 g324152(.A (n_13067), .B (n_13004), .Y
-       (n_13416));
-  sky130_fd_sc_hd__inv_2 g324153(.A (n_13078), .Y (n_13400));
-  sky130_fd_sc_hd__inv_2 g324154(.A (n_13077), .Y (n_13399));
-  sky130_fd_sc_hd__inv_2 g324155(.A (n_13076), .Y (n_13398));
-  sky130_fd_sc_hd__inv_2 g324156(.A (n_13075), .Y (n_13396));
-  sky130_fd_sc_hd__inv_2 g324157(.A (n_13074), .Y (n_13395));
-  sky130_fd_sc_hd__inv_2 g324158(.A (n_13073), .Y (n_13421));
-  sky130_fd_sc_hd__inv_2 g324159(.A (n_669), .Y (n_13418));
-  sky130_fd_sc_hd__inv_2 g324160(.A (n_15945), .Y (n_13417));
-  sky130_fd_sc_hd__inv_2 g324161(.A (n_13070), .Y (n_13423));
-  sky130_fd_sc_hd__inv_2 g324162(.A (n_13069), .Y (n_13419));
-  sky130_fd_sc_hd__mux4_2 g324163(.A0 (\u_soc_dccm_to_xbar[d_valid] ),
-       .A1 (\u_soc_tcam_to_xbar[d_valid] ), .A2
-       (\u_soc_uart_to_xbar[d_valid] ), .A3
-       (\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ), .S0
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .S1
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .X
-       (\u_soc_xbar_to_lsu[d_valid] ));
-  sky130_fd_sc_hd__nor3_1 g324164(.A (n_12914), .B (n_12961), .C
-       (n_13051), .Y (n_13078));
-  sky130_fd_sc_hd__nor4_1 g324165(.A (n_12911), .B (n_12709), .C
-       (n_12958), .D (n_12912), .Y (n_13077));
-  sky130_fd_sc_hd__or4_1 g324166(.A (n_12706), .B (n_12705), .C
-       (n_12959), .D (n_13024), .X (n_13408));
-  sky130_fd_sc_hd__nor4_1 g324167(.A (n_12703), .B (n_12701), .C
-       (n_12956), .D (n_13022), .Y (n_13076));
-  sky130_fd_sc_hd__or4_1 g324168(.A (n_12698), .B (n_12697), .C
-       (n_12954), .D (n_13017), .X (n_13397));
-  sky130_fd_sc_hd__or4_1 g324169(.A (n_12694), .B (n_12696), .C
-       (n_12953), .D (n_13016), .X (n_13407));
-  sky130_fd_sc_hd__or4_1 g324170(.A (n_12690), .B (n_12692), .C
-       (n_12951), .D (n_13015), .X (n_13412));
-  sky130_fd_sc_hd__nor4_1 g324171(.A (n_12693), .B (n_12904), .C
-       (n_12949), .D (n_12905), .Y (n_13075));
-  sky130_fd_sc_hd__nor4_1 g324172(.A (n_12687), .B (n_12899), .C
-       (n_12948), .D (n_12901), .Y (n_13074));
-  sky130_fd_sc_hd__or4_1 g324173(.A (n_12686), .B (n_12944), .C
-       (n_12980), .D (n_12900), .X (n_13406));
-  sky130_fd_sc_hd__nor3_1 g324174(.A (n_12988), .B (n_12924), .C
-       (n_13055), .Y (n_13073));
-  sky130_fd_sc_hd__and3_1 g324175(.A (n_13052), .B (n_12887), .C
-       (n_12915), .X (n_669));
-  sky130_fd_sc_hd__nand4_1 g324177(.A (n_13064), .B (n_12860), .C
-       (n_12594), .D (n_12768), .Y (n_13394));
-  sky130_fd_sc_hd__nand4_1 g324178(.A (n_13063), .B (n_12857), .C
-       (n_12583), .D (n_12762), .Y (n_13393));
-  sky130_fd_sc_hd__or4_1 g324179(.A (n_12938), .B (n_12995), .C
-       (n_12858), .D (n_12977), .X (n_13405));
-  sky130_fd_sc_hd__nor3_1 g324180(.A (n_12872), .B (n_12849), .C
-       (n_13059), .Y (n_13070));
-  sky130_fd_sc_hd__nor3_1 g324181(.A (n_13053), .B (n_12916), .C
-       (n_12917), .Y (n_13069));
-  sky130_fd_sc_hd__nand4_1 g324183(.A (n_12898), .B (n_12407), .C
-       (n_11889), .D (n_12113), .Y (n_13068));
-  sky130_fd_sc_hd__nor2_1 g324184(.A (n_12888), .B (n_12867), .Y
-       (n_13067));
-  sky130_fd_sc_hd__nand3_1 g324186(.A (n_15953), .B (n_12724), .C
-       (n_12503), .Y (n_13065));
-  sky130_fd_sc_hd__nor2_1 g324187(.A (n_12943), .B (n_12979), .Y
-       (n_13064));
-  sky130_fd_sc_hd__nor2_1 g324188(.A (n_12940), .B (n_12978), .Y
-       (n_13063));
-  sky130_fd_sc_hd__nand4_1 g324189(.A (n_12755), .B (n_12754), .C
-       (n_12238), .D (n_12571), .Y (n_13062));
-  sky130_fd_sc_hd__nor2_1 g324190(.A (n_12934), .B (n_12973), .Y
-       (n_13061));
-  sky130_fd_sc_hd__nor2_1 g324191(.A (n_12873), .B (n_12933), .Y
-       (n_13060));
-  sky130_fd_sc_hd__nand4_1 g324192(.A (n_12850), .B (n_12833), .C
-       (n_11884), .D (n_12065), .Y (n_13059));
-  sky130_fd_sc_hd__nor2_1 g324193(.A (n_12930), .B (n_12970), .Y
-       (n_13058));
-  sky130_fd_sc_hd__nor2_1 g324194(.A (n_12732), .B (n_12927), .Y
-       (n_13057));
-  sky130_fd_sc_hd__nor2_1 g324195(.A (n_12926), .B (n_12967), .Y
-       (n_13056));
-  sky130_fd_sc_hd__nand4_1 g324196(.A (n_12730), .B (n_12729), .C
-       (n_12201), .D (n_12519), .Y (n_13055));
-  sky130_fd_sc_hd__nor2_1 g324197(.A (n_12922), .B (n_12965), .Y
-       (n_13054));
-  sky130_fd_sc_hd__nand4_1 g324198(.A (n_12869), .B (n_12337), .C
-       (n_11699), .D (n_12024), .Y (n_13053));
-  sky130_fd_sc_hd__nor2_1 g324199(.A (n_12759), .B (n_12866), .Y
-       (n_13052));
-  sky130_fd_sc_hd__nand4_1 g324200(.A (n_12715), .B (n_12714), .C
-       (n_12160), .D (n_12462), .Y (n_13051));
-  sky130_fd_sc_hd__nand4_1 g324202(.A (n_12766), .B (n_12765), .C
-       (n_12251), .D (n_12592), .Y (n_13049));
-  sky130_fd_sc_hd__nand4_1 g324203(.A (n_12764), .B (n_12763), .C
-       (n_12249), .D (n_12590), .Y (n_13048));
-  sky130_fd_sc_hd__nand4_1 g324204(.A (n_12897), .B (n_12399), .C
-       (n_11876), .D (n_12101), .Y (n_13047));
-  sky130_fd_sc_hd__nand4_1 g324205(.A (n_12896), .B (n_12398), .C
-       (n_11874), .D (n_12100), .Y (n_13046));
-  sky130_fd_sc_hd__nand4_1 g324206(.A (n_12816), .B (n_12575), .C
-       (n_12235), .D (n_12566), .Y (n_13045));
-  sky130_fd_sc_hd__nand4_1 g324207(.A (n_12757), .B (n_12756), .C
-       (n_12241), .D (n_12576), .Y (n_13044));
-  sky130_fd_sc_hd__nand4_1 g324208(.A (n_12753), .B (n_12752), .C
-       (n_12237), .D (n_12568), .Y (n_13043));
-  sky130_fd_sc_hd__nand4_1 g324209(.A (n_12895), .B (n_12382), .C
-       (n_11852), .D (n_12081), .Y (n_13042));
-  sky130_fd_sc_hd__nand4_1 g324210(.A (n_12746), .B (n_12744), .C
-       (n_12226), .D (n_12551), .Y (n_13041));
-  sky130_fd_sc_hd__nand4_1 g324211(.A (n_12815), .B (n_12547), .C
-       (n_12218), .D (n_12546), .Y (n_13040));
-  sky130_fd_sc_hd__nand4_1 g324212(.A (n_12893), .B (n_12364), .C
-       (n_11702), .D (n_12061), .Y (n_13039));
-  sky130_fd_sc_hd__nand4_1 g324213(.A (n_12894), .B (n_12367), .C
-       (n_11832), .D (n_12062), .Y (n_13038));
-  sky130_fd_sc_hd__nand4_1 g324214(.A (n_12891), .B (n_12351), .C
-       (n_11893), .D (n_12041), .Y (n_13037));
-  sky130_fd_sc_hd__nor2_1 g324215(.A (n_12799), .B (n_12946), .Y
-       (n_13036));
-  sky130_fd_sc_hd__nand4_1 g324216(.A (n_12725), .B (n_12723), .C
-       (n_12195), .D (n_12507), .Y (n_13035));
-  sky130_fd_sc_hd__nand4_1 g324217(.A (n_12814), .B (n_12582), .C
-       (n_12205), .D (n_12509), .Y (n_13034));
-  sky130_fd_sc_hd__nor2_1 g324218(.A (n_12829), .B (n_12963), .Y
-       (n_13033));
-  sky130_fd_sc_hd__nand4_1 g324219(.A (n_12880), .B (n_12280), .C
-       (n_11692), .D (n_11962), .Y (n_13032));
-  sky130_fd_sc_hd__nand4_1 g324220(.A (n_12890), .B (n_12336), .C
-       (n_11738), .D (n_12022), .Y (n_13031));
-  sky130_fd_sc_hd__nand4_1 g324221(.A (n_12889), .B (n_12334), .C
-       (n_11796), .D (n_12021), .Y (n_13030));
-  sky130_fd_sc_hd__nand4_1 g324222(.A (n_12717), .B (n_12716), .C
-       (n_12167), .D (n_12473), .Y (n_13029));
-  sky130_fd_sc_hd__a211oi_1 g324223(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [0]), .B1 (n_15951), .C1 (n_12865), .Y (n_13028));
-  sky130_fd_sc_hd__nand4_1 g324224(.A (n_12713), .B (n_12712), .C
-       (n_12158), .D (n_12459), .Y (n_13027));
-  sky130_fd_sc_hd__nand4_1 g324225(.A (n_12886), .B (n_12313), .C
-       (n_11732), .D (n_11993), .Y (n_13026));
-  sky130_fd_sc_hd__nand4_1 g324226(.A (n_12451), .B (n_12885), .C
-       (n_12153), .D (n_12450), .Y (n_13025));
-  sky130_fd_sc_hd__nand4_1 g324227(.A (n_12810), .B (n_12448), .C
-       (n_12151), .D (n_12446), .Y (n_13024));
-  sky130_fd_sc_hd__nand4_1 g324228(.A (n_12884), .B (n_12302), .C
-       (n_11721), .D (n_11983), .Y (n_13023));
-  sky130_fd_sc_hd__nand4_1 g324229(.A (n_12809), .B (n_12441), .C
-       (n_12145), .D (n_12440), .Y (n_13022));
-  sky130_fd_sc_hd__nand4_1 g324230(.A (n_12883), .B (n_12438), .C
-       (n_12142), .D (n_12436), .Y (n_13021));
-  sky130_fd_sc_hd__nand4_1 g324231(.A (n_12702), .B (n_12700), .C
-       (n_12144), .D (n_12439), .Y (n_13020));
-  sky130_fd_sc_hd__nand4_1 g324232(.A (n_12882), .B (n_12294), .C
-       (n_11703), .D (n_11970), .Y (n_13019));
-  sky130_fd_sc_hd__nand4_1 g324233(.A (n_12881), .B (n_12293), .C
-       (n_11704), .D (n_11971), .Y (n_13018));
-  sky130_fd_sc_hd__nand4_1 g324234(.A (n_12808), .B (n_12430), .C
-       (n_12139), .D (n_12429), .Y (n_13017));
-  sky130_fd_sc_hd__nand4_1 g324235(.A (n_12807), .B (n_12428), .C
-       (n_12138), .D (n_12427), .Y (n_13016));
-  sky130_fd_sc_hd__nand4_1 g324236(.A (n_12806), .B (n_12426), .C
-       (n_12137), .D (n_12424), .Y (n_13015));
-  sky130_fd_sc_hd__nand4_1 g324237(.A (n_12722), .B (n_12721), .C
-       (n_12187), .D (n_12497), .Y (n_13014));
-  sky130_fd_sc_hd__nand4_1 g324238(.A (n_12689), .B (n_12688), .C
-       (n_12130), .D (n_12416), .Y (n_13013));
-  sky130_fd_sc_hd__nand4_1 g324239(.A (n_12878), .B (n_12272), .C
-       (n_11681), .D (n_11951), .Y (n_13012));
-  sky130_fd_sc_hd__nand4_1 g324240(.A (n_12877), .B (n_12271), .C
-       (n_11682), .D (n_11952), .Y (n_13011));
-  sky130_fd_sc_hd__nand4_1 g324241(.A (n_12879), .B (n_12404), .C
-       (n_11888), .D (n_12108), .Y (n_13010));
-  sky130_fd_sc_hd__nand4_1 g324242(.A (n_12770), .B (n_12767), .C
-       (n_12254), .D (n_12598), .Y (n_13009));
-  sky130_fd_sc_hd__a21oi_1 g324243(.A1 (n_15860), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .B1 (n_12992), .Y
-       (n_13008));
-  sky130_fd_sc_hd__nand4_1 g324244(.A (n_12719), .B (n_12477), .C
-       (n_11785), .D (n_12479), .Y (n_13007));
-  sky130_fd_sc_hd__nand4_1 g324245(.A (n_12710), .B (n_12455), .C
-       (n_11735), .D (n_12457), .Y (n_13006));
-  sky130_fd_sc_hd__nor3_1 g324246(.A (n_15948), .B (n_12805), .C
-       (n_12870), .Y (n_13005));
-  sky130_fd_sc_hd__nor2_1 g324247(.A (n_12868), .B (n_12845), .Y
-       (n_13004));
-  sky130_fd_sc_hd__and3_1 g324249(.A (n_12528), .B (n_12684), .C
-       (n_12526), .X (n_13002));
-  sky130_fd_sc_hd__nor2_1 g324250(.A (n_12685), .B (n_12920), .Y
-       (n_13001));
-  sky130_fd_sc_hd__a221oi_1 g324251(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [8]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [8]), .C1 (n_12855), .Y (n_13000));
-  sky130_fd_sc_hd__a221oi_1 g324252(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [7]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [7]), .C1 (n_12851), .Y (n_12999));
-  sky130_fd_sc_hd__nand4_1 g324253(.A (n_12516), .B (n_12513), .C
-       (n_12200), .D (n_12198), .Y (n_12998));
-  sky130_fd_sc_hd__nand4_1 g324254(.A (n_12467), .B (n_12463), .C
-       (n_12163), .D (n_12161), .Y (n_12997));
-  sky130_fd_sc_hd__nand3_1 g324255(.A (n_12495), .B (n_12493), .C
-       (n_12184), .Y (n_12996));
-  sky130_fd_sc_hd__nand4_1 g324257(.A (n_12580), .B (n_12094), .C
-       (n_11868), .D (n_12243), .Y (n_12995));
-  sky130_fd_sc_hd__nand4_1 g324258(.A (n_12559), .B (n_12120), .C
-       (n_11847), .D (n_12230), .Y (n_12994));
-  sky130_fd_sc_hd__nand4_1 g324259(.A (n_12555), .B (n_12124), .C
-       (n_11845), .D (n_12227), .Y (n_12993));
-  sky130_fd_sc_hd__nand4_1 g324260(.A (n_12548), .B (n_12221), .C
-       (n_11839), .D (n_12073), .Y (n_12992));
-  sky130_fd_sc_hd__nand4_1 g324261(.A (n_12535), .B (n_12055), .C
-       (n_11824), .D (n_12211), .Y (n_12991));
-  sky130_fd_sc_hd__nand4_1 g324262(.A (n_12529), .B (n_12208), .C
-       (n_11817), .D (n_12051), .Y (n_12990));
-  sky130_fd_sc_hd__nand4_1 g324263(.A (n_12522), .B (n_12044), .C
-       (n_11853), .D (n_12203), .Y (n_12989));
-  sky130_fd_sc_hd__nand4_1 g324264(.A (n_12515), .B (n_12040), .C
-       (n_11809), .D (n_12675), .Y (n_12988));
-  sky130_fd_sc_hd__nand4_1 g324265(.A (n_12487), .B (n_12179), .C
-       (n_11842), .D (n_12020), .Y (n_12987));
-  sky130_fd_sc_hd__nand4_1 g324266(.A (n_12484), .B (n_12016), .C
-       (n_11786), .D (n_12175), .Y (n_12986));
-  sky130_fd_sc_hd__nand4_1 g324267(.A (n_12483), .B (n_12176), .C
-       (n_11788), .D (n_12018), .Y (n_12985));
-  sky130_fd_sc_hd__nand4_1 g324268(.A (n_12665), .B (n_12008), .C
-       (n_11772), .D (n_12166), .Y (n_12984));
-  sky130_fd_sc_hd__nand4_1 g324269(.A (n_12472), .B (n_12002), .C
-       (n_11875), .D (n_12165), .Y (n_12983));
-  sky130_fd_sc_hd__nand4_1 g324270(.A (n_12453), .B (n_11995), .C
-       (n_11728), .D (n_12155), .Y (n_12982));
-  sky130_fd_sc_hd__nand4_1 g324271(.A (n_11919), .B (n_11918), .C
-       (n_12321), .D (n_11917), .Y (n_12981));
-  sky130_fd_sc_hd__nand4_1 g324272(.A (n_12804), .B (n_12406), .C
-       (n_11887), .D (n_12112), .Y (n_12980));
-  sky130_fd_sc_hd__nand4_1 g324273(.A (n_12803), .B (n_12401), .C
-       (n_11881), .D (n_12106), .Y (n_12979));
-  sky130_fd_sc_hd__nand4_1 g324274(.A (n_12802), .B (n_12392), .C
-       (n_11869), .D (n_12095), .Y (n_12978));
-  sky130_fd_sc_hd__nand4_1 g324275(.A (n_12801), .B (n_12387), .C
-       (n_11865), .D (n_12090), .Y (n_12977));
-  sky130_fd_sc_hd__nand4_1 g324276(.A (n_12800), .B (n_12386), .C
-       (n_11860), .D (n_12085), .Y (n_12976));
-  sky130_fd_sc_hd__nand4_1 g324277(.A (n_12798), .B (n_12381), .C
-       (n_11674), .D (n_12255), .Y (n_12975));
-  sky130_fd_sc_hd__nand4_1 g324278(.A (n_12838), .B (n_12383), .C
-       (n_11851), .D (n_12080), .Y (n_12974));
-  sky130_fd_sc_hd__nand4_1 g324279(.A (n_12797), .B (n_12380), .C
-       (n_11846), .D (n_12123), .Y (n_12973));
-  sky130_fd_sc_hd__nand4_1 g324280(.A (n_12796), .B (n_12372), .C
-       (n_11835), .D (n_12071), .Y (n_12972));
-  sky130_fd_sc_hd__nand4_1 g324281(.A (n_12741), .B (n_12370), .C
-       (n_11834), .D (n_12069), .Y (n_12971));
-  sky130_fd_sc_hd__nand4_1 g324282(.A (n_12795), .B (n_12361), .C
-       (n_11825), .D (n_12056), .Y (n_12970));
-  sky130_fd_sc_hd__nand4_1 g324283(.A (n_12793), .B (n_12357), .C
-       (n_11710), .D (n_12050), .Y (n_12969));
-  sky130_fd_sc_hd__nand4_1 g324284(.A (n_12726), .B (n_12354), .C
-       (n_11816), .D (n_12047), .Y (n_12968));
-  sky130_fd_sc_hd__nand4_1 g324285(.A (n_12792), .B (n_12352), .C
-       (n_11814), .D (n_12046), .Y (n_12967));
-  sky130_fd_sc_hd__nand4_1 g324286(.A (n_12731), .B (n_12353), .C
-       (n_11815), .D (n_12048), .Y (n_12966));
-  sky130_fd_sc_hd__nand4_1 g324287(.A (n_15952), .B (n_12342), .C
-       (n_11805), .D (n_12035), .Y (n_12965));
-  sky130_fd_sc_hd__nand4_1 g324288(.A (n_12790), .B (n_12338), .C
-       (n_11801), .D (n_12030), .Y (n_12964));
-  sky130_fd_sc_hd__nand4_1 g324289(.A (n_15961), .B (n_12629), .C
-       (n_12189), .D (n_12498), .Y (n_12963));
-  sky130_fd_sc_hd__nand4_1 g324290(.A (n_12785), .B (n_12282), .C
-       (n_11677), .D (n_11947), .Y (n_12962));
-  sky130_fd_sc_hd__nand4_1 g324291(.A (n_12786), .B (n_12316), .C
-       (n_11736), .D (n_11998), .Y (n_12961));
-  sky130_fd_sc_hd__nand4_1 g324292(.A (n_12708), .B (n_12315), .C
-       (n_11731), .D (n_11990), .Y (n_12960));
-  sky130_fd_sc_hd__nand4_1 g324293(.A (n_12779), .B (n_12307), .C
-       (n_11726), .D (n_11988), .Y (n_12959));
-  sky130_fd_sc_hd__nand4_1 g324294(.A (n_12308), .B (n_12780), .C
-       (n_11727), .D (n_11989), .Y (n_12958));
-  sky130_fd_sc_hd__nand4_1 g324295(.A (n_12707), .B (n_12306), .C
-       (n_11723), .D (n_11984), .Y (n_12957));
-  sky130_fd_sc_hd__nand4_1 g324296(.A (n_12778), .B (n_12298), .C
-       (n_11716), .D (n_11980), .Y (n_12956));
-  sky130_fd_sc_hd__nand4_1 g324297(.A (n_12699), .B (n_12295), .C
-       (n_11708), .D (n_11973), .Y (n_12955));
-  sky130_fd_sc_hd__nand4_1 g324298(.A (n_12777), .B (n_12288), .C
-       (n_11701), .D (n_11969), .Y (n_12954));
-  sky130_fd_sc_hd__nand4_1 g324299(.A (n_12776), .B (n_12285), .C
-       (n_11697), .D (n_11966), .Y (n_12953));
-  sky130_fd_sc_hd__nand4_1 g324300(.A (n_12695), .B (n_12284), .C
-       (n_11696), .D (n_11965), .Y (n_12952));
-  sky130_fd_sc_hd__nand4_1 g324301(.A (n_12775), .B (n_12279), .C
-       (n_11689), .D (n_11959), .Y (n_12951));
-  sky130_fd_sc_hd__nand4_1 g324302(.A (n_12691), .B (n_12276), .C
-       (n_11688), .D (n_11958), .Y (n_12950));
-  sky130_fd_sc_hd__nand4_1 g324303(.A (n_12774), .B (n_12274), .C
-       (n_11687), .D (n_11957), .Y (n_12949));
-  sky130_fd_sc_hd__nand4_1 g324304(.A (n_12773), .B (n_12266), .C
-       (n_11673), .D (n_11946), .Y (n_12948));
-  sky130_fd_sc_hd__o21ai_1 g324305(.A1 (n_12122), .A2 (n_12261), .B1
-       (n_12683), .Y (n_12947));
-  sky130_fd_sc_hd__nand4_1 g324306(.A (n_12727), .B (n_12347), .C
-       (n_11744), .D (n_12036), .Y (n_12946));
-  sky130_fd_sc_hd__nand3_1 g324307(.A (n_12595), .B (n_12596), .C
-       (n_12252), .Y (n_12945));
-  sky130_fd_sc_hd__nand3_1 g324308(.A (n_12599), .B (n_12597), .C
-       (n_12253), .Y (n_12944));
-  sky130_fd_sc_hd__nand3_1 g324309(.A (n_12591), .B (n_12593), .C
-       (n_12250), .Y (n_12943));
-  sky130_fd_sc_hd__nand3_1 g324310(.A (n_12589), .B (n_12588), .C
-       (n_12247), .Y (n_12942));
-  sky130_fd_sc_hd__nand3_1 g324311(.A (n_12585), .B (n_12584), .C
-       (n_12246), .Y (n_12941));
-  sky130_fd_sc_hd__nand3_1 g324312(.A (n_12581), .B (n_12579), .C
-       (n_12244), .Y (n_12940));
-  sky130_fd_sc_hd__nand4_1 g324313(.A (n_12578), .B (n_12389), .C
-       (n_12242), .D (n_12653), .Y (n_12939));
-  sky130_fd_sc_hd__nand3_1 g324314(.A (n_12574), .B (n_12577), .C
-       (n_12240), .Y (n_12938));
-  sky130_fd_sc_hd__nand3_1 g324315(.A (n_12570), .B (n_12565), .C
-       (n_12234), .Y (n_12937));
-  sky130_fd_sc_hd__nand3_1 g324316(.A (n_12569), .B (n_12567), .C
-       (n_12236), .Y (n_12936));
-  sky130_fd_sc_hd__nand3_1 g324317(.A (n_12563), .B (n_12562), .C
-       (n_12232), .Y (n_12935));
-  sky130_fd_sc_hd__nand3_1 g324318(.A (n_12556), .B (n_12557), .C
-       (n_12228), .Y (n_12934));
-  sky130_fd_sc_hd__nand4_1 g324319(.A (n_12549), .B (n_12375), .C
-       (n_12223), .D (n_12645), .Y (n_12933));
-  sky130_fd_sc_hd__nand3_1 g324320(.A (n_12544), .B (n_12543), .C
-       (n_12216), .Y (n_12932));
-  sky130_fd_sc_hd__nand3_1 g324321(.A (n_12541), .B (n_12542), .C
-       (n_12215), .Y (n_12931));
-  sky130_fd_sc_hd__nand3_1 g324322(.A (n_12536), .B (n_12534), .C
-       (n_12213), .Y (n_12930));
-  sky130_fd_sc_hd__nand4_1 g324323(.A (n_12533), .B (n_12360), .C
-       (n_12212), .D (n_12640), .Y (n_12929));
-  sky130_fd_sc_hd__nand3_1 g324324(.A (n_12532), .B (n_12530), .C
-       (n_12210), .Y (n_12928));
-  sky130_fd_sc_hd__nand4_1 g324325(.A (n_12525), .B (n_12355), .C
-       (n_12207), .D (n_12639), .Y (n_12927));
-  sky130_fd_sc_hd__nand3_1 g324326(.A (n_12523), .B (n_12521), .C
-       (n_12204), .Y (n_12926));
-  sky130_fd_sc_hd__nand3_1 g324327(.A (n_12520), .B (n_12518), .C
-       (n_12202), .Y (n_12925));
-  sky130_fd_sc_hd__nand4_1 g324328(.A (n_12517), .B (n_12350), .C
-       (n_11938), .D (n_12199), .Y (n_12924));
-  sky130_fd_sc_hd__nand4_1 g324329(.A (n_12512), .B (n_12344), .C
-       (n_12197), .D (n_12633), .Y (n_12923));
-  sky130_fd_sc_hd__nand3_1 g324330(.A (n_15960), .B (n_12510), .C
-       (n_12196), .Y (n_12922));
-  sky130_fd_sc_hd__nand3_1 g324331(.A (n_12508), .B (n_12505), .C
-       (n_12193), .Y (n_12921));
-  sky130_fd_sc_hd__nand4_1 g324332(.A (n_12506), .B (n_12341), .C
-       (n_12192), .D (n_12632), .Y (n_12920));
-  sky130_fd_sc_hd__nand4_1 g324333(.A (n_15959), .B (n_12602), .C
-       (n_15977), .D (n_15958), .Y (n_12919));
-  sky130_fd_sc_hd__nand3_1 g324334(.A (n_12492), .B (n_12491), .C
-       (n_12183), .Y (n_12918));
-  sky130_fd_sc_hd__nand4_1 g324335(.A (n_12335), .B (n_15963), .C
-       (n_12168), .D (n_11931), .Y (n_12917));
-  sky130_fd_sc_hd__nand4_1 g324336(.A (n_15964), .B (n_12333), .C
-       (n_12182), .D (n_12624), .Y (n_12916));
-  sky130_fd_sc_hd__nor2_1 g324337(.A (n_15950), .B (n_12718), .Y
-       (n_12915));
-  sky130_fd_sc_hd__nand3_1 g324338(.A (n_12461), .B (n_12458), .C
-       (n_12157), .Y (n_12914));
-  sky130_fd_sc_hd__nand3_1 g324339(.A (n_12454), .B (n_12452), .C
-       (n_12154), .Y (n_12913));
-  sky130_fd_sc_hd__nand4_1 g324340(.A (n_12449), .B (n_12309), .C
-       (n_12152), .D (n_12616), .Y (n_12912));
-  sky130_fd_sc_hd__nand3_1 g324341(.A (n_12447), .B (n_12538), .C
-       (n_12150), .Y (n_12911));
-  sky130_fd_sc_hd__nand3_1 g324342(.A (n_12443), .B (n_12442), .C
-       (n_12146), .Y (n_12910));
-  sky130_fd_sc_hd__nand3_1 g324343(.A (n_12435), .B (n_12434), .C
-       (n_12141), .Y (n_12909));
-  sky130_fd_sc_hd__nand3_1 g324344(.A (n_12431), .B (n_12425), .C
-       (n_12136), .Y (n_12908));
-  sky130_fd_sc_hd__nand3_1 g324345(.A (n_12433), .B (n_12432), .C
-       (n_12140), .Y (n_12907));
-  sky130_fd_sc_hd__nand3_1 g324346(.A (n_12422), .B (n_12421), .C
-       (n_12134), .Y (n_12906));
-  sky130_fd_sc_hd__nand4_1 g324347(.A (n_12420), .B (n_12277), .C
-       (n_12133), .D (n_12609), .Y (n_12905));
-  sky130_fd_sc_hd__nand3_1 g324348(.A (n_12419), .B (n_12417), .C
-       (n_12131), .Y (n_12904));
-  sky130_fd_sc_hd__nand3_1 g324349(.A (n_12415), .B (n_12414), .C
-       (n_12129), .Y (n_12903));
-  sky130_fd_sc_hd__nand3_1 g324350(.A (n_12413), .B (n_12412), .C
-       (n_12128), .Y (n_12902));
-  sky130_fd_sc_hd__nand4_1 g324351(.A (n_12411), .B (n_12268), .C
-       (n_12127), .D (n_12605), .Y (n_12901));
-  sky130_fd_sc_hd__nand4_1 g324352(.A (n_12410), .B (n_12267), .C
-       (n_12126), .D (n_12604), .Y (n_12900));
-  sky130_fd_sc_hd__nand3_1 g324353(.A (n_12408), .B (n_12409), .C
-       (n_12125), .Y (n_12899));
-  sky130_fd_sc_hd__a222oi_1 g324354(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [11]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [11]), .Y (n_12898));
-  sky130_fd_sc_hd__a222oi_1 g324355(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [22]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [22]), .Y (n_12897));
-  sky130_fd_sc_hd__a222oi_1 g324356(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [10]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [10]), .Y (n_12896));
-  sky130_fd_sc_hd__a222oi_1 g324357(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [21]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [21]), .Y (n_12895));
-  sky130_fd_sc_hd__a222oi_1 g324358(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [27]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [27]), .Y (n_12894));
-  sky130_fd_sc_hd__a222oi_1 g324359(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [20]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [20]), .Y (n_12893));
-  sky130_fd_sc_hd__and3_1 g324360(.A (n_12794), .B (n_12531), .C
-       (n_11939), .X (n_12892));
-  sky130_fd_sc_hd__a222oi_1 g324361(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [19]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [19]), .Y (n_12891));
-  sky130_fd_sc_hd__a222oi_1 g324362(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [26]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [26]), .Y (n_12890));
-  sky130_fd_sc_hd__a222oi_1 g324363(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [18]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [18]), .Y (n_12889));
-  sky130_fd_sc_hd__nand4_1 g324364(.A (n_12603), .B (n_12379), .C
-       (n_11787), .D (n_12017), .Y (n_12888));
-  sky130_fd_sc_hd__and3_1 g324365(.A (n_15954), .B (n_12325), .C
-       (n_15970), .X (n_12887));
-  sky130_fd_sc_hd__a222oi_1 g324366(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [25]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [25]), .Y (n_12886));
-  sky130_fd_sc_hd__a222oi_1 g324367(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [16]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [16]), .Y (n_12885));
-  sky130_fd_sc_hd__a222oi_1 g324368(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [15]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [15]), .Y (n_12884));
-  sky130_fd_sc_hd__a222oi_1 g324369(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [29]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [29]), .Y (n_12883));
-  sky130_fd_sc_hd__a222oi_1 g324370(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [24]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [24]), .Y (n_12882));
-  sky130_fd_sc_hd__a222oi_1 g324371(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [14]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [14]), .Y (n_12881));
-  sky130_fd_sc_hd__a222oi_1 g324372(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [13]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [13]), .Y (n_12880));
-  sky130_fd_sc_hd__a222oi_1 g324373(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [31]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [31]), .Y (n_12879));
-  sky130_fd_sc_hd__a222oi_1 g324374(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [23]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [23]), .Y (n_12878));
-  sky130_fd_sc_hd__a222oi_1 g324375(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .A2 (n_12256), .B1
-       (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [12]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [12]), .Y (n_12877));
-  sky130_fd_sc_hd__nand4_1 g324376(.A (n_12586), .B (n_12587), .C
-       (n_12681), .D (n_12248), .Y (n_12876));
-  sky130_fd_sc_hd__nand4_1 g324377(.A (n_12573), .B (n_12572), .C
-       (n_12680), .D (n_12239), .Y (n_12875));
-  sky130_fd_sc_hd__and4_1 g324378(.A (n_12561), .B (n_12560), .C
-       (n_12231), .D (n_12679), .X (n_12874));
-  sky130_fd_sc_hd__nand3_1 g324379(.A (n_12377), .B (n_12550), .C
-       (n_12678), .Y (n_12873));
-  sky130_fd_sc_hd__nand4_1 g324380(.A (n_12540), .B (n_12539), .C
-       (n_12676), .D (n_12214), .Y (n_12872));
-  sky130_fd_sc_hd__nand4_1 g324381(.A (n_12502), .B (n_12501), .C
-       (n_12674), .D (n_12191), .Y (n_12871));
-  sky130_fd_sc_hd__nand3_1 g324382(.A (n_15962), .B (n_12186), .C
-       (n_12188), .Y (n_12870));
-  sky130_fd_sc_hd__nor2_1 g324383(.A (n_15957), .B (n_15949), .Y
-       (n_12869));
-  sky130_fd_sc_hd__nand3_1 g324384(.A (n_15965), .B (n_12180), .C
-       (n_12181), .Y (n_12868));
-  sky130_fd_sc_hd__nand4_1 g324385(.A (n_15967), .B (n_15968), .C
-       (n_12177), .D (n_12178), .Y (n_12867));
-  sky130_fd_sc_hd__nand4_1 g324386(.A (n_15969), .B (n_15983), .C
-       (n_11675), .D (n_12671), .Y (n_12866));
-  sky130_fd_sc_hd__nand4_1 g324387(.A (n_15971), .B (n_15985), .C
-       (n_11781), .D (n_12677), .Y (n_12865));
-  sky130_fd_sc_hd__nand4_1 g324388(.A (n_12469), .B (n_12464), .C
-       (n_12669), .D (n_12164), .Y (n_12864));
-  sky130_fd_sc_hd__nand4_1 g324389(.A (n_15972), .B (n_15973), .C
-       (n_12245), .D (n_12219), .Y (n_12863));
-  sky130_fd_sc_hd__nand4_1 g324390(.A (n_12456), .B (n_11996), .C
-       (n_11798), .D (n_12156), .Y (n_12862));
-  sky130_fd_sc_hd__nand4_1 g324391(.A (n_12444), .B (n_11987), .C
-       (n_11725), .D (n_12147), .Y (n_12861));
-  sky130_fd_sc_hd__a221oi_1 g324392(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [10]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [10]), .C1 (n_12769), .Y (n_12860));
-  sky130_fd_sc_hd__nand4_1 g324393(.A (n_12403), .B (n_12402), .C
-       (n_11880), .D (n_12104), .Y (n_12859));
-  sky130_fd_sc_hd__nand4_1 g324394(.A (n_12397), .B (n_12396), .C
-       (n_11872), .D (n_12097), .Y (n_12858));
-  sky130_fd_sc_hd__a221oi_1 g324395(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [9]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [9]), .C1 (n_12720), .Y (n_12857));
-  sky130_fd_sc_hd__nand4_1 g324396(.A (n_12390), .B (n_12388), .C
-       (n_11866), .D (n_12091), .Y (n_12856));
-  sky130_fd_sc_hd__nand3_1 g324397(.A (n_12564), .B (n_11941), .C
-       (n_12233), .Y (n_12855));
-  sky130_fd_sc_hd__a221oi_1 g324398(.A1 (n_11757), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [8]), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [8]), .C1 (n_12751), .Y (n_12854));
-  sky130_fd_sc_hd__a221oi_1 g324399(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [8]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [8]), .C1 (n_12750), .Y (n_12853));
-  sky130_fd_sc_hd__a221oi_1 g324400(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [7]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [7]), .C1 (n_12747), .Y (n_12852));
-  sky130_fd_sc_hd__nand3_1 g324401(.A (n_12553), .B (n_11895), .C
-       (n_12224), .Y (n_12851));
-  sky130_fd_sc_hd__a221oi_1 g324402(.A1 (n_11757), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [6]), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [6]), .C1 (n_12738), .Y (n_12850));
-  sky130_fd_sc_hd__nand4_1 g324403(.A (n_12365), .B (n_12363), .C
-       (n_11705), .D (n_12063), .Y (n_12849));
-  sky130_fd_sc_hd__a221oi_1 g324404(.A1 (n_11757), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [5]), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [5]), .C1 (n_12734), .Y (n_12848));
-  sky130_fd_sc_hd__a221oi_1 g324405(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [5]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [5]), .C1 (n_12733), .Y (n_12847));
-  sky130_fd_sc_hd__nand4_1 g324406(.A (n_12346), .B (n_12345), .C
-       (n_11804), .D (n_12034), .Y (n_12846));
-  sky130_fd_sc_hd__nand4_1 g324407(.A (n_12332), .B (n_15966), .C
-       (n_11791), .D (n_12019), .Y (n_12845));
-  sky130_fd_sc_hd__nand4_1 g324408(.A (n_12331), .B (n_12329), .C
-       (n_11818), .D (n_12011), .Y (n_12844));
-  sky130_fd_sc_hd__nand4_1 g324409(.A (n_12328), .B (n_12327), .C
-       (n_11783), .D (n_12010), .Y (n_12843));
-  sky130_fd_sc_hd__nand4_1 g324410(.A (n_12317), .B (n_12318), .C
-       (n_11743), .D (n_12005), .Y (n_12842));
-  sky130_fd_sc_hd__nand4_1 g324411(.A (n_12304), .B (n_12305), .C
-       (n_11724), .D (n_11986), .Y (n_12841));
-  sky130_fd_sc_hd__nand4_1 g324413(.A (n_15974), .B (n_12600), .C
-       (n_12162), .D (n_15986), .Y (n_12839));
-  sky130_fd_sc_hd__a222oi_1 g324414(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [27]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [27]), .Y (n_12838));
-  sky130_fd_sc_hd__nand2_1 g324415(.A (n_12504), .B (n_12340), .Y
-       (n_12837));
-  sky130_fd_sc_hd__a221oi_1 g324416(.A1 (n_11757), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [11]), .B1 (n_11756), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [11]), .C1 (n_11944), .Y (n_12836));
-  sky130_fd_sc_hd__a21boi_1 g324417(.A1 (n_11765), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [7]), .B1_N (n_12558), .Y (n_12835));
-  sky130_fd_sc_hd__nand2_1 g324418(.A (n_12545), .B (n_12217), .Y
-       (n_12834));
-  sky130_fd_sc_hd__a221oi_1 g324419(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [6]), .B1 (n_11762), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [6]), .C1 (n_11940), .Y (n_12833));
-  sky130_fd_sc_hd__a21boi_1 g324420(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [5]), .B1_N (n_12537), .Y (n_12832));
-  sky130_fd_sc_hd__nand2_1 g324421(.A (n_12527), .B (n_12209), .Y
-       (n_12831));
-  sky130_fd_sc_hd__nand2_1 g324422(.A (n_12524), .B (n_12206), .Y
-       (n_12830));
-  sky130_fd_sc_hd__nand2_1 g324423(.A (n_12500), .B (n_12190), .Y
-       (n_12829));
-  sky130_fd_sc_hd__nand2_1 g324426(.A (n_12480), .B (n_12174), .Y
-       (n_12826));
-  sky130_fd_sc_hd__nand2_1 g324427(.A (n_12474), .B (n_12172), .Y
-       (n_12825));
-  sky130_fd_sc_hd__nand2_1 g324430(.A (n_12460), .B (n_12159), .Y
-       (n_12822));
-  sky130_fd_sc_hd__nand2_1 g324431(.A (n_12445), .B (n_12148), .Y
-       (n_12821));
-  sky130_fd_sc_hd__nand2_1 g324433(.A (n_12437), .B (n_12143), .Y
-       (n_12819));
-  sky130_fd_sc_hd__nand2_1 g324434(.A (n_12423), .B (n_12135), .Y
-       (n_12818));
-  sky130_fd_sc_hd__nand2_1 g324435(.A (n_12418), .B (n_12132), .Y
-       (n_12817));
-  sky130_fd_sc_hd__a221oi_1 g324436(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [30]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [30]), .C1 (n_12391), .Y (n_12816));
-  sky130_fd_sc_hd__a221oi_1 g324437(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [6]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [6]), .C1 (n_15976), .Y (n_12815));
-  sky130_fd_sc_hd__a221oi_1 g324438(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [31]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [31]), .C1 (n_12292), .Y (n_12814));
-  sky130_fd_sc_hd__o21ai_0 g324441(.A1 (n_11769), .A2 (n_12257), .B1
-       (u_soc_u_top_u_core_instr_rdata_id[31]), .Y (n_12811));
-  sky130_fd_sc_hd__a221oi_1 g324442(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [24]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [24]), .C1 (n_12400), .Y (n_12810));
-  sky130_fd_sc_hd__a221oi_1 g324443(.A1 (n_11765), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [14]), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [14]), .C1 (n_12300), .Y (n_12809));
-  sky130_fd_sc_hd__a221oi_1 g324444(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [13]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [13]), .C1 (n_12291), .Y (n_12808));
-  sky130_fd_sc_hd__a221oi_1 g324445(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [23]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [23]), .C1 (n_12290), .Y (n_12807));
-  sky130_fd_sc_hd__a221oi_1 g324446(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [28]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [28]), .C1 (n_12289), .Y (n_12806));
-  sky130_fd_sc_hd__nand4_1 g324447(.A (n_15981), .B (n_11933), .C
-       (n_11773), .D (n_11932), .Y (n_12805));
-  sky130_fd_sc_hd__a222oi_1 g324448(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [22]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [22]), .Y (n_12804));
-  sky130_fd_sc_hd__a222oi_1 g324449(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [10]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [10]), .Y (n_12803));
-  sky130_fd_sc_hd__a222oi_1 g324450(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [9]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [9]), .Y (n_12802));
-  sky130_fd_sc_hd__a222oi_1 g324451(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [21]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [21]), .Y (n_12801));
-  sky130_fd_sc_hd__a222oi_1 g324452(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [8]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [8]), .Y (n_12800));
-  sky130_fd_sc_hd__nand2_1 g324453(.A (n_12514), .B (n_12349), .Y
-       (n_12799));
-  sky130_fd_sc_hd__a222oi_1 g324454(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [30]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [30]), .Y (n_12798));
-  sky130_fd_sc_hd__a222oi_1 g324455(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [7]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [7]), .Y (n_12797));
-  sky130_fd_sc_hd__a222oi_1 g324456(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [6]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [6]), .Y (n_12796));
-  sky130_fd_sc_hd__a222oi_1 g324457(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [5]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [5]), .Y (n_12795));
-  sky130_fd_sc_hd__a222oi_1 g324458(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [5]), .A2 (n_15861), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [5]), .C1 (n_11762), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [5]), .Y (n_12794));
-  sky130_fd_sc_hd__a222oi_1 g324459(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [19]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [19]), .Y (n_12793));
-  sky130_fd_sc_hd__a222oi_1 g324460(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [4]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [4]), .Y (n_12792));
-  sky130_fd_sc_hd__a222oi_1 g324462(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [18]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [18]), .Y (n_12790));
-  sky130_fd_sc_hd__o41ai_1 g324464(.A1 (n_11140), .A2 (n_11447), .A3
-       (n_11446), .A4 (n_11443), .B1 (n_12672), .Y (n_12788));
-  sky130_fd_sc_hd__a222oi_1 g324466(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [16]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [16]), .Y (n_12786));
-  sky130_fd_sc_hd__a222oi_1 g324467(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [31]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [31]), .Y (n_12785));
-  sky130_fd_sc_hd__a222oi_1 g324468(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .A2 (n_11771), .B1
-       (n_15862), .B2 (u_soc_u_top_u_core_pc_id[4]), .C1 (n_16003), .C2
-       (u_soc_u_top_u_core_lsu_addr_last[4]), .Y (n_12784));
-  sky130_fd_sc_hd__a222oi_1 g324469(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[18]), .A2 (n_11771), .B1
-       (n_15862), .B2 (u_soc_u_top_u_core_pc_id[3]), .C1 (n_16003), .C2
-       (u_soc_u_top_u_core_lsu_addr_last[3]), .Y (n_12783));
-  sky130_fd_sc_hd__a222oi_1 g324470(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .A2 (n_11771), .B1
-       (n_15862), .B2 (u_soc_u_top_u_core_pc_id[2]), .C1 (n_16003), .C2
-       (u_soc_u_top_u_core_lsu_addr_last[2]), .Y (n_12782));
-  sky130_fd_sc_hd__a21oi_1 g324471(.A1 (n_16003), .A2
-       (u_soc_u_top_u_core_lsu_addr_last[1]), .B1 (n_12311), .Y
-       (n_12781));
-  sky130_fd_sc_hd__a222oi_1 g324472(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [15]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [15]), .Y (n_12780));
-  sky130_fd_sc_hd__a222oi_1 g324473(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [24]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [24]), .Y (n_12779));
-  sky130_fd_sc_hd__a222oi_1 g324474(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [14]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [14]), .Y (n_12778));
-  sky130_fd_sc_hd__a222oi_1 g324475(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [13]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [13]), .Y (n_12777));
-  sky130_fd_sc_hd__a222oi_1 g324476(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [23]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [23]), .Y (n_12776));
-  sky130_fd_sc_hd__a222oi_1 g324477(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [28]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [28]), .Y (n_12775));
-  sky130_fd_sc_hd__a222oi_1 g324478(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .A2 (n_15860), .B1
-       (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [12]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [12]), .Y (n_12774));
-  sky130_fd_sc_hd__a222oi_1 g324479(.A1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .A2 (n_15860), .B1
-       (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [11]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [11]), .Y (n_12773));
-  sky130_fd_sc_hd__a221oi_1 g324480(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [11]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [11]), .C1 (n_12663), .Y (n_12772));
-  sky130_fd_sc_hd__a221oi_1 g324481(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [11]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [11]), .C1 (n_12662), .Y (n_12771));
-  sky130_fd_sc_hd__a221oi_1 g324482(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [28]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [28]), .C1 (n_12661), .Y (n_12770));
-  sky130_fd_sc_hd__nand3_1 g324483(.A (n_12405), .B (n_12111), .C
-       (n_11886), .Y (n_12769));
-  sky130_fd_sc_hd__a221oi_1 g324484(.A1 (n_16000), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [10]), .B1 (n_11754), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [10]), .C1 (n_12265), .Y (n_12768));
-  sky130_fd_sc_hd__a221oi_1 g324485(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [28]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [28]), .C1 (n_12660), .Y (n_12767));
-  sky130_fd_sc_hd__a221oi_1 g324486(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [22]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [22]), .C1 (n_12659), .Y (n_12766));
-  sky130_fd_sc_hd__a221oi_1 g324487(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [22]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [22]), .C1 (n_12658), .Y (n_12765));
-  sky130_fd_sc_hd__a221oi_1 g324488(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [10]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [10]), .C1 (n_12657), .Y (n_12764));
-  sky130_fd_sc_hd__a221oi_1 g324489(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [10]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [10]), .C1 (n_12656), .Y (n_12763));
-  sky130_fd_sc_hd__a221oi_1 g324490(.A1 (n_11563), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [9]), .B1 (n_11753), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [9]), .C1 (n_12264), .Y (n_12762));
-  sky130_fd_sc_hd__nand3_1 g324491(.A (n_12395), .B (n_12096), .C
-       (n_11871), .Y (n_12761));
-  sky130_fd_sc_hd__nand3_1 g324493(.A (n_12330), .B (n_15982), .C
-       (n_11843), .Y (n_12759));
-  sky130_fd_sc_hd__nand3_1 g324494(.A (n_12393), .B (n_12087), .C
-       (n_11854), .Y (n_12758));
-  sky130_fd_sc_hd__a221oi_1 g324495(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [9]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [9]), .C1 (n_12655), .Y (n_12757));
-  sky130_fd_sc_hd__a221oi_1 g324496(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [9]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [9]), .C1 (n_12654), .Y (n_12756));
-  sky130_fd_sc_hd__a221oi_1 g324497(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [8]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [8]), .C1 (n_12652), .Y (n_12755));
-  sky130_fd_sc_hd__a221oi_1 g324498(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [8]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [8]), .C1 (n_12651), .Y (n_12754));
-  sky130_fd_sc_hd__a221oi_1 g324499(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [21]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [21]), .C1 (n_12650), .Y (n_12753));
-  sky130_fd_sc_hd__a221oi_1 g324500(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [21]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [21]), .C1 (n_12649), .Y (n_12752));
-  sky130_fd_sc_hd__nand3_1 g324501(.A (n_12385), .B (n_12083), .C
-       (n_11857), .Y (n_12751));
-  sky130_fd_sc_hd__nand3_1 g324502(.A (n_12384), .B (n_12082), .C
-       (n_11855), .Y (n_12750));
-  sky130_fd_sc_hd__a221oi_1 g324503(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [7]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [7]), .C1 (n_12648), .Y (n_12749));
-  sky130_fd_sc_hd__a221oi_1 g324504(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [7]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [7]), .C1 (n_12647), .Y (n_12748));
-  sky130_fd_sc_hd__nand3_1 g324505(.A (n_12378), .B (n_11985), .C
-       (n_11844), .Y (n_12747));
-  sky130_fd_sc_hd__a221oi_1 g324506(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [27]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [27]), .C1 (n_12646), .Y (n_12746));
-  sky130_fd_sc_hd__nand3_1 g324507(.A (n_12374), .B (n_12070), .C
-       (n_11836), .Y (n_12745));
-  sky130_fd_sc_hd__a221oi_1 g324508(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [27]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [27]), .C1 (n_12644), .Y (n_12744));
-  sky130_fd_sc_hd__nand3_1 g324509(.A (n_12376), .B (n_12052), .C
-       (n_11826), .Y (n_12743));
-  sky130_fd_sc_hd__nand3_1 g324510(.A (n_12371), .B (n_12068), .C
-       (n_11838), .Y (n_12742));
-  sky130_fd_sc_hd__a221oi_1 g324511(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [20]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [20]), .C1 (n_12643), .Y (n_12741));
-  sky130_fd_sc_hd__nand3_1 g324512(.A (n_12369), .B (n_12067), .C
-       (n_11833), .Y (n_12740));
-  sky130_fd_sc_hd__nand3_1 g324513(.A (n_12368), .B (n_12059), .C
-       (n_11830), .Y (n_12739));
-  sky130_fd_sc_hd__nand3_1 g324514(.A (n_12366), .B (n_12064), .C
-       (n_11676), .Y (n_12738));
-  sky130_fd_sc_hd__a221oi_1 g324515(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [5]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [5]), .C1 (n_12642), .Y (n_12737));
-  sky130_fd_sc_hd__nand3_1 g324516(.A (n_12362), .B (n_12057), .C
-       (n_11827), .Y (n_12736));
-  sky130_fd_sc_hd__a221oi_1 g324517(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [5]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [5]), .C1 (n_12641), .Y (n_12735));
-  sky130_fd_sc_hd__nand3_1 g324518(.A (n_12359), .B (n_15978), .C
-       (n_11822), .Y (n_12734));
-  sky130_fd_sc_hd__nand3_1 g324519(.A (n_12358), .B (n_15979), .C
-       (n_11819), .Y (n_12733));
-  sky130_fd_sc_hd__nand3_1 g324520(.A (n_12356), .B (n_12049), .C
-       (n_11714), .Y (n_12732));
-  sky130_fd_sc_hd__a221oi_1 g324521(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [19]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [19]), .C1 (n_12638), .Y (n_12731));
-  sky130_fd_sc_hd__a221oi_1 g324522(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [4]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [4]), .C1 (n_15955), .Y (n_12730));
-  sky130_fd_sc_hd__a221oi_1 g324523(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [4]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [4]), .C1 (n_12635), .Y (n_12729));
-  sky130_fd_sc_hd__nand3_1 g324524(.A (n_12348), .B (n_12037), .C
-       (n_11807), .Y (n_12728));
-  sky130_fd_sc_hd__a21oi_1 g324525(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [3]), .B1 (n_15956), .Y (n_12727));
-  sky130_fd_sc_hd__a221oi_1 g324526(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [30]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [30]), .C1 (n_12636), .Y (n_12726));
-  sky130_fd_sc_hd__a221oi_1 g324527(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [26]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [26]), .C1 (n_12631), .Y (n_12725));
-  sky130_fd_sc_hd__a21oi_1 g324528(.A1 (n_12256), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .B1 (n_12630), .Y
-       (n_12724));
-  sky130_fd_sc_hd__a221oi_1 g324529(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [26]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [26]), .C1 (n_12628), .Y (n_12723));
-  sky130_fd_sc_hd__a221oi_1 g324530(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [18]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [18]), .C1 (n_12627), .Y (n_12722));
-  sky130_fd_sc_hd__a221oi_1 g324531(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [18]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [18]), .C1 (n_12626), .Y (n_12721));
-  sky130_fd_sc_hd__nand3_1 g324532(.A (n_12394), .B (n_12098), .C
-       (n_11873), .Y (n_12720));
-  sky130_fd_sc_hd__a221oi_1 g324533(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [17]), .B1 (n_11518), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [17]), .C1 (n_12079), .Y (n_12719));
-  sky130_fd_sc_hd__nand3_1 g324534(.A (n_12324), .B (n_15984), .C
-       (n_11856), .Y (n_12718));
-  sky130_fd_sc_hd__a221oi_1 g324535(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [17]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [17]), .C1 (n_12623), .Y (n_12717));
-  sky130_fd_sc_hd__a221oi_1 g324536(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [17]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [17]), .C1 (n_12621), .Y (n_12716));
-  sky130_fd_sc_hd__a221oi_1 g324537(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [16]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [16]), .C1 (n_12620), .Y (n_12715));
-  sky130_fd_sc_hd__a221oi_1 g324538(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [16]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [16]), .C1 (n_12619), .Y (n_12714));
-  sky130_fd_sc_hd__a221oi_1 g324539(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [25]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [25]), .C1 (n_12618), .Y (n_12713));
-  sky130_fd_sc_hd__a221oi_1 g324540(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [25]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [25]), .C1 (n_12617), .Y (n_12712));
-  sky130_fd_sc_hd__nand3_1 g324541(.A (n_12314), .B (n_11994), .C
-       (n_11733), .Y (n_12711));
-  sky130_fd_sc_hd__a221oi_1 g324542(.A1 (n_11518), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [29]), .B1 (n_11763), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [29]), .C1 (n_12077), .Y (n_12710));
-  sky130_fd_sc_hd__nand3_1 g324543(.A (n_12312), .B (n_11991), .C
-       (n_11831), .Y (n_12709));
-  sky130_fd_sc_hd__a221oi_1 g324544(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [31]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [31]), .C1 (n_12614), .Y (n_12708));
-  sky130_fd_sc_hd__a221oi_1 g324545(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [29]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [29]), .C1 (n_12615), .Y (n_12707));
-  sky130_fd_sc_hd__nand3_1 g324546(.A (n_12303), .B (n_12076), .C
-       (n_11722), .Y (n_12706));
-  sky130_fd_sc_hd__nand3_1 g324547(.A (n_12301), .B (n_11981), .C
-       (n_11719), .Y (n_12705));
-  sky130_fd_sc_hd__nand3_1 g324548(.A (n_12299), .B (n_11979), .C
-       (n_11717), .Y (n_12704));
-  sky130_fd_sc_hd__nand3_1 g324549(.A (n_12297), .B (n_11978), .C
-       (n_11713), .Y (n_12703));
-  sky130_fd_sc_hd__a221oi_1 g324550(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [24]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [24]), .C1 (n_12613), .Y (n_12702));
-  sky130_fd_sc_hd__nand3_1 g324551(.A (n_12296), .B (n_11975), .C
-       (n_11711), .Y (n_12701));
-  sky130_fd_sc_hd__a221oi_1 g324552(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [24]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [24]), .C1 (n_12612), .Y (n_12700));
-  sky130_fd_sc_hd__a221oi_1 g324553(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [14]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [14]), .C1 (n_12611), .Y (n_12699));
-  sky130_fd_sc_hd__nand3_1 g324554(.A (n_12287), .B (n_11968), .C
-       (n_11700), .Y (n_12698));
-  sky130_fd_sc_hd__nand3_1 g324555(.A (n_12286), .B (n_11967), .C
-       (n_11698), .Y (n_12697));
-  sky130_fd_sc_hd__nand3_1 g324556(.A (n_12283), .B (n_11963), .C
-       (n_11694), .Y (n_12696));
-  sky130_fd_sc_hd__a221oi_1 g324557(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [13]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [13]), .C1 (n_12610), .Y (n_12695));
-  sky130_fd_sc_hd__nand3_1 g324558(.A (n_12281), .B (n_11961), .C
-       (n_11691), .Y (n_12694));
-  sky130_fd_sc_hd__nand3_1 g324559(.A (n_12278), .B (n_11960), .C
-       (n_11690), .Y (n_12693));
-  sky130_fd_sc_hd__nand3_1 g324560(.A (n_12275), .B (n_11955), .C
-       (n_11685), .Y (n_12692));
-  sky130_fd_sc_hd__a221oi_1 g324561(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [23]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [23]), .C1 (n_12608), .Y (n_12691));
-  sky130_fd_sc_hd__nand3_1 g324562(.A (n_12273), .B (n_11950), .C
-       (n_11680), .Y (n_12690));
-  sky130_fd_sc_hd__a221oi_1 g324563(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [12]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [12]), .C1 (n_12607), .Y (n_12689));
-  sky130_fd_sc_hd__a221oi_1 g324564(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [12]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [12]), .C1 (n_12606), .Y (n_12688));
-  sky130_fd_sc_hd__nand3_1 g324565(.A (n_12270), .B (n_11949), .C
-       (n_11679), .Y (n_12687));
-  sky130_fd_sc_hd__nand3_1 g324566(.A (n_12269), .B (n_11948), .C
-       (n_11678), .Y (n_12686));
-  sky130_fd_sc_hd__nand3_1 g324567(.A (n_12033), .B (n_11936), .C
-       (n_12194), .Y (n_12685));
-  sky130_fd_sc_hd__a22oi_1 g324568(.A1 (n_12256), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .B1 (n_15857), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [5]), .Y (n_12684));
-  sky130_fd_sc_hd__a21oi_1 g324569(.A1 (n_12263), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[9]), .B1 (n_12666), .Y
-       (n_12683));
-  sky130_fd_sc_hd__nand2_1 g324571(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .Y (n_12681));
-  sky130_fd_sc_hd__nand2_1 g324572(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .Y (n_12680));
-  sky130_fd_sc_hd__nand2_1 g324573(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .Y (n_12679));
-  sky130_fd_sc_hd__nand2_1 g324574(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .Y (n_12678));
-  sky130_fd_sc_hd__nand2_1 g324575(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .Y (n_12677));
-  sky130_fd_sc_hd__nand2_1 g324576(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .Y (n_12676));
-  sky130_fd_sc_hd__nand2_1 g324577(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .Y (n_12675));
-  sky130_fd_sc_hd__nand2_1 g324578(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .Y (n_12674));
-  sky130_fd_sc_hd__nand2_1 g324579(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .Y (n_12673));
-  sky130_fd_sc_hd__nand2_1 g324580(.A (n_12263), .B
-       (u_soc_u_top_u_core_instr_rdata_id[8]), .Y (n_12672));
-  sky130_fd_sc_hd__nand2_1 g324581(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .Y (n_12671));
-  sky130_fd_sc_hd__nand2_1 g324583(.A (n_12256), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .Y (n_12669));
-  sky130_fd_sc_hd__nand2_1 g324584(.A (n_11945), .B
-       (u_soc_u_top_u_core_id_stage_i_rf_ren_a), .Y (n_12668));
-  sky130_fd_sc_hd__nor2_1 g324586(.A (n_11020), .B (n_12262), .Y
-       (n_12666));
-  sky130_fd_sc_hd__a222oi_1 g324587(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [25]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [25]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [25]), .Y (n_12665));
-  sky130_fd_sc_hd__nor2_1 g324588(.A (n_11018), .B (n_12262), .Y
-       (n_12664));
-  sky130_fd_sc_hd__nand2_1 g324589(.A (n_12116), .B (n_11742), .Y
-       (n_12663));
-  sky130_fd_sc_hd__nand2_1 g324590(.A (n_12115), .B (n_11892), .Y
-       (n_12662));
-  sky130_fd_sc_hd__nand2_1 g324591(.A (n_12114), .B (n_11890), .Y
-       (n_12661));
-  sky130_fd_sc_hd__nand2_1 g324592(.A (n_12110), .B (n_11885), .Y
-       (n_12660));
-  sky130_fd_sc_hd__nand2_1 g324593(.A (n_12107), .B (n_11882), .Y
-       (n_12659));
-  sky130_fd_sc_hd__nand2_1 g324594(.A (n_12105), .B (n_11879), .Y
-       (n_12658));
-  sky130_fd_sc_hd__nand2_1 g324595(.A (n_12103), .B (n_11878), .Y
-       (n_12657));
-  sky130_fd_sc_hd__nand2_1 g324596(.A (n_12102), .B (n_11877), .Y
-       (n_12656));
-  sky130_fd_sc_hd__nand2_1 g324597(.A (n_12093), .B (n_11795), .Y
-       (n_12655));
-  sky130_fd_sc_hd__nand2_1 g324598(.A (n_12092), .B (n_11867), .Y
-       (n_12654));
-  sky130_fd_sc_hd__a221oi_1 g324599(.A1 (n_11480), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [27]), .B1 (n_11518), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [27]), .C1 (n_11646), .Y (n_12653));
-  sky130_fd_sc_hd__nand2_1 g324600(.A (n_12089), .B (n_11864), .Y
-       (n_12652));
-  sky130_fd_sc_hd__nand2_1 g324601(.A (n_12088), .B (n_11862), .Y
-       (n_12651));
-  sky130_fd_sc_hd__nand2_1 g324602(.A (n_12086), .B (n_11861), .Y
-       (n_12650));
-  sky130_fd_sc_hd__nand2_1 g324603(.A (n_12084), .B (n_11858), .Y
-       (n_12649));
-  sky130_fd_sc_hd__nand2_1 g324604(.A (n_12117), .B (n_11850), .Y
-       (n_12648));
-  sky130_fd_sc_hd__nand2_1 g324605(.A (n_12118), .B (n_11848), .Y
-       (n_12647));
-  sky130_fd_sc_hd__nand2_1 g324606(.A (n_12074), .B (n_11841), .Y
-       (n_12646));
-  sky130_fd_sc_hd__a21boi_1 g324607(.A1 (n_11554), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [7]), .B1_N (n_12075), .Y (n_12645));
-  sky130_fd_sc_hd__nand2_1 g324608(.A (n_12072), .B (n_11837), .Y
-       (n_12644));
-  sky130_fd_sc_hd__nand2_1 g324609(.A (n_12066), .B (n_11863), .Y
-       (n_12643));
-  sky130_fd_sc_hd__nand2_1 g324610(.A (n_12060), .B (n_11829), .Y
-       (n_12642));
-  sky130_fd_sc_hd__nand2_1 g324611(.A (n_12058), .B (n_11828), .Y
-       (n_12641));
-  sky130_fd_sc_hd__a221oi_1 g324612(.A1 (n_11476), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [19]), .B1 (n_11563), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [19]), .C1 (n_11648), .Y (n_12640));
-  sky130_fd_sc_hd__a221oi_1 g324613(.A1 (n_11563), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [4]), .B1 (n_11476), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [4]), .C1 (n_11651), .Y (n_12639));
-  sky130_fd_sc_hd__nand2_1 g324614(.A (n_12045), .B (n_11813), .Y
-       (n_12638));
-  sky130_fd_sc_hd__nand2_1 g324616(.A (n_12039), .B (n_11810), .Y
-       (n_12636));
-  sky130_fd_sc_hd__nand2_1 g324617(.A (n_12042), .B (n_11811), .Y
-       (n_12635));
-  sky130_fd_sc_hd__a221oi_1 g324619(.A1 (n_16000), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [18]), .B1 (n_11561), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [18]), .C1 (n_11654), .Y (n_12633));
-  sky130_fd_sc_hd__a221oi_1 g324620(.A1 (n_11553), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [3]), .B1 (n_11471), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [3]), .C1 (n_11655), .Y (n_12632));
-  sky130_fd_sc_hd__nand2_1 g324621(.A (n_12031), .B (n_11820), .Y
-       (n_12631));
-  sky130_fd_sc_hd__nand2_1 g324622(.A (n_12032), .B (n_11883), .Y
-       (n_12630));
-  sky130_fd_sc_hd__a21boi_1 g324623(.A1 (n_11557), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [2]), .B1_N (n_15980), .Y (n_12629));
-  sky130_fd_sc_hd__nand2_1 g324624(.A (n_12029), .B (n_11800), .Y
-       (n_12628));
-  sky130_fd_sc_hd__nand2_1 g324625(.A (n_12027), .B (n_11859), .Y
-       (n_12627));
-  sky130_fd_sc_hd__nand2_1 g324626(.A (n_12025), .B (n_11799), .Y
-       (n_12626));
-  sky130_fd_sc_hd__a221oi_1 g324628(.A1 (n_11553), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [2]), .B1 (n_11471), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [2]), .C1 (n_15993), .Y (n_12624));
-  sky130_fd_sc_hd__nand2_1 g324629(.A (n_12009), .B (n_11777), .Y
-       (n_12623));
-  sky130_fd_sc_hd__nand2_1 g324631(.A (n_12007), .B (n_11780), .Y
-       (n_12621));
-  sky130_fd_sc_hd__nand2_1 g324632(.A (n_12001), .B (n_11740), .Y
-       (n_12620));
-  sky130_fd_sc_hd__nand2_1 g324633(.A (n_12000), .B (n_11739), .Y
-       (n_12619));
-  sky130_fd_sc_hd__nand2_1 g324634(.A (n_11999), .B (n_11737), .Y
-       (n_12618));
-  sky130_fd_sc_hd__nand2_1 g324635(.A (n_11997), .B (n_11734), .Y
-       (n_12617));
-  sky130_fd_sc_hd__a221oi_1 g324636(.A1 (n_11563), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [15]), .B1 (n_11476), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [15]), .C1 (n_11662), .Y (n_12616));
-  sky130_fd_sc_hd__nand2_1 g324637(.A (n_11982), .B (n_11720), .Y
-       (n_12615));
-  sky130_fd_sc_hd__nand2_1 g324638(.A (n_11977), .B (n_11715), .Y
-       (n_12614));
-  sky130_fd_sc_hd__nand2_1 g324639(.A (n_11976), .B (n_11712), .Y
-       (n_12613));
-  sky130_fd_sc_hd__nand2_1 g324640(.A (n_11974), .B (n_11709), .Y
-       (n_12612));
-  sky130_fd_sc_hd__nand2_1 g324641(.A (n_11972), .B (n_11706), .Y
-       (n_12611));
-  sky130_fd_sc_hd__nand2_1 g324642(.A (n_11964), .B (n_11695), .Y
-       (n_12610));
-  sky130_fd_sc_hd__a221oi_1 g324643(.A1 (n_11563), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [12]), .B1 (n_11476), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [12]), .C1 (n_11667), .Y (n_12609));
-  sky130_fd_sc_hd__nand2_1 g324644(.A (n_11956), .B (n_11686), .Y
-       (n_12608));
-  sky130_fd_sc_hd__nand2_1 g324645(.A (n_11954), .B (n_11684), .Y
-       (n_12607));
-  sky130_fd_sc_hd__nand2_1 g324646(.A (n_11953), .B (n_11802), .Y
-       (n_12606));
-  sky130_fd_sc_hd__a221oi_1 g324647(.A1 (n_11480), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [11]), .B1 (n_11518), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [11]), .C1 (n_11668), .Y (n_12605));
-  sky130_fd_sc_hd__a221oi_1 g324648(.A1 (n_16000), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [22]), .B1 (n_11561), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [22]), .C1 (n_11671), .Y (n_12604));
-  sky130_fd_sc_hd__nor2_1 g324649(.A (n_11924), .B (n_11925), .Y
-       (n_12603));
-  sky130_fd_sc_hd__nor2_1 g324650(.A (n_15988), .B (n_17398), .Y
-       (n_12602));
-  sky130_fd_sc_hd__nor2_1 g324652(.A (n_11909), .B (n_11908), .Y
-       (n_12600));
-  sky130_fd_sc_hd__a222oi_1 g324653(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [22]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [22]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [22]), .Y (n_12599));
-  sky130_fd_sc_hd__a222oi_1 g324654(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [28]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [28]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [28]), .Y (n_12598));
-  sky130_fd_sc_hd__a222oi_1 g324655(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [22]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [22]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [22]), .Y (n_12597));
-  sky130_fd_sc_hd__a222oi_1 g324656(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [11]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [11]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [11]), .Y (n_12596));
-  sky130_fd_sc_hd__a222oi_1 g324657(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [11]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [11]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [11]), .Y (n_12595));
-  sky130_fd_sc_hd__a222oi_1 g324658(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [10]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [10]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [10]), .Y (n_12594));
-  sky130_fd_sc_hd__a222oi_1 g324659(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [10]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [10]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [10]), .Y (n_12593));
-  sky130_fd_sc_hd__a222oi_1 g324660(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [22]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [22]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [22]), .Y (n_12592));
-  sky130_fd_sc_hd__a222oi_1 g324661(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [10]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [10]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [10]), .Y (n_12591));
-  sky130_fd_sc_hd__a222oi_1 g324662(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [10]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [10]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [10]), .Y (n_12590));
-  sky130_fd_sc_hd__a222oi_1 g324663(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [22]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [22]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [22]), .Y (n_12589));
-  sky130_fd_sc_hd__a222oi_1 g324664(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [22]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [22]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [22]), .Y (n_12588));
-  sky130_fd_sc_hd__a222oi_1 g324665(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [28]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [28]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [28]), .Y (n_12587));
-  sky130_fd_sc_hd__a222oi_1 g324666(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [28]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [28]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [28]), .Y (n_12586));
-  sky130_fd_sc_hd__a222oi_1 g324667(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [10]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [10]), .C1 (n_15856), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [10]), .Y (n_12585));
-  sky130_fd_sc_hd__a222oi_1 g324668(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [10]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [10]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [10]), .Y (n_12584));
-  sky130_fd_sc_hd__a222oi_1 g324669(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [9]), .A2 (n_11513), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [9]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [9]), .Y (n_12583));
-  sky130_fd_sc_hd__a222oi_1 g324670(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [31]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [31]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [31]), .Y (n_12582));
-  sky130_fd_sc_hd__a222oi_1 g324671(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [9]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [9]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [9]), .Y (n_12581));
-  sky130_fd_sc_hd__a222oi_1 g324672(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [21]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [21]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [21]), .Y (n_12580));
-  sky130_fd_sc_hd__a222oi_1 g324673(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [9]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [9]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [9]), .Y (n_12579));
-  sky130_fd_sc_hd__a222oi_1 g324674(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [27]), .A2 (n_15999), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [27]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [27]), .Y (n_12578));
-  sky130_fd_sc_hd__a222oi_1 g324675(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [21]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [21]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [21]), .Y (n_12577));
-  sky130_fd_sc_hd__a222oi_1 g324676(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [9]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [9]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [9]), .Y (n_12576));
-  sky130_fd_sc_hd__a222oi_1 g324677(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [30]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [30]), .C1 (n_11749), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [30]), .Y (n_12575));
-  sky130_fd_sc_hd__a222oi_1 g324678(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [21]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [21]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [21]), .Y (n_12574));
-  sky130_fd_sc_hd__a222oi_1 g324679(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [9]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [9]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [9]), .Y (n_12573));
-  sky130_fd_sc_hd__a222oi_1 g324680(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [9]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [9]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [9]), .Y (n_12572));
-  sky130_fd_sc_hd__a222oi_1 g324681(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [8]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [8]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [8]), .Y (n_12571));
-  sky130_fd_sc_hd__a222oi_1 g324682(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [27]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [27]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [27]), .Y (n_12570));
-  sky130_fd_sc_hd__a222oi_1 g324683(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [8]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [8]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [8]), .Y (n_12569));
-  sky130_fd_sc_hd__a222oi_1 g324684(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [21]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [21]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [21]), .Y (n_12568));
-  sky130_fd_sc_hd__a222oi_1 g324685(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [8]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [8]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [8]), .Y (n_12567));
-  sky130_fd_sc_hd__a222oi_1 g324686(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [30]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [30]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [30]), .Y (n_12566));
-  sky130_fd_sc_hd__a222oi_1 g324687(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [27]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [27]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [27]), .Y (n_12565));
-  sky130_fd_sc_hd__a222oi_1 g324688(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [8]), .A2 (n_15872), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [8]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [8]), .Y (n_12564));
-  sky130_fd_sc_hd__a222oi_1 g324689(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [21]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [21]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [21]), .Y (n_12563));
-  sky130_fd_sc_hd__a222oi_1 g324690(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [21]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [21]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [21]), .Y (n_12562));
-  sky130_fd_sc_hd__a222oi_1 g324691(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [8]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [8]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [8]), .Y (n_12561));
-  sky130_fd_sc_hd__a222oi_1 g324692(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [8]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [8]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [8]), .Y (n_12560));
-  sky130_fd_sc_hd__a222oi_1 g324693(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [20]), .A2 (n_11513), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [20]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [20]), .Y (n_12559));
-  sky130_fd_sc_hd__a222oi_1 g324694(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [7]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [7]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [7]), .Y (n_12558));
-  sky130_fd_sc_hd__a222oi_1 g324695(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [7]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [7]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [7]), .Y (n_12557));
-  sky130_fd_sc_hd__a222oi_1 g324696(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [7]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [7]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [7]), .Y (n_12556));
-  sky130_fd_sc_hd__a222oi_1 g324697(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [20]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [20]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [20]), .Y (n_12555));
-  sky130_fd_sc_hd__a222oi_1 g324698(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [20]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [20]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [20]), .Y (n_12554));
-  sky130_fd_sc_hd__a222oi_1 g324699(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [7]), .A2 (n_15869), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [7]), .C1 (n_11553), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [7]), .Y (n_12553));
-  sky130_fd_sc_hd__a222oi_1 g324700(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [20]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [20]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [20]), .Y (n_12552));
-  sky130_fd_sc_hd__a222oi_1 g324701(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [27]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [27]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [27]), .Y (n_12551));
-  sky130_fd_sc_hd__a222oi_1 g324702(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [7]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [7]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [7]), .Y (n_12550));
-  sky130_fd_sc_hd__a222oi_1 g324703(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [7]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [7]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [7]), .Y (n_12549));
-  sky130_fd_sc_hd__a222oi_1 g324704(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [20]), .A2 (n_11558), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [20]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [20]), .Y (n_12548));
-  sky130_fd_sc_hd__a222oi_1 g324705(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [6]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [6]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [6]), .Y (n_12547));
-  sky130_fd_sc_hd__a222oi_1 g324706(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [6]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [6]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [6]), .Y (n_12546));
-  sky130_fd_sc_hd__a222oi_1 g324707(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [20]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [20]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [20]), .Y (n_12545));
-  sky130_fd_sc_hd__a222oi_1 g324708(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [27]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [27]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [27]), .Y (n_12544));
-  sky130_fd_sc_hd__a222oi_1 g324709(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [27]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [27]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [27]), .Y (n_12543));
-  sky130_fd_sc_hd__a222oi_1 g324710(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [20]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [20]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [20]), .Y (n_12542));
-  sky130_fd_sc_hd__a222oi_1 g324711(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [20]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [20]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [20]), .Y (n_12541));
-  sky130_fd_sc_hd__a222oi_1 g324712(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [6]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [6]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [6]), .Y (n_12540));
-  sky130_fd_sc_hd__a222oi_1 g324713(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [6]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [6]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [6]), .Y (n_12539));
-  sky130_fd_sc_hd__a222oi_1 g324714(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [15]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [15]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [15]), .Y (n_12538));
-  sky130_fd_sc_hd__a222oi_1 g324715(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [5]), .A2 (n_15999), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [5]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [5]), .Y (n_12537));
-  sky130_fd_sc_hd__a222oi_1 g324716(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [5]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [5]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [5]), .Y (n_12536));
-  sky130_fd_sc_hd__a222oi_1 g324717(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [26]), .A2 (n_11513), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [26]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [26]), .Y (n_12535));
-  sky130_fd_sc_hd__a222oi_1 g324718(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [5]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [5]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [5]), .Y (n_12534));
-  sky130_fd_sc_hd__a222oi_1 g324719(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [19]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [19]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [19]), .Y (n_12533));
-  sky130_fd_sc_hd__a222oi_1 g324720(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [19]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [19]), .C1 (n_11749), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [19]), .Y (n_12532));
-  sky130_fd_sc_hd__a222oi_1 g324721(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [5]), .A2 (n_15872), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [5]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [5]), .Y (n_12531));
-  sky130_fd_sc_hd__a222oi_1 g324722(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [19]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [19]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [19]), .Y (n_12530));
-  sky130_fd_sc_hd__a222oi_1 g324723(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [26]), .A2 (n_11558), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [26]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [26]), .Y (n_12529));
-  sky130_fd_sc_hd__a222oi_1 g324724(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [5]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [5]), .C1 (n_15856), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [5]), .Y (n_12528));
-  sky130_fd_sc_hd__a222oi_1 g324725(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [30]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [30]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [30]), .Y (n_12527));
-  sky130_fd_sc_hd__a222oi_1 g324726(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [5]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [5]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [5]), .Y (n_12526));
-  sky130_fd_sc_hd__a222oi_1 g324727(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [4]), .A2 (n_15999), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [4]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [4]), .Y (n_12525));
-  sky130_fd_sc_hd__a222oi_1 g324728(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [19]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [19]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [19]), .Y (n_12524));
-  sky130_fd_sc_hd__a222oi_1 g324729(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [4]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [4]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [4]), .Y (n_12523));
-  sky130_fd_sc_hd__a222oi_1 g324730(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [26]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [26]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [26]), .Y (n_12522));
-  sky130_fd_sc_hd__a222oi_1 g324731(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [4]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [4]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [4]), .Y (n_12521));
-  sky130_fd_sc_hd__a222oi_1 g324732(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [19]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [19]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [19]), .Y (n_12520));
-  sky130_fd_sc_hd__a222oi_1 g324733(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [4]), .A2 (n_11479), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [4]), .C1 (n_15858), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [4]), .Y (n_12519));
-  sky130_fd_sc_hd__a222oi_1 g324734(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [19]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [19]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [19]), .Y (n_12518));
-  sky130_fd_sc_hd__a222oi_1 g324735(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [4]), .A2 (n_15887), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [4]), .C1 (n_15856), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [4]), .Y (n_12517));
-  sky130_fd_sc_hd__a222oi_1 g324736(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [26]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [26]), .C1 (n_11749), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [26]), .Y (n_12516));
-  sky130_fd_sc_hd__a222oi_1 g324737(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [4]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [4]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [4]), .Y (n_12515));
-  sky130_fd_sc_hd__a222oi_1 g324738(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [3]), .A2 (n_15999), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [3]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [3]), .Y (n_12514));
-  sky130_fd_sc_hd__a222oi_1 g324739(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [26]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [26]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [26]), .Y (n_12513));
-  sky130_fd_sc_hd__a222oi_1 g324740(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [18]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [18]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [18]), .Y (n_12512));
-  sky130_fd_sc_hd__a222oi_1 g324742(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [3]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [3]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [3]), .Y (n_12510));
-  sky130_fd_sc_hd__a222oi_1 g324743(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [31]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [31]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [31]), .Y (n_12509));
-  sky130_fd_sc_hd__a222oi_1 g324744(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [18]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [18]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [18]), .Y (n_12508));
-  sky130_fd_sc_hd__a222oi_1 g324745(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [26]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [26]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [26]), .Y (n_12507));
-  sky130_fd_sc_hd__a222oi_1 g324746(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [3]), .A2 (n_11479), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [3]), .C1 (n_15858), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [3]), .Y (n_12506));
-  sky130_fd_sc_hd__a222oi_1 g324747(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [18]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [18]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [18]), .Y (n_12505));
-  sky130_fd_sc_hd__a222oi_1 g324748(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [3]), .A2 (n_15887), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [3]), .C1 (n_15856), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [3]), .Y (n_12504));
-  sky130_fd_sc_hd__a222oi_1 g324749(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [3]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [3]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [3]), .Y (n_12503));
-  sky130_fd_sc_hd__a222oi_1 g324750(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [30]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [30]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [30]), .Y (n_12502));
-  sky130_fd_sc_hd__a222oi_1 g324751(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [30]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [30]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [30]), .Y (n_12501));
-  sky130_fd_sc_hd__a222oi_1 g324752(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [2]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [2]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [2]), .Y (n_12500));
-  sky130_fd_sc_hd__a222oi_1 g324754(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [2]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [2]), .C1 (n_11518), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [2]), .Y (n_12498));
-  sky130_fd_sc_hd__a222oi_1 g324755(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [18]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [18]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [18]), .Y (n_12497));
-  sky130_fd_sc_hd__a222oi_1 g324757(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [26]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [26]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [26]), .Y (n_12495));
-  sky130_fd_sc_hd__a222oi_1 g324759(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [26]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [26]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [26]), .Y (n_12493));
-  sky130_fd_sc_hd__a222oi_1 g324760(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [18]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [18]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [18]), .Y (n_12492));
-  sky130_fd_sc_hd__a222oi_1 g324761(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [18]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [18]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [18]), .Y (n_12491));
-  sky130_fd_sc_hd__a222oi_1 g324765(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [17]), .A2 (n_11513), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [17]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [17]), .Y (n_12487));
-  sky130_fd_sc_hd__a222oi_1 g324768(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [25]), .A2 (n_11513), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [25]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [25]), .Y (n_12484));
-  sky130_fd_sc_hd__a222oi_1 g324769(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [17]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [17]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [17]), .Y (n_12483));
-  sky130_fd_sc_hd__a222oi_1 g324772(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [17]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [17]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [17]), .Y (n_12480));
-  sky130_fd_sc_hd__a222oi_1 g324773(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [17]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [17]), .C1 (n_15860), .C2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .Y (n_12479));
-  sky130_fd_sc_hd__a222oi_1 g324775(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [17]), .A2 (n_11558), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [17]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [17]), .Y (n_12477));
-  sky130_fd_sc_hd__a222oi_1 g324778(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [31]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [31]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [31]), .Y (n_12474));
-  sky130_fd_sc_hd__a21oi_1 g324779(.A1 (n_11672), .A2 (n_11661), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .Y (\u_soc_dccm_to_xbar[d_valid] ));
-  sky130_fd_sc_hd__a222oi_1 g324780(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [17]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [17]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [17]), .Y (n_12473));
-  sky130_fd_sc_hd__a222oi_1 g324781(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [29]), .A2 (n_11556), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [29]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [29]), .Y (n_12472));
-  sky130_fd_sc_hd__a222oi_1 g324784(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [17]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [17]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [17]), .Y (n_12469));
-  sky130_fd_sc_hd__a222oi_1 g324786(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [25]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [25]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [25]), .Y (n_12467));
-  sky130_fd_sc_hd__a222oi_1 g324789(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [17]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [17]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [17]), .Y (n_12464));
-  sky130_fd_sc_hd__a222oi_1 g324790(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [25]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [25]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [25]), .Y (n_12463));
-  sky130_fd_sc_hd__a222oi_1 g324791(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [16]), .A2 (n_15999), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [16]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [16]), .Y (n_12462));
-  sky130_fd_sc_hd__a222oi_1 g324792(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [16]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [16]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [16]), .Y (n_12461));
-  sky130_fd_sc_hd__a222oi_1 g324793(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [29]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [29]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [29]), .Y (n_12460));
-  sky130_fd_sc_hd__a222oi_1 g324794(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [25]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [25]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [25]), .Y (n_12459));
-  sky130_fd_sc_hd__a222oi_1 g324795(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [16]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [16]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [16]), .Y (n_12458));
-  sky130_fd_sc_hd__a222oi_1 g324796(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [29]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [29]), .C1 (n_15860), .C2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .Y (n_12457));
-  sky130_fd_sc_hd__a222oi_1 g324797(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [16]), .A2 (n_11479), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [16]), .C1 (n_15858), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [16]), .Y (n_12456));
-  sky130_fd_sc_hd__a222oi_1 g324798(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [29]), .A2 (n_11558), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [29]), .C1 (n_15995), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [29]), .Y (n_12455));
-  sky130_fd_sc_hd__a222oi_1 g324799(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [25]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [25]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [25]), .Y (n_12454));
-  sky130_fd_sc_hd__a222oi_1 g324800(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [16]), .A2 (n_11555), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [16]), .C1 (n_15859), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [16]), .Y (n_12453));
-  sky130_fd_sc_hd__a222oi_1 g324801(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [25]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [25]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [25]), .Y (n_12452));
-  sky130_fd_sc_hd__a222oi_1 g324802(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [16]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [16]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [16]), .Y (n_12451));
-  sky130_fd_sc_hd__a222oi_1 g324803(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [16]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [16]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [16]), .Y (n_12450));
-  sky130_fd_sc_hd__a222oi_1 g324804(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [15]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [15]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [15]), .Y (n_12449));
-  sky130_fd_sc_hd__a222oi_1 g324805(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [24]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [24]), .C1 (n_11749), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [24]), .Y (n_12448));
-  sky130_fd_sc_hd__a222oi_1 g324806(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [15]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [15]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [15]), .Y (n_12447));
-  sky130_fd_sc_hd__a222oi_1 g324807(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [24]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [24]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [24]), .Y (n_12446));
-  sky130_fd_sc_hd__a222oi_1 g324808(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [29]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [29]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [29]), .Y (n_12445));
-  sky130_fd_sc_hd__a222oi_1 g324809(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [15]), .A2 (n_11479), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [15]), .C1 (n_15858), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [15]), .Y (n_12444));
-  sky130_fd_sc_hd__a222oi_1 g324810(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [15]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [15]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [15]), .Y (n_12443));
-  sky130_fd_sc_hd__a222oi_1 g324811(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [15]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [15]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [15]), .Y (n_12442));
-  sky130_fd_sc_hd__a222oi_1 g324812(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [14]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [14]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [14]), .Y (n_12441));
-  sky130_fd_sc_hd__a222oi_1 g324813(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [14]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [14]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [14]), .Y (n_12440));
-  sky130_fd_sc_hd__a222oi_1 g324814(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [24]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [24]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [24]), .Y (n_12439));
-  sky130_fd_sc_hd__a222oi_1 g324815(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [29]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [29]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [29]), .Y (n_12438));
-  sky130_fd_sc_hd__a222oi_1 g324816(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [14]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [14]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [14]), .Y (n_12437));
-  sky130_fd_sc_hd__a222oi_1 g324817(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [29]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [29]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [29]), .Y (n_12436));
-  sky130_fd_sc_hd__a222oi_1 g324818(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [24]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [24]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [24]), .Y (n_12435));
-  sky130_fd_sc_hd__a222oi_1 g324819(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [24]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [24]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [24]), .Y (n_12434));
-  sky130_fd_sc_hd__a222oi_1 g324820(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [14]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [14]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [14]), .Y (n_12433));
-  sky130_fd_sc_hd__a222oi_1 g324821(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [14]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [14]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [14]), .Y (n_12432));
-  sky130_fd_sc_hd__a222oi_1 g324822(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [31]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [31]), .C1 (n_15856), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [31]), .Y (n_12431));
-  sky130_fd_sc_hd__a222oi_1 g324823(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [13]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [13]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [13]), .Y (n_12430));
-  sky130_fd_sc_hd__a222oi_1 g324824(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [13]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [13]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [13]), .Y (n_12429));
-  sky130_fd_sc_hd__a222oi_1 g324825(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [23]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [23]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [23]), .Y (n_12428));
-  sky130_fd_sc_hd__a222oi_1 g324826(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [23]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [23]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [23]), .Y (n_12427));
-  sky130_fd_sc_hd__a222oi_1 g324827(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [28]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [28]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [28]), .Y (n_12426));
-  sky130_fd_sc_hd__a222oi_1 g324828(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [31]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [31]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [31]), .Y (n_12425));
-  sky130_fd_sc_hd__a222oi_1 g324829(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [28]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [28]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [28]), .Y (n_12424));
-  sky130_fd_sc_hd__a222oi_1 g324830(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [13]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [13]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [13]), .Y (n_12423));
-  sky130_fd_sc_hd__a222oi_1 g324831(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [13]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [13]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [13]), .Y (n_12422));
-  sky130_fd_sc_hd__a222oi_1 g324832(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [13]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [13]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [13]), .Y (n_12421));
-  sky130_fd_sc_hd__a222oi_1 g324833(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [12]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [12]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [12]), .Y (n_12420));
-  sky130_fd_sc_hd__a222oi_1 g324834(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [12]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [12]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [12]), .Y (n_12419));
-  sky130_fd_sc_hd__a222oi_1 g324835(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [23]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [23]), .C1 (n_11756), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [23]), .Y (n_12418));
-  sky130_fd_sc_hd__a222oi_1 g324836(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [12]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [12]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [12]), .Y (n_12417));
-  sky130_fd_sc_hd__a222oi_1 g324837(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [12]), .A2 (n_11516), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [12]), .C1 (n_11757), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [12]), .Y (n_12416));
-  sky130_fd_sc_hd__a222oi_1 g324838(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [23]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [23]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [23]), .Y (n_12415));
-  sky130_fd_sc_hd__a222oi_1 g324839(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [23]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [23]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [23]), .Y (n_12414));
-  sky130_fd_sc_hd__a222oi_1 g324840(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [12]), .A2 (n_11475), .B1 (n_15887), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [12]), .C1 (n_15857), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [12]), .Y (n_12413));
-  sky130_fd_sc_hd__a222oi_1 g324841(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [12]), .A2 (n_15868), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [12]), .C1 (n_11550), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [12]), .Y (n_12412));
-  sky130_fd_sc_hd__a222oi_1 g324842(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [11]), .A2 (n_15999), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [11]), .C1 (n_11761), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [11]), .Y (n_12411));
-  sky130_fd_sc_hd__a222oi_1 g324843(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [22]), .A2 (n_11515), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [22]), .C1 (n_11765), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [22]), .Y (n_12410));
-  sky130_fd_sc_hd__a222oi_1 g324844(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [11]), .A2 (n_11425), .B1 (n_11474), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [11]), .C1 (n_11557), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [11]), .Y (n_12409));
-  sky130_fd_sc_hd__a222oi_1 g324845(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [11]), .A2 (n_11478), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [11]), .C1 (n_11751), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [11]), .Y (n_12408));
-  sky130_fd_sc_hd__a22oi_1 g324847(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [11]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [11]), .Y (n_12407));
-  sky130_fd_sc_hd__a22oi_1 g324848(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [22]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [22]), .Y (n_12406));
-  sky130_fd_sc_hd__a22oi_1 g324849(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [10]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [10]), .Y (n_12405));
-  sky130_fd_sc_hd__a22oi_1 g324850(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [31]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [31]), .Y (n_12404));
-  sky130_fd_sc_hd__a22oi_1 g324851(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [28]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [28]), .Y (n_12403));
-  sky130_fd_sc_hd__a22oi_1 g324852(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [28]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [28]), .Y (n_12402));
-  sky130_fd_sc_hd__a22oi_1 g324853(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [10]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [10]), .Y (n_12401));
-  sky130_fd_sc_hd__a22o_1 g324854(.A1 (n_11765), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [24]), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [24]), .X (n_12400));
-  sky130_fd_sc_hd__a22oi_1 g324855(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [22]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [22]), .Y (n_12399));
-  sky130_fd_sc_hd__a22oi_1 g324856(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [10]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [10]), .Y (n_12398));
-  sky130_fd_sc_hd__a22oi_1 g324857(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [21]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [21]), .Y (n_12397));
-  sky130_fd_sc_hd__a22oi_1 g324858(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [21]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [21]), .Y (n_12396));
-  sky130_fd_sc_hd__a22oi_1 g324859(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [27]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [27]), .Y (n_12395));
-  sky130_fd_sc_hd__a22oi_1 g324860(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [9]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [9]), .Y (n_12394));
-  sky130_fd_sc_hd__a22oi_1 g324861(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [31]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [31]), .Y (n_12393));
-  sky130_fd_sc_hd__a22oi_1 g324862(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [9]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [9]), .Y (n_12392));
-  sky130_fd_sc_hd__a22o_1 g324863(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [30]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [30]), .X (n_12391));
-  sky130_fd_sc_hd__a22oi_1 g324864(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [9]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [9]), .Y (n_12390));
-  sky130_fd_sc_hd__a22oi_1 g324865(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [27]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [27]), .Y (n_12389));
-  sky130_fd_sc_hd__a22oi_1 g324866(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [9]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [9]), .Y (n_12388));
-  sky130_fd_sc_hd__a22oi_1 g324867(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [21]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [21]), .Y (n_12387));
-  sky130_fd_sc_hd__a22oi_1 g324868(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [8]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [8]), .Y (n_12386));
-  sky130_fd_sc_hd__a22oi_1 g324869(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [8]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [8]), .Y (n_12385));
-  sky130_fd_sc_hd__a22oi_1 g324870(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [8]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [8]), .Y (n_12384));
-  sky130_fd_sc_hd__a22oi_1 g324871(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [27]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [27]), .Y (n_12383));
-  sky130_fd_sc_hd__a22oi_1 g324872(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [21]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [21]), .Y (n_12382));
-  sky130_fd_sc_hd__a22oi_1 g324873(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [30]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [30]), .Y (n_12381));
-  sky130_fd_sc_hd__a22oi_1 g324874(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [7]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [7]), .Y (n_12380));
-  sky130_fd_sc_hd__a22oi_1 g324875(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [1]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [1]), .Y (n_12379));
-  sky130_fd_sc_hd__a22oi_1 g324876(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [7]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [7]), .Y (n_12378));
-  sky130_fd_sc_hd__a22oi_1 g324877(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [7]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [7]), .Y (n_12377));
-  sky130_fd_sc_hd__a22oi_1 g324878(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [31]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [31]), .Y (n_12376));
-  sky130_fd_sc_hd__a22oi_1 g324879(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [7]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [7]), .Y (n_12375));
-  sky130_fd_sc_hd__a22oi_1 g324880(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [30]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [30]), .Y (n_12374));
-  sky130_fd_sc_hd__a22oi_1 g324882(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [6]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [6]), .Y (n_12372));
-  sky130_fd_sc_hd__a22oi_1 g324883(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [6]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [6]), .Y (n_12371));
-  sky130_fd_sc_hd__a22oi_1 g324884(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [20]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [20]), .Y (n_12370));
-  sky130_fd_sc_hd__a22oi_1 g324885(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [6]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [6]), .Y (n_12369));
-  sky130_fd_sc_hd__a22oi_1 g324886(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [30]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [30]), .Y (n_12368));
-  sky130_fd_sc_hd__a22oi_1 g324887(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [27]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [27]), .Y (n_12367));
-  sky130_fd_sc_hd__a22oi_1 g324888(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [6]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [6]), .Y (n_12366));
-  sky130_fd_sc_hd__a22oi_1 g324889(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [6]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [6]), .Y (n_12365));
-  sky130_fd_sc_hd__a22oi_1 g324890(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [20]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [20]), .Y (n_12364));
-  sky130_fd_sc_hd__a22oi_1 g324891(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [6]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [6]), .Y (n_12363));
-  sky130_fd_sc_hd__a22oi_1 g324892(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [19]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [19]), .Y (n_12362));
-  sky130_fd_sc_hd__a22oi_1 g324893(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [5]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [5]), .Y (n_12361));
-  sky130_fd_sc_hd__a22oi_1 g324894(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [19]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [19]), .Y (n_12360));
-  sky130_fd_sc_hd__a22oi_1 g324895(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [5]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [5]), .Y (n_12359));
-  sky130_fd_sc_hd__a22oi_1 g324896(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [5]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [5]), .Y (n_12358));
-  sky130_fd_sc_hd__a22oi_1 g324897(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [19]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [19]), .Y (n_12357));
-  sky130_fd_sc_hd__a22oi_1 g324898(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [4]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [4]), .Y (n_12356));
-  sky130_fd_sc_hd__a22oi_1 g324899(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [4]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [4]), .Y (n_12355));
-  sky130_fd_sc_hd__a22oi_1 g324900(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [30]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [30]), .Y (n_12354));
-  sky130_fd_sc_hd__a22oi_1 g324901(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [19]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [19]), .Y (n_12353));
-  sky130_fd_sc_hd__a22oi_1 g324902(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [4]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [4]), .Y (n_12352));
-  sky130_fd_sc_hd__a22oi_1 g324903(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [19]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [19]), .Y (n_12351));
-  sky130_fd_sc_hd__a22oi_1 g324904(.A1 (n_15857), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [4]), .B1 (n_15859), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [4]), .Y (n_12350));
-  sky130_fd_sc_hd__a22oi_1 g324905(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [3]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [3]), .Y (n_12349));
-  sky130_fd_sc_hd__a22oi_1 g324906(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [18]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [18]), .Y (n_12348));
-  sky130_fd_sc_hd__a22oi_1 g324907(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [3]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [3]), .Y (n_12347));
-  sky130_fd_sc_hd__a22oi_1 g324908(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [30]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [30]), .Y (n_12346));
-  sky130_fd_sc_hd__a22oi_1 g324909(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [30]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [30]), .Y (n_12345));
-  sky130_fd_sc_hd__a22oi_1 g324910(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [18]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [18]), .Y (n_12344));
-  sky130_fd_sc_hd__a22oi_1 g324912(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [3]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [3]), .Y (n_12342));
-  sky130_fd_sc_hd__a22oi_1 g324913(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [3]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [3]), .Y (n_12341));
-  sky130_fd_sc_hd__a22oi_1 g324914(.A1 (n_15857), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [3]), .B1 (n_11475), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [3]), .Y (n_12340));
-  sky130_fd_sc_hd__a22oi_1 g324916(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [18]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [18]), .Y (n_12338));
-  sky130_fd_sc_hd__a22oi_1 g324917(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [2]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [2]), .Y (n_12337));
-  sky130_fd_sc_hd__a22oi_1 g324918(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [26]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [26]), .Y (n_12336));
-  sky130_fd_sc_hd__a22oi_1 g324919(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [2]), .B1 (n_15856), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [2]), .Y (n_12335));
-  sky130_fd_sc_hd__a22oi_1 g324920(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [18]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [18]), .Y (n_12334));
-  sky130_fd_sc_hd__a22oi_1 g324921(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [2]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [2]), .Y (n_12333));
-  sky130_fd_sc_hd__a22oi_1 g324922(.A1 (n_11765), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [1]), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [1]), .Y (n_12332));
-  sky130_fd_sc_hd__a22oi_1 g324923(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [29]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [29]), .Y (n_12331));
-  sky130_fd_sc_hd__a22oi_1 g324924(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [1]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [1]), .Y (n_12330));
-  sky130_fd_sc_hd__a22oi_1 g324925(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [29]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [29]), .Y (n_12329));
-  sky130_fd_sc_hd__a22oi_1 g324926(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [25]), .B1 (n_15999), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [25]), .Y (n_12328));
-  sky130_fd_sc_hd__a22oi_1 g324927(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [25]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [25]), .Y (n_12327));
-  sky130_fd_sc_hd__a22oi_1 g324929(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [1]), .B1 (n_15856), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [1]), .Y (n_12325));
-  sky130_fd_sc_hd__a22oi_1 g324930(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [1]), .B1 (n_15882), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [1]), .Y (n_12324));
-  sky130_fd_sc_hd__a21oi_1 g324931(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [0]), .B1 (n_12170), .Y (n_12323));
-  sky130_fd_sc_hd__a21oi_1 g324932(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [0]), .B1 (n_12169), .Y (n_12322));
-  sky130_fd_sc_hd__a22oi_1 g324933(.A1 (n_15860), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [0]), .Y (n_12321));
-  sky130_fd_sc_hd__a21oi_1 g324934(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [0]), .B1 (n_12222), .Y (n_12320));
-  sky130_fd_sc_hd__a21oi_1 g324935(.A1 (n_11751), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [0]), .B1 (n_12229), .Y (n_12319));
-  sky130_fd_sc_hd__a22oi_1 g324936(.A1 (n_11762), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [17]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [17]), .Y (n_12318));
-  sky130_fd_sc_hd__a22oi_1 g324937(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [17]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [17]), .Y (n_12317));
-  sky130_fd_sc_hd__a22oi_1 g324938(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [16]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [16]), .Y (n_12316));
-  sky130_fd_sc_hd__a22oi_1 g324939(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [31]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [31]), .Y (n_12315));
-  sky130_fd_sc_hd__a22oi_1 g324940(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [16]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [16]), .Y (n_12314));
-  sky130_fd_sc_hd__a22oi_1 g324941(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [25]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [25]), .Y (n_12313));
-  sky130_fd_sc_hd__a22oi_1 g324942(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [15]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [15]), .Y (n_12312));
-  sky130_fd_sc_hd__o2bb2ai_1 g324943(.A1_N
-       (u_soc_u_top_u_core_pc_id[1]), .A2_N (n_15862), .B1 (n_11032),
-       .B2 (n_11770), .Y (n_12311));
-  sky130_fd_sc_hd__a22oi_1 g324944(.A1 (n_11771), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[0]), .Y (n_12310));
-  sky130_fd_sc_hd__a22oi_1 g324945(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [15]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [15]), .Y (n_12309));
-  sky130_fd_sc_hd__a22oi_1 g324946(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [15]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [15]), .Y (n_12308));
-  sky130_fd_sc_hd__a22oi_1 g324947(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [24]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [24]), .Y (n_12307));
-  sky130_fd_sc_hd__a22oi_1 g324948(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [29]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [29]), .Y (n_12306));
-  sky130_fd_sc_hd__a22oi_1 g324949(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [15]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [15]), .Y (n_12305));
-  sky130_fd_sc_hd__a22oi_1 g324950(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [15]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [15]), .Y (n_12304));
-  sky130_fd_sc_hd__a22oi_1 g324951(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [24]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [24]), .Y (n_12303));
-  sky130_fd_sc_hd__a22oi_1 g324952(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [15]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [15]), .Y (n_12302));
-  sky130_fd_sc_hd__a22oi_1 g324953(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [24]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [24]), .Y (n_12301));
-  sky130_fd_sc_hd__a22o_1 g324954(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [14]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [14]), .X (n_12300));
-  sky130_fd_sc_hd__a22oi_1 g324955(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [29]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [29]), .Y (n_12299));
-  sky130_fd_sc_hd__a22oi_1 g324956(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [14]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [14]), .Y (n_12298));
-  sky130_fd_sc_hd__a22oi_1 g324957(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [14]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [14]), .Y (n_12297));
-  sky130_fd_sc_hd__a22oi_1 g324958(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [14]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [14]), .Y (n_12296));
-  sky130_fd_sc_hd__a22oi_1 g324959(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [14]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [14]), .Y (n_12295));
-  sky130_fd_sc_hd__a22oi_1 g324960(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [24]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [24]), .Y (n_12294));
-  sky130_fd_sc_hd__a22oi_1 g324961(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [14]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [14]), .Y (n_12293));
-  sky130_fd_sc_hd__a22o_1 g324962(.A1 (n_11765), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [31]), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [31]), .X (n_12292));
-  sky130_fd_sc_hd__a22o_1 g324963(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [13]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [13]), .X (n_12291));
-  sky130_fd_sc_hd__a22o_1 g324964(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [23]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [23]), .X (n_12290));
-  sky130_fd_sc_hd__a22o_1 g324965(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [28]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [28]), .X (n_12289));
-  sky130_fd_sc_hd__a22oi_1 g324966(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [13]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [13]), .Y (n_12288));
-  sky130_fd_sc_hd__a22oi_1 g324967(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [13]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [13]), .Y (n_12287));
-  sky130_fd_sc_hd__a22oi_1 g324968(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [13]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [13]), .Y (n_12286));
-  sky130_fd_sc_hd__a22oi_1 g324969(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [23]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [23]), .Y (n_12285));
-  sky130_fd_sc_hd__a22oi_1 g324970(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [13]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [13]), .Y (n_12284));
-  sky130_fd_sc_hd__a22oi_1 g324971(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [23]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [23]), .Y (n_12283));
-  sky130_fd_sc_hd__a22oi_1 g324972(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [31]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [31]), .Y (n_12282));
-  sky130_fd_sc_hd__a22oi_1 g324973(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [23]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [23]), .Y (n_12281));
-  sky130_fd_sc_hd__a22oi_1 g324974(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [13]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [13]), .Y (n_12280));
-  sky130_fd_sc_hd__a22oi_1 g324975(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [28]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [28]), .Y (n_12279));
-  sky130_fd_sc_hd__a22oi_1 g324976(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [12]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [12]), .Y (n_12278));
-  sky130_fd_sc_hd__a22oi_1 g324977(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [12]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [12]), .Y (n_12277));
-  sky130_fd_sc_hd__a22oi_1 g324978(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [23]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [23]), .Y (n_12276));
-  sky130_fd_sc_hd__a22oi_1 g324979(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [28]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [28]), .Y (n_12275));
-  sky130_fd_sc_hd__a22oi_1 g324980(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [12]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [12]), .Y (n_12274));
-  sky130_fd_sc_hd__a22oi_1 g324981(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [28]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [28]), .Y (n_12273));
-  sky130_fd_sc_hd__a22oi_1 g324982(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [23]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [23]), .Y (n_12272));
-  sky130_fd_sc_hd__a22oi_1 g324983(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [12]), .B1 (n_11483), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [12]), .Y (n_12271));
-  sky130_fd_sc_hd__a22oi_1 g324984(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [11]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [11]), .Y (n_12270));
-  sky130_fd_sc_hd__a22oi_1 g324985(.A1 (n_11753), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [22]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [22]), .Y (n_12269));
-  sky130_fd_sc_hd__a22oi_1 g324986(.A1 (n_11763), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [11]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [11]), .Y (n_12268));
-  sky130_fd_sc_hd__a22oi_1 g324987(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [22]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [22]), .Y (n_12267));
-  sky130_fd_sc_hd__a22oi_1 g324988(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [11]), .B1 (n_11556), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [11]), .Y (n_12266));
-  sky130_fd_sc_hd__inv_1 g324989(.A (n_12109), .Y (n_12265));
-  sky130_fd_sc_hd__inv_1 g324990(.A (n_12099), .Y (n_12264));
-  sky130_fd_sc_hd__inv_2 g324991(.A (n_12262), .Y (n_12261));
-  sky130_fd_sc_hd__inv_2 g324992(.A (n_12260), .Y (n_12259));
-  sky130_fd_sc_hd__inv_2 g324993(.A (n_15883), .Y (n_12257));
-  sky130_fd_sc_hd__a222oi_1 g324994(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [30]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [30]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [30]), .Y (n_12255));
-  sky130_fd_sc_hd__nand2_1 g324995(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [28]), .Y (n_12254));
-  sky130_fd_sc_hd__nand2_1 g324996(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [22]), .Y (n_12253));
-  sky130_fd_sc_hd__nand2_1 g324997(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [11]), .Y (n_12252));
-  sky130_fd_sc_hd__nand2_1 g324998(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [22]), .Y (n_12251));
-  sky130_fd_sc_hd__nand2_1 g324999(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [10]), .Y (n_12250));
-  sky130_fd_sc_hd__nand2_1 g325000(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [10]), .Y (n_12249));
-  sky130_fd_sc_hd__nand2_1 g325001(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [28]), .Y (n_12248));
-  sky130_fd_sc_hd__nand2_1 g325002(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [22]), .Y (n_12247));
-  sky130_fd_sc_hd__nand2_1 g325003(.A (n_15857), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [10]), .Y (n_12246));
-  sky130_fd_sc_hd__nand2_1 g325004(.A (n_15857), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [0]), .Y (n_12245));
-  sky130_fd_sc_hd__nand2_1 g325005(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [9]), .Y (n_12244));
-  sky130_fd_sc_hd__nand2_1 g325006(.A (n_11754), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [21]), .Y (n_12243));
-  sky130_fd_sc_hd__nand2_1 g325007(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [27]), .Y (n_12242));
-  sky130_fd_sc_hd__nand2_1 g325008(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [9]), .Y (n_12241));
-  sky130_fd_sc_hd__nand2_1 g325009(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [21]), .Y (n_12240));
-  sky130_fd_sc_hd__nand2_1 g325010(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [9]), .Y (n_12239));
-  sky130_fd_sc_hd__nand2_1 g325011(.A (n_11765), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [8]), .Y (n_12238));
-  sky130_fd_sc_hd__nand2_1 g325012(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [21]), .Y (n_12237));
-  sky130_fd_sc_hd__nand2_1 g325013(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [8]), .Y (n_12236));
-  sky130_fd_sc_hd__nand2_1 g325014(.A (n_11751), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [30]), .Y (n_12235));
-  sky130_fd_sc_hd__nand2_1 g325015(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [27]), .Y (n_12234));
-  sky130_fd_sc_hd__nand2_1 g325016(.A (n_11762), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [8]), .Y (n_12233));
-  sky130_fd_sc_hd__nand2_1 g325017(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [21]), .Y (n_12232));
-  sky130_fd_sc_hd__nand2_1 g325018(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [8]), .Y (n_12231));
-  sky130_fd_sc_hd__nand2_1 g325019(.A (n_11753), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [20]), .Y (n_12230));
-  sky130_fd_sc_hd__nor2b_1 g325020(.A (n_15996), .B_N
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [0]), .Y (n_12229));
-  sky130_fd_sc_hd__nand2_1 g325021(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [7]), .Y (n_12228));
-  sky130_fd_sc_hd__nand2_1 g325022(.A (n_11754), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [20]), .Y (n_12227));
-  sky130_fd_sc_hd__nand2_1 g325023(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [27]), .Y (n_12226));
-  sky130_fd_sc_hd__nand2_1 g325024(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [20]), .Y (n_12225));
-  sky130_fd_sc_hd__nand2_1 g325025(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [7]), .Y (n_12224));
-  sky130_fd_sc_hd__nand2_1 g325026(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [7]), .Y (n_12223));
-  sky130_fd_sc_hd__nor2b_1 g325027(.A (n_15998), .B_N
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [0]), .Y (n_12222));
-  sky130_fd_sc_hd__nand2_1 g325028(.A (n_11763), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [20]), .Y (n_12221));
-  sky130_fd_sc_hd__nand2_1 g325030(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [0]), .Y (n_12219));
-  sky130_fd_sc_hd__nand2_1 g325031(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [6]), .Y (n_12218));
-  sky130_fd_sc_hd__nand2_1 g325032(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [20]), .Y (n_12217));
-  sky130_fd_sc_hd__nand2_1 g325033(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [27]), .Y (n_12216));
-  sky130_fd_sc_hd__nand2_1 g325034(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [20]), .Y (n_12215));
-  sky130_fd_sc_hd__nand2_1 g325035(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [6]), .Y (n_12214));
-  sky130_fd_sc_hd__nand2_1 g325036(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [5]), .Y (n_12213));
-  sky130_fd_sc_hd__nand2_1 g325037(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [19]), .Y (n_12212));
-  sky130_fd_sc_hd__nand2_1 g325038(.A (n_11753), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [26]), .Y (n_12211));
-  sky130_fd_sc_hd__nand2_1 g325039(.A (n_11751), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [19]), .Y (n_12210));
-  sky130_fd_sc_hd__nand2_1 g325040(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [30]), .Y (n_12209));
-  sky130_fd_sc_hd__nand2_1 g325041(.A (n_11763), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [26]), .Y (n_12208));
-  sky130_fd_sc_hd__nand2_1 g325042(.A (n_15995), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [4]), .Y (n_12207));
-  sky130_fd_sc_hd__nand2_1 g325043(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [19]), .Y (n_12206));
-  sky130_fd_sc_hd__nand2_1 g325044(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [31]), .Y (n_12205));
-  sky130_fd_sc_hd__nand2_1 g325045(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [4]), .Y (n_12204));
-  sky130_fd_sc_hd__nand2_1 g325046(.A (n_11754), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [26]), .Y (n_12203));
-  sky130_fd_sc_hd__nand2_1 g325047(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [19]), .Y (n_12202));
-  sky130_fd_sc_hd__nand2_1 g325048(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [4]), .Y (n_12201));
-  sky130_fd_sc_hd__nand2_1 g325049(.A (n_15860), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .Y (n_12200));
-  sky130_fd_sc_hd__nand2_1 g325050(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [4]), .Y (n_12199));
-  sky130_fd_sc_hd__nand2_1 g325051(.A (n_11751), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [26]), .Y (n_12198));
-  sky130_fd_sc_hd__nand2_1 g325052(.A (n_11765), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [18]), .Y (n_12197));
-  sky130_fd_sc_hd__nand2_1 g325053(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [3]), .Y (n_12196));
-  sky130_fd_sc_hd__nand2_1 g325054(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [26]), .Y (n_12195));
-  sky130_fd_sc_hd__nand2_1 g325055(.A (n_11762), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [3]), .Y (n_12194));
-  sky130_fd_sc_hd__nand2_1 g325056(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [18]), .Y (n_12193));
-  sky130_fd_sc_hd__nand2_1 g325057(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [3]), .Y (n_12192));
-  sky130_fd_sc_hd__nand2_1 g325058(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [30]), .Y (n_12191));
-  sky130_fd_sc_hd__nand2_1 g325059(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [2]), .Y (n_12190));
-  sky130_fd_sc_hd__nand2_1 g325060(.A (n_11763), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [2]), .Y (n_12189));
-  sky130_fd_sc_hd__nand2_1 g325061(.A (n_11753), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [2]), .Y (n_12188));
-  sky130_fd_sc_hd__nand2_1 g325062(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [18]), .Y (n_12187));
-  sky130_fd_sc_hd__nand2_1 g325063(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [2]), .Y (n_12186));
-  sky130_fd_sc_hd__nand2_1 g325065(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [26]), .Y (n_12184));
-  sky130_fd_sc_hd__nand2_1 g325066(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [18]), .Y (n_12183));
-  sky130_fd_sc_hd__nand2_1 g325067(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [2]), .Y (n_12182));
-  sky130_fd_sc_hd__nand2_1 g325068(.A (n_11753), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [1]), .Y (n_12181));
-  sky130_fd_sc_hd__nand2_1 g325069(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [1]), .Y (n_12180));
-  sky130_fd_sc_hd__nand2_1 g325070(.A (n_11753), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [17]), .Y (n_12179));
-  sky130_fd_sc_hd__nand2_1 g325071(.A (n_11763), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [1]), .Y (n_12178));
-  sky130_fd_sc_hd__nand2_1 g325072(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [1]), .Y (n_12177));
-  sky130_fd_sc_hd__nand2_1 g325073(.A (n_11754), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [17]), .Y (n_12176));
-  sky130_fd_sc_hd__nand2_1 g325074(.A (n_11753), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [25]), .Y (n_12175));
-  sky130_fd_sc_hd__nand2_1 g325075(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [17]), .Y (n_12174));
-  sky130_fd_sc_hd__nand2_1 g325077(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [31]), .Y (n_12172));
-  sky130_fd_sc_hd__nor2b_1 g325079(.A (n_15997), .B_N
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [0]), .Y (n_12170));
-  sky130_fd_sc_hd__nor2b_1 g325080(.A (n_11748), .B_N
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [0]), .Y (n_12169));
-  sky130_fd_sc_hd__nand2_1 g325081(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [2]), .Y (n_12168));
-  sky130_fd_sc_hd__nand2_1 g325082(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [17]), .Y (n_12167));
-  sky130_fd_sc_hd__nand2_1 g325083(.A (n_11754), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [25]), .Y (n_12166));
-  sky130_fd_sc_hd__nand2_1 g325084(.A (n_11754), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [29]), .Y (n_12165));
-  sky130_fd_sc_hd__nand2_1 g325085(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [17]), .Y (n_12164));
-  sky130_fd_sc_hd__nand2_1 g325086(.A (n_15860), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .Y (n_12163));
-  sky130_fd_sc_hd__nand2_1 g325087(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [0]), .Y (n_12162));
-  sky130_fd_sc_hd__nand2_1 g325088(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [25]), .Y (n_12161));
-  sky130_fd_sc_hd__nand2_1 g325089(.A (n_15995), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [16]), .Y (n_12160));
-  sky130_fd_sc_hd__nand2_1 g325090(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [29]), .Y (n_12159));
-  sky130_fd_sc_hd__nand2_1 g325091(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [25]), .Y (n_12158));
-  sky130_fd_sc_hd__nand2_1 g325092(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [16]), .Y (n_12157));
-  sky130_fd_sc_hd__nand2_1 g325093(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [16]), .Y (n_12156));
-  sky130_fd_sc_hd__nand2_1 g325094(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [16]), .Y (n_12155));
-  sky130_fd_sc_hd__nand2_1 g325095(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [25]), .Y (n_12154));
-  sky130_fd_sc_hd__nand2_1 g325096(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [16]), .Y (n_12153));
-  sky130_fd_sc_hd__nand2_1 g325097(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [15]), .Y (n_12152));
-  sky130_fd_sc_hd__nand2_1 g325098(.A (n_11751), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [24]), .Y (n_12151));
-  sky130_fd_sc_hd__nand2_1 g325099(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [15]), .Y (n_12150));
-  sky130_fd_sc_hd__nand2_1 g325101(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [29]), .Y (n_12148));
-  sky130_fd_sc_hd__nand2_1 g325102(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [15]), .Y (n_12147));
-  sky130_fd_sc_hd__nand2_1 g325103(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [15]), .Y (n_12146));
-  sky130_fd_sc_hd__nand2_1 g325104(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [14]), .Y (n_12145));
-  sky130_fd_sc_hd__nand2_1 g325105(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [24]), .Y (n_12144));
-  sky130_fd_sc_hd__nand2_1 g325106(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [14]), .Y (n_12143));
-  sky130_fd_sc_hd__nand2_1 g325107(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [29]), .Y (n_12142));
-  sky130_fd_sc_hd__nand2_1 g325108(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [24]), .Y (n_12141));
-  sky130_fd_sc_hd__nand2_1 g325109(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [14]), .Y (n_12140));
-  sky130_fd_sc_hd__nand2_1 g325110(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [13]), .Y (n_12139));
-  sky130_fd_sc_hd__nand2_1 g325111(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [23]), .Y (n_12138));
-  sky130_fd_sc_hd__nand2_1 g325112(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [28]), .Y (n_12137));
-  sky130_fd_sc_hd__nand2_1 g325113(.A (n_15857), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [31]), .Y (n_12136));
-  sky130_fd_sc_hd__nand2_1 g325114(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [13]), .Y (n_12135));
-  sky130_fd_sc_hd__nand2_1 g325115(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [13]), .Y (n_12134));
-  sky130_fd_sc_hd__nand2_1 g325116(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [12]), .Y (n_12133));
-  sky130_fd_sc_hd__nand2_1 g325117(.A (n_11757), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [23]), .Y (n_12132));
-  sky130_fd_sc_hd__nand2_1 g325118(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [12]), .Y (n_12131));
-  sky130_fd_sc_hd__nand2_1 g325119(.A (n_11756), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [12]), .Y (n_12130));
-  sky130_fd_sc_hd__nand2_1 g325120(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [23]), .Y (n_12129));
-  sky130_fd_sc_hd__nand2_1 g325121(.A (n_15856), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [12]), .Y (n_12128));
-  sky130_fd_sc_hd__nand2_1 g325122(.A (n_15995), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [11]), .Y (n_12127));
-  sky130_fd_sc_hd__nand2_1 g325123(.A (n_11761), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [22]), .Y (n_12126));
-  sky130_fd_sc_hd__nand2_1 g325124(.A (n_11749), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [11]), .Y (n_12125));
-  sky130_fd_sc_hd__a222oi_1 g325125(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [20]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [20]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [20]), .Y (n_12124));
-  sky130_fd_sc_hd__a222oi_1 g325126(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [7]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [7]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [7]), .Y (n_12123));
-  sky130_fd_sc_hd__o21ai_0 g325127(.A1 (n_11446), .A2 (n_11574), .B1
-       (n_15881), .Y (n_12122));
-  sky130_fd_sc_hd__a222oi_1 g325129(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [20]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [20]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [20]), .Y (n_12120));
-  sky130_fd_sc_hd__a222oi_1 g325131(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [7]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [7]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [7]), .Y (n_12118));
-  sky130_fd_sc_hd__a222oi_1 g325132(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [7]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [7]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [7]), .Y (n_12117));
-  sky130_fd_sc_hd__a222oi_1 g325133(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [11]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [11]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [11]), .Y (n_12116));
-  sky130_fd_sc_hd__a222oi_1 g325134(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [11]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [11]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [11]), .Y (n_12115));
-  sky130_fd_sc_hd__a222oi_1 g325135(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [28]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [28]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [28]), .Y (n_12114));
-  sky130_fd_sc_hd__a222oi_1 g325136(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [11]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [11]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [11]), .Y (n_12113));
-  sky130_fd_sc_hd__a222oi_1 g325137(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [22]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [22]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [22]), .Y (n_12112));
-  sky130_fd_sc_hd__a222oi_1 g325138(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [10]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [10]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [10]), .Y (n_12111));
-  sky130_fd_sc_hd__a222oi_1 g325139(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [28]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [28]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [28]), .Y (n_12110));
-  sky130_fd_sc_hd__a222oi_1 g325140(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [10]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [10]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [10]), .Y (n_12109));
-  sky130_fd_sc_hd__a222oi_1 g325141(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [31]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [31]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [31]), .Y (n_12108));
-  sky130_fd_sc_hd__a222oi_1 g325142(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [22]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [22]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [22]), .Y (n_12107));
-  sky130_fd_sc_hd__a222oi_1 g325143(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [10]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [10]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [10]), .Y (n_12106));
-  sky130_fd_sc_hd__a222oi_1 g325144(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [22]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [22]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [22]), .Y (n_12105));
-  sky130_fd_sc_hd__a222oi_1 g325145(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [28]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [28]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [28]), .Y (n_12104));
-  sky130_fd_sc_hd__a222oi_1 g325146(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [10]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [10]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [10]), .Y (n_12103));
-  sky130_fd_sc_hd__a222oi_1 g325147(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [10]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [10]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [10]), .Y (n_12102));
-  sky130_fd_sc_hd__a222oi_1 g325148(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [22]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [22]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [22]), .Y (n_12101));
-  sky130_fd_sc_hd__a222oi_1 g325149(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [10]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [10]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [10]), .Y (n_12100));
-  sky130_fd_sc_hd__a222oi_1 g325150(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [9]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [9]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [9]), .Y (n_12099));
-  sky130_fd_sc_hd__a222oi_1 g325151(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [9]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [9]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [9]), .Y (n_12098));
-  sky130_fd_sc_hd__a222oi_1 g325152(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [21]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [21]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [21]), .Y (n_12097));
-  sky130_fd_sc_hd__a222oi_1 g325153(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [27]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [27]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [27]), .Y (n_12096));
-  sky130_fd_sc_hd__a222oi_1 g325154(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [9]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [9]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [9]), .Y (n_12095));
-  sky130_fd_sc_hd__a222oi_1 g325155(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [21]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [21]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [21]), .Y (n_12094));
-  sky130_fd_sc_hd__a222oi_1 g325156(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [9]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [9]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [9]), .Y (n_12093));
-  sky130_fd_sc_hd__a222oi_1 g325157(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [9]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [9]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [9]), .Y (n_12092));
-  sky130_fd_sc_hd__a222oi_1 g325158(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [9]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [9]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [9]), .Y (n_12091));
-  sky130_fd_sc_hd__a222oi_1 g325159(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [21]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [21]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [21]), .Y (n_12090));
-  sky130_fd_sc_hd__a222oi_1 g325160(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [8]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [8]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [8]), .Y (n_12089));
-  sky130_fd_sc_hd__a222oi_1 g325161(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [8]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [8]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [8]), .Y (n_12088));
-  sky130_fd_sc_hd__a222oi_1 g325162(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [31]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [31]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [31]), .Y (n_12087));
-  sky130_fd_sc_hd__a222oi_1 g325163(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [21]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [21]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [21]), .Y (n_12086));
-  sky130_fd_sc_hd__a222oi_1 g325164(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [8]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [8]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [8]), .Y (n_12085));
-  sky130_fd_sc_hd__a222oi_1 g325165(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [21]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [21]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [21]), .Y (n_12084));
-  sky130_fd_sc_hd__a222oi_1 g325166(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [8]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [8]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [8]), .Y (n_12083));
-  sky130_fd_sc_hd__a222oi_1 g325167(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [8]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [8]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [8]), .Y (n_12082));
-  sky130_fd_sc_hd__a222oi_1 g325168(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [21]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [21]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [21]), .Y (n_12081));
-  sky130_fd_sc_hd__a222oi_1 g325169(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [27]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [27]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [27]), .Y (n_12080));
-  sky130_fd_sc_hd__nand2_1 g325170(.A (n_13878), .B (n_11669), .Y
-       (\u_soc_tcam_to_xbar[d_valid] ));
-  sky130_fd_sc_hd__nor2_1 g325171(.A (n_11446), .B (n_15994), .Y
-       (n_12263));
-  sky130_fd_sc_hd__nand2_1 g325172(.A (n_11769), .B (n_11446), .Y
-       (n_12262));
-  sky130_fd_sc_hd__nand2_1 g325173(.A (n_11769), .B
-       (u_soc_u_top_u_core_instr_rdata_id[31]), .Y (n_12260));
-  sky130_fd_sc_hd__and2_1 g325175(.A (n_11670), .B (n_11349), .X
-       (n_12256));
-  sky130_fd_sc_hd__inv_1 g325176(.A (n_12012), .Y (n_12079));
-  sky130_fd_sc_hd__inv_1 g325178(.A (n_11992), .Y (n_12077));
-  sky130_fd_sc_hd__a222oi_1 g325179(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [24]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [24]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [24]), .Y (n_12076));
-  sky130_fd_sc_hd__a222oi_1 g325180(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [7]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [7]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [7]), .Y (n_12075));
-  sky130_fd_sc_hd__a222oi_1 g325181(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [27]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [27]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [27]), .Y (n_12074));
-  sky130_fd_sc_hd__a222oi_1 g325182(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [20]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [20]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [20]), .Y (n_12073));
-  sky130_fd_sc_hd__a222oi_1 g325183(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [27]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [27]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [27]), .Y (n_12072));
-  sky130_fd_sc_hd__a222oi_1 g325184(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [6]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [6]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [6]), .Y (n_12071));
-  sky130_fd_sc_hd__a222oi_1 g325185(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [30]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [30]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [30]), .Y (n_12070));
-  sky130_fd_sc_hd__a222oi_1 g325186(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [20]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [20]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [20]), .Y (n_12069));
-  sky130_fd_sc_hd__a222oi_1 g325187(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [6]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [6]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [6]), .Y (n_12068));
-  sky130_fd_sc_hd__a222oi_1 g325188(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [6]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [6]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [6]), .Y (n_12067));
-  sky130_fd_sc_hd__a222oi_1 g325189(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [20]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [20]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [20]), .Y (n_12066));
-  sky130_fd_sc_hd__a222oi_1 g325190(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [6]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [6]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [6]), .Y (n_12065));
-  sky130_fd_sc_hd__a222oi_1 g325191(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [6]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [6]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [6]), .Y (n_12064));
-  sky130_fd_sc_hd__a222oi_1 g325192(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [6]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [6]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [6]), .Y (n_12063));
-  sky130_fd_sc_hd__a222oi_1 g325193(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [27]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [27]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [27]), .Y (n_12062));
-  sky130_fd_sc_hd__a222oi_1 g325194(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [20]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [20]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [20]), .Y (n_12061));
-  sky130_fd_sc_hd__a222oi_1 g325195(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [5]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [5]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [5]), .Y (n_12060));
-  sky130_fd_sc_hd__a222oi_1 g325196(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [30]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [30]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [30]), .Y (n_12059));
-  sky130_fd_sc_hd__a222oi_1 g325197(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [5]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [5]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [5]), .Y (n_12058));
-  sky130_fd_sc_hd__a222oi_1 g325198(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [19]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [19]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [19]), .Y (n_12057));
-  sky130_fd_sc_hd__a222oi_1 g325199(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [5]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [5]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [5]), .Y (n_12056));
-  sky130_fd_sc_hd__a222oi_1 g325200(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [26]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [26]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [26]), .Y (n_12055));
-  sky130_fd_sc_hd__a222oi_1 g325203(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [31]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [31]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [31]), .Y (n_12052));
-  sky130_fd_sc_hd__a222oi_1 g325204(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [26]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [26]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [26]), .Y (n_12051));
-  sky130_fd_sc_hd__a222oi_1 g325205(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [19]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [19]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [19]), .Y (n_12050));
-  sky130_fd_sc_hd__a222oi_1 g325206(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [4]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [4]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [4]), .Y (n_12049));
-  sky130_fd_sc_hd__a222oi_1 g325207(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [19]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [19]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [19]), .Y (n_12048));
-  sky130_fd_sc_hd__a222oi_1 g325208(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [30]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [30]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [30]), .Y (n_12047));
-  sky130_fd_sc_hd__a222oi_1 g325209(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [4]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [4]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [4]), .Y (n_12046));
-  sky130_fd_sc_hd__a222oi_1 g325210(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [19]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [19]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [19]), .Y (n_12045));
-  sky130_fd_sc_hd__a222oi_1 g325211(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [26]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [26]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [26]), .Y (n_12044));
-  sky130_fd_sc_hd__a222oi_1 g325213(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [4]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [4]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [4]), .Y (n_12042));
-  sky130_fd_sc_hd__a222oi_1 g325214(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [19]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [19]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [19]), .Y (n_12041));
-  sky130_fd_sc_hd__a222oi_1 g325215(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [4]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [4]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [4]), .Y (n_12040));
-  sky130_fd_sc_hd__a222oi_1 g325216(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [30]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [30]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [30]), .Y (n_12039));
-  sky130_fd_sc_hd__a222oi_1 g325218(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [18]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [18]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [18]), .Y (n_12037));
-  sky130_fd_sc_hd__a222oi_1 g325219(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [3]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [3]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [3]), .Y (n_12036));
-  sky130_fd_sc_hd__a222oi_1 g325220(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [3]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [3]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [3]), .Y (n_12035));
-  sky130_fd_sc_hd__a222oi_1 g325221(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [30]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [30]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [30]), .Y (n_12034));
-  sky130_fd_sc_hd__a222oi_1 g325222(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [3]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [3]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [3]), .Y (n_12033));
-  sky130_fd_sc_hd__a222oi_1 g325223(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [3]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [3]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [3]), .Y (n_12032));
-  sky130_fd_sc_hd__a222oi_1 g325224(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [26]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [26]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [26]), .Y (n_12031));
-  sky130_fd_sc_hd__a222oi_1 g325225(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [18]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [18]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [18]), .Y (n_12030));
-  sky130_fd_sc_hd__a222oi_1 g325226(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [26]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [26]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [26]), .Y (n_12029));
-  sky130_fd_sc_hd__a222oi_1 g325228(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [18]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [18]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [18]), .Y (n_12027));
-  sky130_fd_sc_hd__a222oi_1 g325230(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [18]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [18]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [18]), .Y (n_12025));
-  sky130_fd_sc_hd__a222oi_1 g325231(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [2]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [2]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [2]), .Y (n_12024));
-  sky130_fd_sc_hd__a222oi_1 g325233(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [26]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [26]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [26]), .Y (n_12022));
-  sky130_fd_sc_hd__a222oi_1 g325234(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [18]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [18]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [18]), .Y (n_12021));
-  sky130_fd_sc_hd__a222oi_1 g325235(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [17]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [17]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [17]), .Y (n_12020));
-  sky130_fd_sc_hd__a222oi_1 g325236(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [1]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [1]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [1]), .Y (n_12019));
-  sky130_fd_sc_hd__a222oi_1 g325237(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [17]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [17]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [17]), .Y (n_12018));
-  sky130_fd_sc_hd__a222oi_1 g325238(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [1]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [1]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [1]), .Y (n_12017));
-  sky130_fd_sc_hd__a222oi_1 g325239(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [25]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [25]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [25]), .Y (n_12016));
-  sky130_fd_sc_hd__a222oi_1 g325243(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [17]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [17]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [17]), .Y (n_12012));
-  sky130_fd_sc_hd__a222oi_1 g325244(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [29]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [29]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [29]), .Y (n_12011));
-  sky130_fd_sc_hd__a222oi_1 g325245(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [25]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [25]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [25]), .Y (n_12010));
-  sky130_fd_sc_hd__a222oi_1 g325246(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [17]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [17]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [17]), .Y (n_12009));
-  sky130_fd_sc_hd__a222oi_1 g325247(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [25]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [25]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [25]), .Y (n_12008));
-  sky130_fd_sc_hd__a222oi_1 g325248(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [17]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [17]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [17]), .Y (n_12007));
-  sky130_fd_sc_hd__a222oi_1 g325250(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [17]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [17]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [17]), .Y (n_12005));
-  sky130_fd_sc_hd__a222oi_1 g325253(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [29]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [29]), .C1 (n_11561), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [29]), .Y (n_12002));
-  sky130_fd_sc_hd__a222oi_1 g325254(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [16]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [16]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [16]), .Y (n_12001));
-  sky130_fd_sc_hd__a222oi_1 g325255(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [16]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [16]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [16]), .Y (n_12000));
-  sky130_fd_sc_hd__a222oi_1 g325256(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [25]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [25]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [25]), .Y (n_11999));
-  sky130_fd_sc_hd__a222oi_1 g325257(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [16]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [16]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [16]), .Y (n_11998));
-  sky130_fd_sc_hd__a222oi_1 g325258(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [25]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [25]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [25]), .Y (n_11997));
-  sky130_fd_sc_hd__a222oi_1 g325259(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [16]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [16]), .C1 (n_11553), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [16]), .Y (n_11996));
-  sky130_fd_sc_hd__a222oi_1 g325260(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [16]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [16]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [16]), .Y (n_11995));
-  sky130_fd_sc_hd__a222oi_1 g325261(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [16]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [16]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [16]), .Y (n_11994));
-  sky130_fd_sc_hd__a222oi_1 g325262(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [25]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [25]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [25]), .Y (n_11993));
-  sky130_fd_sc_hd__a222oi_1 g325263(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [29]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [29]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [29]), .Y (n_11992));
-  sky130_fd_sc_hd__a222oi_1 g325264(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [15]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [15]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [15]), .Y (n_11991));
-  sky130_fd_sc_hd__a222oi_1 g325265(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [31]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [31]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [31]), .Y (n_11990));
-  sky130_fd_sc_hd__a222oi_1 g325266(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [15]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [15]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [15]), .Y (n_11989));
-  sky130_fd_sc_hd__a222oi_1 g325267(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [24]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [24]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [24]), .Y (n_11988));
-  sky130_fd_sc_hd__a222oi_1 g325268(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [15]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [15]), .C1 (n_11553), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [15]), .Y (n_11987));
-  sky130_fd_sc_hd__a222oi_1 g325269(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [15]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [15]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [15]), .Y (n_11986));
-  sky130_fd_sc_hd__a222oi_1 g325270(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [7]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [7]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [7]), .Y (n_11985));
-  sky130_fd_sc_hd__a222oi_1 g325271(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [29]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [29]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [29]), .Y (n_11984));
-  sky130_fd_sc_hd__a222oi_1 g325272(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [15]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [15]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [15]), .Y (n_11983));
-  sky130_fd_sc_hd__a222oi_1 g325273(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [29]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [29]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [29]), .Y (n_11982));
-  sky130_fd_sc_hd__a222oi_1 g325274(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [24]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [24]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [24]), .Y (n_11981));
-  sky130_fd_sc_hd__a222oi_1 g325275(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [14]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [14]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [14]), .Y (n_11980));
-  sky130_fd_sc_hd__a222oi_1 g325276(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [29]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [29]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [29]), .Y (n_11979));
-  sky130_fd_sc_hd__a222oi_1 g325277(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [14]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [14]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [14]), .Y (n_11978));
-  sky130_fd_sc_hd__a222oi_1 g325278(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [31]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [31]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [31]), .Y (n_11977));
-  sky130_fd_sc_hd__a222oi_1 g325279(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [24]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [24]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [24]), .Y (n_11976));
-  sky130_fd_sc_hd__a222oi_1 g325280(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [14]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [14]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [14]), .Y (n_11975));
-  sky130_fd_sc_hd__a222oi_1 g325281(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [24]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [24]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [24]), .Y (n_11974));
-  sky130_fd_sc_hd__a222oi_1 g325282(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [14]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [14]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [14]), .Y (n_11973));
-  sky130_fd_sc_hd__a222oi_1 g325283(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [14]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [14]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [14]), .Y (n_11972));
-  sky130_fd_sc_hd__a222oi_1 g325284(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [14]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [14]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [14]), .Y (n_11971));
-  sky130_fd_sc_hd__a222oi_1 g325285(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [24]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [24]), .C1 (n_16001), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [24]), .Y (n_11970));
-  sky130_fd_sc_hd__a222oi_1 g325286(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [13]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [13]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [13]), .Y (n_11969));
-  sky130_fd_sc_hd__a222oi_1 g325287(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [13]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [13]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [13]), .Y (n_11968));
-  sky130_fd_sc_hd__a222oi_1 g325288(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [13]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [13]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [13]), .Y (n_11967));
-  sky130_fd_sc_hd__a222oi_1 g325289(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [23]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [23]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [23]), .Y (n_11966));
-  sky130_fd_sc_hd__a222oi_1 g325290(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [13]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [13]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [13]), .Y (n_11965));
-  sky130_fd_sc_hd__a222oi_1 g325291(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [13]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [13]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [13]), .Y (n_11964));
-  sky130_fd_sc_hd__a222oi_1 g325292(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [23]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [23]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [23]), .Y (n_11963));
-  sky130_fd_sc_hd__a222oi_1 g325293(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [13]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [13]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [13]), .Y (n_11962));
-  sky130_fd_sc_hd__a222oi_1 g325294(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [23]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [23]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [23]), .Y (n_11961));
-  sky130_fd_sc_hd__a222oi_1 g325295(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [12]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [12]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [12]), .Y (n_11960));
-  sky130_fd_sc_hd__a222oi_1 g325296(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [28]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [28]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [28]), .Y (n_11959));
-  sky130_fd_sc_hd__a222oi_1 g325297(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [23]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [23]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [23]), .Y (n_11958));
-  sky130_fd_sc_hd__a222oi_1 g325298(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [12]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [12]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [12]), .Y (n_11957));
-  sky130_fd_sc_hd__a222oi_1 g325299(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [23]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [23]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [23]), .Y (n_11956));
-  sky130_fd_sc_hd__a222oi_1 g325300(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [28]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [28]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [28]), .Y (n_11955));
-  sky130_fd_sc_hd__a222oi_1 g325301(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [12]), .A2 (n_15865), .B1 (n_15871), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [12]), .C1 (n_11514), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [12]), .Y (n_11954));
-  sky130_fd_sc_hd__a222oi_1 g325302(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [12]), .A2 (n_15869), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [12]), .C1 (n_11471), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [12]), .Y (n_11953));
-  sky130_fd_sc_hd__a222oi_1 g325303(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [12]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [12]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [12]), .Y (n_11952));
-  sky130_fd_sc_hd__a222oi_1 g325304(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [23]), .A2 (n_15872), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [23]), .C1 (n_11472), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [23]), .Y (n_11951));
-  sky130_fd_sc_hd__a222oi_1 g325305(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [28]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [28]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [28]), .Y (n_11950));
-  sky130_fd_sc_hd__a222oi_1 g325306(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [11]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [11]), .C1 (n_11563), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [11]), .Y (n_11949));
-  sky130_fd_sc_hd__a222oi_1 g325307(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [22]), .A2 (n_11423), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [22]), .C1 (n_11476), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [22]), .Y (n_11948));
-  sky130_fd_sc_hd__a222oi_1 g325308(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [31]), .A2 (n_15870), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [31]), .C1 (n_11480), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [31]), .Y (n_11947));
-  sky130_fd_sc_hd__a222oi_1 g325309(.A1
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [11]), .A2 (n_11418), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [11]), .C1 (n_16000), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [11]), .Y (n_11946));
-  sky130_fd_sc_hd__o32ai_1 g325310(.A1 (n_11161), .A2 (n_11345), .A3
-       (n_11432), .B1 (n_11432), .B2 (n_11470), .Y (n_11945));
-  sky130_fd_sc_hd__a22o_1 g325311(.A1 (n_11516), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [11]), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [11]), .X (n_11944));
-  sky130_fd_sc_hd__a22oi_1 g325314(.A1 (n_15867), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [8]), .B1 (n_11472), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [8]), .Y (n_11941));
-  sky130_fd_sc_hd__a22o_1 g325315(.A1 (n_11483), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [6]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [6]), .X (n_11940));
-  sky130_fd_sc_hd__a22oi_1 g325316(.A1 (n_15867), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [5]), .B1 (n_11472), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [5]), .Y (n_11939));
-  sky130_fd_sc_hd__a22oi_1 g325317(.A1 (n_11475), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [4]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [4]), .Y (n_11938));
-  sky130_fd_sc_hd__a22oi_1 g325319(.A1 (n_16001), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [3]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [3]), .Y (n_11936));
-  sky130_fd_sc_hd__a22o_1 g325321(.A1 (n_11556), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [2]), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [2]), .X (n_11934));
-  sky130_fd_sc_hd__a22oi_1 g325322(.A1 (n_11422), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [2]), .B1 (n_11561), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [2]), .Y (n_11933));
-  sky130_fd_sc_hd__a22oi_1 g325323(.A1 (n_11423), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [2]), .B1 (n_11476), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [2]), .Y (n_11932));
-  sky130_fd_sc_hd__a22oi_1 g325324(.A1 (n_15887), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [2]), .B1 (n_11555), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [2]), .Y (n_11931));
-  sky130_fd_sc_hd__a22o_1 g325330(.A1 (n_11422), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [1]), .B1 (n_11561), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [1]), .X (n_11925));
-  sky130_fd_sc_hd__a22o_1 g325331(.A1 (n_11418), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [1]), .B1 (n_16000), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [1]), .X (n_11924));
-  sky130_fd_sc_hd__a22oi_1 g325336(.A1 (n_11476), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [0]), .B1 (n_11561), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [0]), .Y (n_11919));
-  sky130_fd_sc_hd__a22oi_1 g325337(.A1 (n_11557), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [0]), .B1 (n_11478), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [0]), .Y (n_11918));
-  sky130_fd_sc_hd__a22oi_1 g325338(.A1 (n_11513), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [0]), .B1 (n_11515), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [0]), .Y (n_11917));
-  sky130_fd_sc_hd__a22o_1 g325346(.A1 (n_15867), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [0]), .B1 (n_11552), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [0]), .X (n_11909));
-  sky130_fd_sc_hd__a22o_1 g325347(.A1 (n_11471), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [0]), .B1 (n_11472), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [0]), .X (n_11908));
-  sky130_fd_sc_hd__a22oi_1 g325348(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[28]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[28]), .Y (n_11907));
-  sky130_fd_sc_hd__a22oi_1 g325349(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[27]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[27]), .Y (n_11906));
-  sky130_fd_sc_hd__a22oi_1 g325350(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[26]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[26]), .Y (n_11905));
-  sky130_fd_sc_hd__a22oi_1 g325351(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[6]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[6]), .Y (n_11904));
-  sky130_fd_sc_hd__a22oi_1 g325352(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[25]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[25]), .Y (n_11903));
-  sky130_fd_sc_hd__a22oi_1 g325353(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[24]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[24]), .Y (n_11902));
-  sky130_fd_sc_hd__a22oi_1 g325354(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[22]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[22]), .Y (n_11901));
-  sky130_fd_sc_hd__a22oi_1 g325355(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[21]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[21]), .Y (n_11900));
-  sky130_fd_sc_hd__a22oi_1 g325356(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[18]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[18]), .Y (n_11899));
-  sky130_fd_sc_hd__a22oi_1 g325357(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[17]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[17]), .Y (n_11898));
-  sky130_fd_sc_hd__a22oi_1 g325358(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[9]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[9]), .Y (n_11897));
-  sky130_fd_sc_hd__a22oi_1 g325359(.A1 (n_15862), .A2
-       (u_soc_u_top_u_core_pc_id[10]), .B1 (n_16003), .B2
-       (u_soc_u_top_u_core_lsu_addr_last[10]), .Y (n_11896));
-  sky130_fd_sc_hd__a22oi_1 g325360(.A1 (n_15866), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [7]), .B1 (n_11471), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [7]), .Y (n_11895));
-  sky130_fd_sc_hd__nand2_1 g325362(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [19]), .Y (n_11893));
-  sky130_fd_sc_hd__nand2_1 g325363(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [11]), .Y (n_11892));
-  sky130_fd_sc_hd__nand2_1 g325365(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [28]), .Y (n_11890));
-  sky130_fd_sc_hd__nand2_1 g325366(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [11]), .Y (n_11889));
-  sky130_fd_sc_hd__nand2_1 g325367(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [31]), .Y (n_11888));
-  sky130_fd_sc_hd__nand2_1 g325368(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [22]), .Y (n_11887));
-  sky130_fd_sc_hd__nand2_1 g325369(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [10]), .Y (n_11886));
-  sky130_fd_sc_hd__nand2_1 g325370(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [28]), .Y (n_11885));
-  sky130_fd_sc_hd__nand2_1 g325371(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [6]), .Y (n_11884));
-  sky130_fd_sc_hd__nand2_1 g325372(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [3]), .Y (n_11883));
-  sky130_fd_sc_hd__nand2_1 g325373(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [22]), .Y (n_11882));
-  sky130_fd_sc_hd__nand2_1 g325374(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [10]), .Y (n_11881));
-  sky130_fd_sc_hd__nand2_1 g325375(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [28]), .Y (n_11880));
-  sky130_fd_sc_hd__nand2_1 g325376(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [22]), .Y (n_11879));
-  sky130_fd_sc_hd__nand2_1 g325377(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [10]), .Y (n_11878));
-  sky130_fd_sc_hd__nand2_1 g325378(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [10]), .Y (n_11877));
-  sky130_fd_sc_hd__nand2_1 g325379(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [22]), .Y (n_11876));
-  sky130_fd_sc_hd__nand2_1 g325380(.A (n_16000), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [29]), .Y (n_11875));
-  sky130_fd_sc_hd__nand2_1 g325381(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [10]), .Y (n_11874));
-  sky130_fd_sc_hd__nand2_1 g325382(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [9]), .Y (n_11873));
-  sky130_fd_sc_hd__nand2_1 g325383(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [21]), .Y (n_11872));
-  sky130_fd_sc_hd__nand2_1 g325384(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [27]), .Y (n_11871));
-  sky130_fd_sc_hd__nand2_1 g325386(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [9]), .Y (n_11869));
-  sky130_fd_sc_hd__nand2_1 g325387(.A (n_16000), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [21]), .Y (n_11868));
-  sky130_fd_sc_hd__nand2_1 g325388(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [9]), .Y (n_11867));
-  sky130_fd_sc_hd__nand2_1 g325389(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [9]), .Y (n_11866));
-  sky130_fd_sc_hd__nand2_1 g325390(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [21]), .Y (n_11865));
-  sky130_fd_sc_hd__nand2_1 g325391(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [8]), .Y (n_11864));
-  sky130_fd_sc_hd__nand2_1 g325392(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [20]), .Y (n_11863));
-  sky130_fd_sc_hd__nand2_1 g325393(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [8]), .Y (n_11862));
-  sky130_fd_sc_hd__nand2_1 g325394(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [21]), .Y (n_11861));
-  sky130_fd_sc_hd__nand2_1 g325395(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [8]), .Y (n_11860));
-  sky130_fd_sc_hd__nand2_1 g325396(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [18]), .Y (n_11859));
-  sky130_fd_sc_hd__nand2_1 g325397(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [21]), .Y (n_11858));
-  sky130_fd_sc_hd__nand2_1 g325398(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [8]), .Y (n_11857));
-  sky130_fd_sc_hd__nand2_1 g325399(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [1]), .Y (n_11856));
-  sky130_fd_sc_hd__nand2_1 g325400(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [8]), .Y (n_11855));
-  sky130_fd_sc_hd__nand2_1 g325401(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [31]), .Y (n_11854));
-  sky130_fd_sc_hd__nand2_1 g325402(.A (n_16000), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [26]), .Y (n_11853));
-  sky130_fd_sc_hd__nand2_1 g325403(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [21]), .Y (n_11852));
-  sky130_fd_sc_hd__nand2_1 g325404(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [27]), .Y (n_11851));
-  sky130_fd_sc_hd__nand2_1 g325405(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [7]), .Y (n_11850));
-  sky130_fd_sc_hd__nand2_1 g325407(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [7]), .Y (n_11848));
-  sky130_fd_sc_hd__nand2_1 g325408(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [20]), .Y (n_11847));
-  sky130_fd_sc_hd__nand2_1 g325409(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [7]), .Y (n_11846));
-  sky130_fd_sc_hd__nand2_1 g325410(.A (n_16000), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [20]), .Y (n_11845));
-  sky130_fd_sc_hd__nand2_1 g325411(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [7]), .Y (n_11844));
-  sky130_fd_sc_hd__nand2_1 g325412(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [1]), .Y (n_11843));
-  sky130_fd_sc_hd__nand2_1 g325413(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [17]), .Y (n_11842));
-  sky130_fd_sc_hd__nand2_1 g325414(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [27]), .Y (n_11841));
-  sky130_fd_sc_hd__nand2_1 g325416(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [20]), .Y (n_11839));
-  sky130_fd_sc_hd__nand2_1 g325417(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [6]), .Y (n_11838));
-  sky130_fd_sc_hd__nand2_1 g325418(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [27]), .Y (n_11837));
-  sky130_fd_sc_hd__nand2_1 g325419(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [30]), .Y (n_11836));
-  sky130_fd_sc_hd__nand2_1 g325420(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [6]), .Y (n_11835));
-  sky130_fd_sc_hd__nand2_1 g325421(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [20]), .Y (n_11834));
-  sky130_fd_sc_hd__nand2_1 g325422(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [6]), .Y (n_11833));
-  sky130_fd_sc_hd__nand2_1 g325423(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [27]), .Y (n_11832));
-  sky130_fd_sc_hd__nand2_1 g325424(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [15]), .Y (n_11831));
-  sky130_fd_sc_hd__nand2_1 g325425(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [30]), .Y (n_11830));
-  sky130_fd_sc_hd__nand2_1 g325426(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [5]), .Y (n_11829));
-  sky130_fd_sc_hd__nand2_1 g325427(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [5]), .Y (n_11828));
-  sky130_fd_sc_hd__nand2_1 g325428(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [19]), .Y (n_11827));
-  sky130_fd_sc_hd__nand2_1 g325429(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [31]), .Y (n_11826));
-  sky130_fd_sc_hd__nand2_1 g325430(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [5]), .Y (n_11825));
-  sky130_fd_sc_hd__nand2_1 g325431(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [26]), .Y (n_11824));
-  sky130_fd_sc_hd__nand2_1 g325433(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [5]), .Y (n_11822));
-  sky130_fd_sc_hd__nand2_1 g325435(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [26]), .Y (n_11820));
-  sky130_fd_sc_hd__nand2_1 g325436(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [5]), .Y (n_11819));
-  sky130_fd_sc_hd__nand2_1 g325437(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [29]), .Y (n_11818));
-  sky130_fd_sc_hd__nand2_1 g325438(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [26]), .Y (n_11817));
-  sky130_fd_sc_hd__nand2_1 g325439(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [30]), .Y (n_11816));
-  sky130_fd_sc_hd__nand2_1 g325440(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [19]), .Y (n_11815));
-  sky130_fd_sc_hd__nand2_1 g325441(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [4]), .Y (n_11814));
-  sky130_fd_sc_hd__nand2_1 g325442(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [19]), .Y (n_11813));
-  sky130_fd_sc_hd__nand2_1 g325444(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [4]), .Y (n_11811));
-  sky130_fd_sc_hd__nand2_1 g325445(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [30]), .Y (n_11810));
-  sky130_fd_sc_hd__nand2_1 g325446(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [4]), .Y (n_11809));
-  sky130_fd_sc_hd__nand2_1 g325448(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [18]), .Y (n_11807));
-  sky130_fd_sc_hd__nand2_1 g325450(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [3]), .Y (n_11805));
-  sky130_fd_sc_hd__nand2_1 g325451(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [30]), .Y (n_11804));
-  sky130_fd_sc_hd__nand2_1 g325453(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [12]), .Y (n_11802));
-  sky130_fd_sc_hd__nand2_1 g325454(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [18]), .Y (n_11801));
-  sky130_fd_sc_hd__nand2_1 g325455(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [26]), .Y (n_11800));
-  sky130_fd_sc_hd__nand2_1 g325456(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [18]), .Y (n_11799));
-  sky130_fd_sc_hd__nand2_1 g325457(.A (n_11471), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [16]), .Y (n_11798));
-  sky130_fd_sc_hd__nand2_1 g325458(.A (n_11473), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [2]), .Y (n_11797));
-  sky130_fd_sc_hd__nand2_1 g325459(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [18]), .Y (n_11796));
-  sky130_fd_sc_hd__nand2_1 g325460(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [9]), .Y (n_11795));
-  sky130_fd_sc_hd__nand2_1 g325464(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [1]), .Y (n_11791));
-  sky130_fd_sc_hd__nand2_1 g325467(.A (n_16000), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [17]), .Y (n_11788));
-  sky130_fd_sc_hd__nand2_1 g325468(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [1]), .Y (n_11787));
-  sky130_fd_sc_hd__nand2_1 g325469(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [25]), .Y (n_11786));
-  sky130_fd_sc_hd__nand2_1 g325470(.A (n_11557), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [17]), .Y (n_11785));
-  sky130_fd_sc_hd__nand2_1 g325472(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [25]), .Y (n_11783));
-  sky130_fd_sc_hd__nand2_1 g325474(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [0]), .Y (n_11781));
-  sky130_fd_sc_hd__nand2_1 g325475(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [17]), .Y (n_11780));
-  sky130_fd_sc_hd__nand2_1 g325478(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [17]), .Y (n_11777));
-  sky130_fd_sc_hd__nand2_1 g325482(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [2]), .Y (n_11773));
-  sky130_fd_sc_hd__nand2_1 g325483(.A (n_16000), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [25]), .Y (n_11772));
-  sky130_fd_sc_hd__inv_2 g325484(.A (n_11770), .Y (n_11771));
-  sky130_fd_sc_hd__inv_2 g325485(.A (n_15994), .Y (n_11769));
-  sky130_fd_sc_hd__inv_2 g325487(.A (n_15996), .Y (n_11765));
-  sky130_fd_sc_hd__inv_2 g325488(.A (n_15997), .Y (n_11761));
-  sky130_fd_sc_hd__inv_2 g325492(.A (n_15998), .Y (n_11753));
-  sky130_fd_sc_hd__inv_2 g325494(.A (n_11748), .Y (n_11749));
-  sky130_fd_sc_hd__inv_6 g325496(.A (n_11746), .Y (n_11745));
-  sky130_fd_sc_hd__nand2_1 g325497(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [3]), .Y (n_11744));
-  sky130_fd_sc_hd__nand2_1 g325498(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [17]), .Y (n_11743));
-  sky130_fd_sc_hd__nand2_1 g325499(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [11]), .Y (n_11742));
-  sky130_fd_sc_hd__nand2_1 g325501(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [16]), .Y (n_11740));
-  sky130_fd_sc_hd__nand2_1 g325502(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [16]), .Y (n_11739));
-  sky130_fd_sc_hd__nand2_1 g325503(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [26]), .Y (n_11738));
-  sky130_fd_sc_hd__nand2_1 g325504(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [25]), .Y (n_11737));
-  sky130_fd_sc_hd__nand2_1 g325505(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [16]), .Y (n_11736));
-  sky130_fd_sc_hd__nand2_1 g325506(.A (n_11557), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [29]), .Y (n_11735));
-  sky130_fd_sc_hd__nand2_1 g325507(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [25]), .Y (n_11734));
-  sky130_fd_sc_hd__nand2_1 g325508(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [16]), .Y (n_11733));
-  sky130_fd_sc_hd__nand2_1 g325509(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [25]), .Y (n_11732));
-  sky130_fd_sc_hd__nand2_1 g325510(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [31]), .Y (n_11731));
-  sky130_fd_sc_hd__nand2_1 g325511(.A (n_11550), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [2]), .Y (n_11730));
-  sky130_fd_sc_hd__nand2_1 g325513(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [16]), .Y (n_11728));
-  sky130_fd_sc_hd__nand2_1 g325514(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [15]), .Y (n_11727));
-  sky130_fd_sc_hd__nand2_1 g325515(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [24]), .Y (n_11726));
-  sky130_fd_sc_hd__nand2_1 g325516(.A (n_11471), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [15]), .Y (n_11725));
-  sky130_fd_sc_hd__nand2_1 g325517(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [15]), .Y (n_11724));
-  sky130_fd_sc_hd__nand2_1 g325518(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [29]), .Y (n_11723));
-  sky130_fd_sc_hd__nand2_1 g325519(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [24]), .Y (n_11722));
-  sky130_fd_sc_hd__nand2_1 g325520(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [15]), .Y (n_11721));
-  sky130_fd_sc_hd__nand2_1 g325521(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [29]), .Y (n_11720));
-  sky130_fd_sc_hd__nand2_1 g325522(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [24]), .Y (n_11719));
-  sky130_fd_sc_hd__nand2_1 g325524(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [29]), .Y (n_11717));
-  sky130_fd_sc_hd__nand2_1 g325525(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [14]), .Y (n_11716));
-  sky130_fd_sc_hd__nand2_1 g325526(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [31]), .Y (n_11715));
-  sky130_fd_sc_hd__nand2_1 g325527(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [4]), .Y (n_11714));
-  sky130_fd_sc_hd__nand2_1 g325528(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [14]), .Y (n_11713));
-  sky130_fd_sc_hd__nand2_1 g325529(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [24]), .Y (n_11712));
-  sky130_fd_sc_hd__nand2_1 g325530(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [14]), .Y (n_11711));
-  sky130_fd_sc_hd__nand2_1 g325531(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [19]), .Y (n_11710));
-  sky130_fd_sc_hd__nand2_1 g325532(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [24]), .Y (n_11709));
-  sky130_fd_sc_hd__nand2_1 g325533(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [14]), .Y (n_11708));
-  sky130_fd_sc_hd__nand2_1 g325535(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [14]), .Y (n_11706));
-  sky130_fd_sc_hd__nand2_1 g325536(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [6]), .Y (n_11705));
-  sky130_fd_sc_hd__nand2_1 g325537(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [14]), .Y (n_11704));
-  sky130_fd_sc_hd__nand2_1 g325538(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [24]), .Y (n_11703));
-  sky130_fd_sc_hd__nand2_1 g325539(.A (n_11472), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [20]), .Y (n_11702));
-  sky130_fd_sc_hd__nand2_1 g325540(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [13]), .Y (n_11701));
-  sky130_fd_sc_hd__nand2_1 g325541(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [13]), .Y (n_11700));
-  sky130_fd_sc_hd__nand2_1 g325542(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [2]), .Y (n_11699));
-  sky130_fd_sc_hd__nand2_1 g325543(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [13]), .Y (n_11698));
-  sky130_fd_sc_hd__nand2_1 g325544(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [23]), .Y (n_11697));
-  sky130_fd_sc_hd__nand2_1 g325545(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [13]), .Y (n_11696));
-  sky130_fd_sc_hd__nand2_1 g325546(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [13]), .Y (n_11695));
-  sky130_fd_sc_hd__nand2_1 g325547(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [23]), .Y (n_11694));
-  sky130_fd_sc_hd__nand2_1 g325549(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [13]), .Y (n_11692));
-  sky130_fd_sc_hd__nand2_1 g325550(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [23]), .Y (n_11691));
-  sky130_fd_sc_hd__nand2_1 g325551(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [12]), .Y (n_11690));
-  sky130_fd_sc_hd__nand2_1 g325552(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [28]), .Y (n_11689));
-  sky130_fd_sc_hd__nand2_1 g325553(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [23]), .Y (n_11688));
-  sky130_fd_sc_hd__nand2_1 g325554(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [12]), .Y (n_11687));
-  sky130_fd_sc_hd__nand2_1 g325555(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [23]), .Y (n_11686));
-  sky130_fd_sc_hd__nand2_1 g325556(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [28]), .Y (n_11685));
-  sky130_fd_sc_hd__nand2_1 g325557(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [12]), .Y (n_11684));
-  sky130_fd_sc_hd__nand2_1 g325559(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [12]), .Y (n_11682));
-  sky130_fd_sc_hd__nand2_1 g325560(.A (n_16001), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [23]), .Y (n_11681));
-  sky130_fd_sc_hd__nand2_1 g325561(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [28]), .Y (n_11680));
-  sky130_fd_sc_hd__nand2_1 g325562(.A (n_11476), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [11]), .Y (n_11679));
-  sky130_fd_sc_hd__nand2_1 g325563(.A (n_11563), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [22]), .Y (n_11678));
-  sky130_fd_sc_hd__nand2_1 g325564(.A (n_11518), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [31]), .Y (n_11677));
-  sky130_fd_sc_hd__nand2_1 g325565(.A (n_11553), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [6]), .Y (n_11676));
-  sky130_fd_sc_hd__nand2_1 g325566(.A (n_11554), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [1]), .Y (n_11675));
-  sky130_fd_sc_hd__nand2_1 g325567(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [30]), .Y (n_11674));
-  sky130_fd_sc_hd__nand2_1 g325568(.A (n_11561), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [11]), .Y (n_11673));
-  sky130_fd_sc_hd__o31ai_1 g325569(.A1 (u_soc_dccm_adapter_rvalid_o),
-       .A2 (n_11145), .A3 (n_11233), .B1 (n_13454), .Y (n_11672));
-  sky130_fd_sc_hd__a22o_1 g325570(.A1 (n_11418), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [22]), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [22]), .X (n_11671));
-  sky130_fd_sc_hd__nand3_1 g325571(.A (n_11414), .B (n_11439), .C
-       (n_11332), .Y (n_11670));
-  sky130_fd_sc_hd__nand2_1 g325572(.A (n_13451), .B (n_11412), .Y
-       (n_11669));
-  sky130_fd_sc_hd__a22o_1 g325573(.A1 (n_15870), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [11]), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [11]), .X (n_11668));
-  sky130_fd_sc_hd__a22o_1 g325574(.A1 (n_11423), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [12]), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [12]), .X (n_11667));
-  sky130_fd_sc_hd__a22o_1 g325579(.A1 (n_11423), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [15]), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [15]), .X (n_11662));
-  sky130_fd_sc_hd__o21bai_1 g325580(.A1 (n_13336), .A2 (n_11356), .B1_N
-       (n_13454), .Y (n_11661));
-  sky130_fd_sc_hd__nand3b_1 g325581(.A_N (n_13487), .B (n_13460), .C
-       (n_15890), .Y (n_82523_BAR));
-  sky130_fd_sc_hd__a22o_1 g325587(.A1 (n_15869), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [3]), .B1 (n_15866), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [3]), .X (n_11655));
-  sky130_fd_sc_hd__a22o_1 g325588(.A1 (n_11418), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [18]), .B1 (n_11422), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [18]), .X (n_11654));
-  sky130_fd_sc_hd__a22o_1 g325589(.A1 (n_11423), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [3]), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [3]), .X (n_11653));
-  sky130_fd_sc_hd__a22o_1 g325590(.A1 (n_15872), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [4]), .B1 (n_15867), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [4]), .X (n_11652));
-  sky130_fd_sc_hd__a22o_1 g325591(.A1 (n_11423), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [4]), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [4]), .X (n_11651));
-  sky130_fd_sc_hd__a22o_1 g325594(.A1 (n_11423), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [19]), .B1 (n_11428), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [19]), .X (n_11648));
-  sky130_fd_sc_hd__a22o_1 g325595(.A1 (n_15870), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [0]), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [0]), .X (n_11647));
-  sky130_fd_sc_hd__a22o_1 g325596(.A1 (n_15870), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [27]), .B1 (n_11415), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [27]), .X (n_11646));
-  sky130_fd_sc_hd__or3_1 g325599(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .B (n_11438), .C (n_13451), .X (n_13878));
-  sky130_fd_sc_hd__nand3_1 g325600(.A
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .B
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), .C
-       (n_11440), .Y (n_11770));
-  sky130_fd_sc_hd__and2_1 g325605(.A (n_11632), .B (n_11276), .X
-       (n_11763));
-  sky130_fd_sc_hd__and2_1 g325606(.A (n_11629), .B (n_11284), .X
-       (n_11762));
-  sky130_fd_sc_hd__and2_1 g325610(.A (n_11637), .B (n_11232), .X
-       (n_11757));
-  sky130_fd_sc_hd__and2_1 g325611(.A (n_11636), .B (n_11291), .X
-       (n_11756));
-  sky130_fd_sc_hd__and2_1 g325613(.A (n_11628), .B (n_11271), .X
-       (n_11754));
-  sky130_fd_sc_hd__and2_1 g325615(.A (n_11635), .B (n_11272), .X
-       (n_11751));
-  sky130_fd_sc_hd__nand3_1 g325617(.A (n_11631), .B (n_11154), .C
-       (n_11113), .Y (n_11748));
-  sky130_fd_sc_hd__nand2_2 g325619(.A (n_11593), .B (n_11054), .Y
-       (n_11746));
-  sky130_fd_sc_hd__inv_2 g325623(.A (n_11626), .Y (n_11625));
-  sky130_fd_sc_hd__a21oi_1 g325666(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [11]), .B1 (n_11375), .Y (n_11581));
-  sky130_fd_sc_hd__nand2_1 g325667(.A (n_15868), .B
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [2]), .Y (n_11580));
-  sky130_fd_sc_hd__nor2b_1 g325668(.A (n_11421), .B_N
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [0]), .Y (n_11579));
-  sky130_fd_sc_hd__nor2b_1 g325673(.A (n_11447), .B_N (n_11140), .Y
-       (n_11574));
-  sky130_fd_sc_hd__a21oi_1 g325674(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [19]), .B1 (n_11372), .Y (n_11573));
-  sky130_fd_sc_hd__a21oi_1 g325675(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [23]), .B1 (n_11377), .Y (n_11572));
-  sky130_fd_sc_hd__a21oi_1 g325676(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [29]), .B1 (n_11378), .Y (n_11571));
-  sky130_fd_sc_hd__a21oi_1 g325677(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [10]), .B1 (n_11374), .Y (n_11570));
-  sky130_fd_sc_hd__a21oi_1 g325678(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [7]), .B1 (n_11363), .Y (n_11569));
-  sky130_fd_sc_hd__a21oi_1 g325679(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [22]), .B1 (n_11364), .Y (n_11568));
-  sky130_fd_sc_hd__a21oi_1 g325680(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [28]), .B1 (n_11376), .Y (n_11567));
-  sky130_fd_sc_hd__a21oi_1 g325681(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [25]), .B1 (n_11384), .Y (n_11566));
-  sky130_fd_sc_hd__nand2_1 g325682(.A (n_15863), .B (n_11087), .Y
-       (n_11637));
-  sky130_fd_sc_hd__nand2_1 g325683(.A (n_15863), .B (n_11086), .Y
-       (n_11636));
-  sky130_fd_sc_hd__nand2_1 g325684(.A (n_15864), .B (n_11121), .Y
-       (n_11635));
-  sky130_fd_sc_hd__nand2_1 g325685(.A (n_15864), .B (n_15877), .Y
-       (n_11633));
-  sky130_fd_sc_hd__nand2_1 g325686(.A (n_15864), .B (n_11086), .Y
-       (n_11632));
-  sky130_fd_sc_hd__nand2_1 g325687(.A (n_15863), .B (n_11121), .Y
-       (n_11631));
-  sky130_fd_sc_hd__nand2_1 g325688(.A (n_15863), .B (n_15877), .Y
-       (n_11629));
-  sky130_fd_sc_hd__o211ai_1 g325689(.A1 (n_621), .A2 (n_13873), .B1
-       (n_11229), .C1 (n_11431), .Y (n_13487));
-  sky130_fd_sc_hd__nand2_1 g325690(.A (n_15864), .B (n_11087), .Y
-       (n_11628));
-  sky130_fd_sc_hd__nand2b_1 g325692(.A_N
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .B
-       (n_11458), .Y (n_11626));
-  sky130_fd_sc_hd__nand2_4 g325693(.A (n_11431), .B (n_11411), .Y
-       (n_11593));
-  sky130_fd_sc_hd__inv_1 g325754(.A (n_11482), .Y (n_11483));
-  sky130_fd_sc_hd__inv_1 g325755(.A (n_15882), .Y (n_11482));
-  sky130_fd_sc_hd__a211o_1 g325756(.A1 (n_11357), .A2 (n_11032), .B1
-       (n_11161), .C1 (n_11167), .X (n_11470));
-  sky130_fd_sc_hd__a21oi_1 g325757(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [24]), .B1 (n_11389), .Y (n_11469));
-  sky130_fd_sc_hd__a21oi_1 g325758(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [18]), .B1 (n_11385), .Y (n_11468));
-  sky130_fd_sc_hd__o211ai_1 g325759(.A1 (n_11314), .A2 (n_11225), .B1
-       (n_11386), .C1 (n_11382), .Y (n_11467));
-  sky130_fd_sc_hd__a21oi_1 g325760(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [14]), .B1 (n_11388), .Y (n_11466));
-  sky130_fd_sc_hd__a211o_1 g325761(.A1 (n_11393), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [4]), .B1 (n_11346), .C1 (n_11390), .X (n_11465));
-  sky130_fd_sc_hd__mux2i_1 g325762(.A0 (n_11344), .A1 (n_11350), .S
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_13451));
-  sky130_fd_sc_hd__mux2i_1 g325763(.A0 (n_11343), .A1 (n_11348), .S
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_13454));
-  sky130_fd_sc_hd__and3_1 g325764(.A (n_11463), .B (n_11217), .C
-       (n_11113), .X (n_11564));
-  sky130_fd_sc_hd__and2_1 g325765(.A (n_11462), .B (n_11283), .X
-       (n_11563));
-  sky130_fd_sc_hd__and2_1 g325767(.A (n_11452), .B (n_11253), .X
-       (n_11561));
-  sky130_fd_sc_hd__and2_1 g325769(.A (n_11461), .B (n_11247), .X
-       (n_11559));
-  sky130_fd_sc_hd__and2_1 g325770(.A (n_11459), .B (n_11251), .X
-       (n_11558));
-  sky130_fd_sc_hd__and3_2 g325771(.A (n_11460), .B (n_11221), .C
-       (n_11113), .X (n_11557));
-  sky130_fd_sc_hd__and2_2 g325772(.A (n_11433), .B (n_11275), .X
-       (n_11556));
-  sky130_fd_sc_hd__and2_1 g325773(.A (n_11459), .B (n_11244), .X
-       (n_11555));
-  sky130_fd_sc_hd__and2_1 g325774(.A (n_11435), .B (n_11256), .X
-       (n_11554));
-  sky130_fd_sc_hd__and2_1 g325775(.A (n_11450), .B (n_11290), .X
-       (n_11553));
-  sky130_fd_sc_hd__and2_1 g325776(.A (n_11461), .B (n_11248), .X
-       (n_11552));
-  sky130_fd_sc_hd__and3_2 g325778(.A (n_11460), .B (n_11155), .C
-       (n_11111), .X (n_11550));
-  sky130_fd_sc_hd__and3_1 g325779(.A (n_11435), .B (n_11221), .C
-       (n_11092), .X (n_11518));
-  sky130_fd_sc_hd__and2_1 g325780(.A (n_11457), .B (n_11241), .X
-       (n_11517));
-  sky130_fd_sc_hd__and2_1 g325781(.A (n_11434), .B (n_11274), .X
-       (n_11516));
-  sky130_fd_sc_hd__and2_1 g325782(.A (n_11457), .B (n_11237), .X
-       (n_11515));
-  sky130_fd_sc_hd__and2_1 g325783(.A (n_11456), .B (n_11289), .X
-       (n_11514));
-  sky130_fd_sc_hd__and2_1 g325784(.A (n_16002), .B (n_11273), .X
-       (n_11513));
-  sky130_fd_sc_hd__and2_1 g325786(.A (n_11456), .B (n_11254), .X
-       (n_11480));
-  sky130_fd_sc_hd__and2_1 g325787(.A (n_11433), .B (n_11280), .X
-       (n_11479));
-  sky130_fd_sc_hd__and2_1 g325788(.A (n_11455), .B (n_11252), .X
-       (n_11478));
-  sky130_fd_sc_hd__and2_2 g325790(.A (n_11464), .B (n_11262), .X
-       (n_11476));
-  sky130_fd_sc_hd__and2_0 g325791(.A (n_11455), .B (n_11246), .X
-       (n_11475));
-  sky130_fd_sc_hd__and2_1 g325792(.A (n_11451), .B (n_11236), .X
-       (n_11474));
-  sky130_fd_sc_hd__and2_2 g325793(.A (n_11451), .B (n_11238), .X
-       (n_11473));
-  sky130_fd_sc_hd__and2_0 g325794(.A (n_11462), .B (n_11282), .X
-       (n_11472));
-  sky130_fd_sc_hd__and2_1 g325795(.A (n_11452), .B (n_11242), .X
-       (n_11471));
-  sky130_fd_sc_hd__inv_2 g325800(.A (n_15881), .Y (n_11443));
-  sky130_fd_sc_hd__nand2_1 g325801(.A (n_11393), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [3]), .Y (n_11442));
-  sky130_fd_sc_hd__nand2_1 g325802(.A (n_11393), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [9]), .Y (n_11441));
-  sky130_fd_sc_hd__nor2_1 g325803(.A
-       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .B (n_11355), .Y
-       (n_11440));
-  sky130_fd_sc_hd__nand3_1 g325804(.A (n_11315), .B (n_11096), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y (n_11439));
-  sky130_fd_sc_hd__nor2b_1 g325805(.A (n_13337), .B_N (n_13462), .Y
-       (n_11438));
-  sky130_fd_sc_hd__nand2_1 g325806(.A (n_15874), .B (n_15877), .Y
-       (n_11464));
-  sky130_fd_sc_hd__nand4_1 g325807(.A (n_11294), .B (n_11121), .C
-       (n_11094), .D (n_652), .Y (n_11463));
-  sky130_fd_sc_hd__nand4_1 g325808(.A (n_11294), .B (n_15877), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11462));
-  sky130_fd_sc_hd__nand4_1 g325809(.A (n_11294), .B (n_15877), .C
-       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11461));
-  sky130_fd_sc_hd__nand2_1 g325810(.A (n_15874), .B (n_11121), .Y
-       (n_11460));
-  sky130_fd_sc_hd__nand4_1 g325811(.A (n_11294), .B (n_11086), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11459));
-  sky130_fd_sc_hd__nor2_1 g325812(.A (n_11355), .B
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), .Y
-       (n_11458));
-  sky130_fd_sc_hd__nand4_1 g325813(.A (n_11294), .B (n_11087), .C
-       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11457));
-  sky130_fd_sc_hd__nand4_1 g325814(.A (n_11294), .B (n_11121), .C
-       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11456));
-  sky130_fd_sc_hd__nand4_1 g325815(.A (n_11294), .B (n_11121), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11455));
-  sky130_fd_sc_hd__nand4_1 g325817(.A (n_11294), .B (n_11087), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11452));
-  sky130_fd_sc_hd__nand4_1 g325818(.A (n_11294), .B (n_11086), .C
-       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11451));
-  sky130_fd_sc_hd__nand2_1 g325819(.A (n_15874), .B (n_11087), .Y
-       (n_11450));
-  sky130_fd_sc_hd__nor2_1 g325822(.A
-       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]), .B
-       (n_11355), .Y (n_11447));
-  sky130_fd_sc_hd__nand2_1 g325823(.A (n_11354), .B
-       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]), .Y
-       (n_11446));
-  sky130_fd_sc_hd__inv_2 g325829(.A (n_11421), .Y (n_11422));
-  sky130_fd_sc_hd__a21oi_1 g325834(.A1 (n_15886), .A2 (n_15879), .B1
-       (n_15873), .Y (n_11414));
-  sky130_fd_sc_hd__nand4_1 g325835(.A (n_11307), .B (n_11303), .C
-       (n_11261), .D (u_soc_u_top_u_core_id_stage_i_rf_ren_b), .Y
-       (n_11413));
-  sky130_fd_sc_hd__a31oi_1 g325836(.A1 (n_11226), .A2 (n_13457), .A3
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .Y (n_11412));
-  sky130_fd_sc_hd__a21oi_1 g325837(.A1 (n_11304), .A2 (n_11105), .B1
-       (n_11173), .Y (n_11411));
-  sky130_fd_sc_hd__nand2_1 g325838(.A (n_15874), .B (n_11086), .Y
-       (n_11435));
-  sky130_fd_sc_hd__nand4_1 g325839(.A (n_11294), .B (n_11086), .C
-       (n_11094), .D (n_652), .Y (n_11434));
-  sky130_fd_sc_hd__nand4_1 g325840(.A (n_11294), .B (n_11087), .C
-       (n_11094), .D (n_652), .Y (n_11433));
-  sky130_fd_sc_hd__a21boi_1 g325841(.A1 (n_11125), .A2 (n_11124), .B1_N
-       (n_11357), .Y (n_11432));
-  sky130_fd_sc_hd__a21oi_1 g325842(.A1 (n_11302), .A2
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .B1 (n_11169), .Y
-       (n_11431));
-  sky130_fd_sc_hd__and2_2 g325845(.A (n_11401), .B (n_11286), .X
-       (n_11428));
-  sky130_fd_sc_hd__and2_2 g325848(.A (n_11395), .B (n_11277), .X
-       (n_11425));
-  sky130_fd_sc_hd__and2_1 g325850(.A (n_11396), .B (n_11250), .X
-       (n_11423));
-  sky130_fd_sc_hd__nand2_1 g325851(.A (n_11397), .B (n_11265), .Y
-       (n_11421));
-  sky130_fd_sc_hd__and2_1 g325854(.A (n_11400), .B (n_11234), .X
-       (n_11418));
-  sky130_fd_sc_hd__and2_2 g325857(.A (n_11398), .B (n_11269), .X
-       (n_11415));
-  sky130_fd_sc_hd__a21o_1 g325860(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [6]), .B1 (n_11313), .X (n_11392));
-  sky130_fd_sc_hd__nand2b_1 g325861(.A_N (n_11104), .B (n_11306), .Y
-       (n_11391));
-  sky130_fd_sc_hd__a21oi_1 g325862(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [4]), .B1 (n_11313), .Y (n_11390));
-  sky130_fd_sc_hd__a21oi_1 g325863(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [24]), .B1 (n_11313), .Y (n_11389));
-  sky130_fd_sc_hd__a21oi_1 g325864(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [14]), .B1 (n_11313), .Y (n_11388));
-  sky130_fd_sc_hd__nand3_1 g325866(.A (n_11294), .B (n_15876), .C
-       (n_11094), .Y (n_11386));
-  sky130_fd_sc_hd__a21oi_1 g325867(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [18]), .B1 (n_11313), .Y (n_11385));
-  sky130_fd_sc_hd__a21oi_1 g325868(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [25]), .B1 (n_11313), .Y (n_11384));
-  sky130_fd_sc_hd__a21oi_1 g325869(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [16]), .B1 (n_11313), .Y (n_11383));
-  sky130_fd_sc_hd__nand3_1 g325870(.A (n_11294), .B (n_15880), .C
-       (n_11098), .Y (n_11382));
-  sky130_fd_sc_hd__a21oi_1 g325871(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [17]), .B1 (n_11313), .Y (n_11381));
-  sky130_fd_sc_hd__a21oi_1 g325872(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [20]), .B1 (n_11313), .Y (n_11380));
-  sky130_fd_sc_hd__a21oi_1 g325873(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [26]), .B1 (n_11313), .Y (n_11379));
-  sky130_fd_sc_hd__a21oi_1 g325874(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [29]), .B1 (n_11313), .Y (n_11378));
-  sky130_fd_sc_hd__a21oi_1 g325875(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [23]), .B1 (n_11313), .Y (n_11377));
-  sky130_fd_sc_hd__a21oi_1 g325876(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [28]), .B1 (n_11313), .Y (n_11376));
-  sky130_fd_sc_hd__a21oi_1 g325877(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [11]), .B1 (n_11313), .Y (n_11375));
-  sky130_fd_sc_hd__a21oi_1 g325878(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [10]), .B1 (n_11313), .Y (n_11374));
-  sky130_fd_sc_hd__a21oi_1 g325879(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [31]), .B1 (n_11313), .Y (n_11373));
-  sky130_fd_sc_hd__a21oi_1 g325880(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [19]), .B1 (n_11313), .Y (n_11372));
-  sky130_fd_sc_hd__a21oi_1 g325881(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [30]), .B1 (n_11313), .Y (n_11371));
-  sky130_fd_sc_hd__a21oi_1 g325882(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [9]), .B1 (n_11313), .Y (n_11370));
-  sky130_fd_sc_hd__a21o_1 g325883(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [5]), .B1 (n_11313), .X (n_11369));
-  sky130_fd_sc_hd__a21oi_1 g325884(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [15]), .B1 (n_11313), .Y (n_11368));
-  sky130_fd_sc_hd__a21oi_1 g325885(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [27]), .B1 (n_11313), .Y (n_11367));
-  sky130_fd_sc_hd__a21oi_1 g325886(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [8]), .B1 (n_11313), .Y (n_11366));
-  sky130_fd_sc_hd__a21oi_1 g325887(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [21]), .B1 (n_11313), .Y (n_11365));
-  sky130_fd_sc_hd__a21oi_1 g325888(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [22]), .B1 (n_11313), .Y (n_11364));
-  sky130_fd_sc_hd__a21oi_1 g325889(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [7]), .B1 (n_11313), .Y (n_11363));
-  sky130_fd_sc_hd__a21oi_1 g325890(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [3]), .B1 (n_11313), .Y (n_11362));
-  sky130_fd_sc_hd__a21oi_1 g325891(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [13]), .B1 (n_11313), .Y (n_11361));
-  sky130_fd_sc_hd__a21oi_1 g325892(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [0]), .B1 (n_11313), .Y (n_11360));
-  sky130_fd_sc_hd__nand2_1 g325893(.A (n_15875), .B (n_15877), .Y
-       (n_11401));
-  sky130_fd_sc_hd__nand3_1 g325894(.A (n_11294), .B (n_11224), .C
-       (n_11087), .Y (n_11400));
-  sky130_fd_sc_hd__nand3_1 g325895(.A (n_11294), .B (n_11224), .C
-       (n_11086), .Y (n_11399));
-  sky130_fd_sc_hd__nand2_1 g325896(.A (n_15875), .B (n_11086), .Y
-       (n_11398));
-  sky130_fd_sc_hd__nand2_1 g325897(.A (n_15875), .B (n_11087), .Y
-       (n_11397));
-  sky130_fd_sc_hd__nand3_1 g325898(.A (n_11294), .B (n_11224), .C
-       (n_15877), .Y (n_11396));
-  sky130_fd_sc_hd__nand2_1 g325899(.A (n_15875), .B (n_11121), .Y
-       (n_11395));
-  sky130_fd_sc_hd__and2_2 g325902(.A (n_11306), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .X (n_11393));
-  sky130_fd_sc_hd__inv_2 g325904(.A (n_11355), .Y (n_11354));
-  sky130_fd_sc_hd__o22ai_1 g325905(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [12]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .B2 (n_16005), .Y
-       (n_11353));
-  sky130_fd_sc_hd__a21o_1 g325906(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [12]), .B1 (n_11313), .X (n_11352));
-  sky130_fd_sc_hd__o22ai_1 g325907(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [19]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .B2 (n_16005), .Y
-       (n_11351));
-  sky130_fd_sc_hd__a222oi_1 g325908(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]), .A2 (n_11128), .B1 (n_11132), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]), .C1 (n_11300), .C2 (n_11144), .Y (n_11350));
-  sky130_fd_sc_hd__nor3_1 g325909(.A (n_11147), .B (n_11164), .C
-       (n_11161), .Y (n_11349));
-  sky130_fd_sc_hd__a222oi_1 g325910(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]), .A2 (n_11134), .B1 (n_11129), .B2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]), .C1 (n_11298), .C2 (n_11142), .Y (n_11348));
-  sky130_fd_sc_hd__o22ai_1 g325911(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [28]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .B2 (n_16005), .Y
-       (n_11347));
-  sky130_fd_sc_hd__o22ai_1 g325912(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [3]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [3]), .B2 (n_16005), .Y (n_11346));
-  sky130_fd_sc_hd__a32oi_1 g325913(.A1 (n_11165), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .A3
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B1 (n_11088), .B2
-       (n_11086), .Y (n_11345));
-  sky130_fd_sc_hd__a221oi_1 g325914(.A1 (n_11128), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .B1 (n_11132), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .C1 (n_15885), .Y (n_11344));
-  sky130_fd_sc_hd__a221oi_1 g325915(.A1 (n_11134), .A2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .B1 (n_11129), .B2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .C1 (n_11311), .Y (n_11343));
-  sky130_fd_sc_hd__o22ai_1 g325916(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [31]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B2 (n_16005), .Y
-       (n_11342));
-  sky130_fd_sc_hd__o22ai_1 g325917(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [11]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .B2 (n_16005), .Y
-       (n_11341));
-  sky130_fd_sc_hd__o22ai_1 g325918(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [22]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .B2 (n_16005), .Y
-       (n_11340));
-  sky130_fd_sc_hd__o22ai_1 g325919(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [0]), .B2 (n_16005), .Y (n_11339));
-  sky130_fd_sc_hd__o22ai_1 g325920(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [9]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [9]), .B2 (n_16005), .Y (n_11338));
-  sky130_fd_sc_hd__o22ai_1 g325921(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [6]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [6]), .B2 (n_16005), .Y (n_11337));
-  sky130_fd_sc_hd__o22ai_1 g325922(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [23]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .B2 (n_16005), .Y
-       (n_11336));
-  sky130_fd_sc_hd__o22ai_1 g325923(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [21]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .B2 (n_16005), .Y
-       (n_11335));
-  sky130_fd_sc_hd__o22ai_1 g325924(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [8]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [8]), .B2 (n_16005), .Y (n_11334));
-  sky130_fd_sc_hd__o22ai_1 g325925(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [27]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .B2 (n_16005), .Y
-       (n_11333));
-  sky130_fd_sc_hd__o2111ai_1 g325926(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .A2 (n_11112), .B1
-       (n_11035), .C1 (n_11125), .D1 (n_11315), .Y (n_11332));
-  sky130_fd_sc_hd__o22ai_1 g325927(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [20]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .B2 (n_16005), .Y
-       (n_11331));
-  sky130_fd_sc_hd__o22ai_1 g325928(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [24]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .B2 (n_16005), .Y
-       (n_11330));
-  sky130_fd_sc_hd__o22ai_1 g325929(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [15]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .B2 (n_16005), .Y
-       (n_11329));
-  sky130_fd_sc_hd__o22ai_1 g325930(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [18]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .B2 (n_16005), .Y
-       (n_11328));
-  sky130_fd_sc_hd__o22ai_1 g325931(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [16]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .B2 (n_16005), .Y
-       (n_11327));
-  sky130_fd_sc_hd__o22ai_1 g325932(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [1]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [1]), .B2 (n_16005), .Y (n_11326));
-  sky130_fd_sc_hd__o22ai_1 g325933(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [17]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .B2 (n_16005), .Y
-       (n_11325));
-  sky130_fd_sc_hd__o22ai_1 g325934(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [10]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .B2 (n_16005), .Y
-       (n_11324));
-  sky130_fd_sc_hd__a22oi_1 g325935(.A1 (n_11258), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B1 (n_11181), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [32]), .Y (n_11323));
-  sky130_fd_sc_hd__o22ai_1 g325936(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [25]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .B2 (n_16005), .Y
-       (n_11322));
-  sky130_fd_sc_hd__o22ai_1 g325937(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [26]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .B2 (n_16005), .Y
-       (n_11321));
-  sky130_fd_sc_hd__o22ai_1 g325938(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [29]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .B2 (n_16005), .Y
-       (n_11320));
-  sky130_fd_sc_hd__o22ai_1 g325939(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [14]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .B2 (n_16005), .Y
-       (n_11319));
-  sky130_fd_sc_hd__o22ai_1 g325940(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [30]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .B2 (n_16005), .Y
-       (n_11318));
-  sky130_fd_sc_hd__o22ai_1 g325941(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [13]), .A2 (n_11259), .B1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .B2 (n_16005), .Y
-       (n_11317));
-  sky130_fd_sc_hd__o22ai_1 g325942(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [2]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
-       [2]), .B2 (n_16005), .Y (n_11316));
-  sky130_fd_sc_hd__mux2i_1 g325943(.A0 (n_11296), .A1 (n_11300), .S
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_13462));
-  sky130_fd_sc_hd__o2111ai_1 g325944(.A1 (n_621), .A2 (n_11223), .B1
-       (n_13341), .C1 (n_11122), .D1 (n_11227), .Y (n_13460));
-  sky130_fd_sc_hd__a21oi_1 g325945(.A1 (n_11257), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .B1 (n_11141), .Y
-       (n_11357));
-  sky130_fd_sc_hd__mux2i_1 g325946(.A0 (n_11295), .A1 (n_11299), .S
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_11356));
-  sky130_fd_sc_hd__o21ai_1 g325947(.A1 (n_11031), .A2 (n_13880), .B1
-       (n_11310), .Y (n_11355));
-  sky130_fd_sc_hd__clkinv_1 g325948(.A (n_11314), .Y (n_11315));
-  sky130_fd_sc_hd__inv_1 g325949(.A (n_11313), .Y (n_11312));
-  sky130_fd_sc_hd__nor2_1 g325950(.A (n_11149), .B (n_11295), .Y
-       (n_11311));
-  sky130_fd_sc_hd__nand2_1 g325951(.A (n_13880), .B (n_11230), .Y
-       (n_11310));
-  sky130_fd_sc_hd__nand2_1 g325953(.A (n_11294), .B (n_11043), .Y
-       (n_11314));
-  sky130_fd_sc_hd__or2_2 g325955(.A (n_11260), .B (n_11175), .X
-       (n_11313));
-  sky130_fd_sc_hd__nand3_1 g325957(.A (n_11172), .B (n_11166), .C
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_11304));
-  sky130_fd_sc_hd__a221o_1 g325958(.A1 (n_15880), .A2 (n_11098), .B1
-       (n_15876), .B2 (n_11094), .C1 (n_11231), .X (n_11303));
-  sky130_fd_sc_hd__o2111ai_1 g325959(.A1
-       (u_soc_u_top_u_core_alu_operator_ex[4]), .A2 (n_13459), .B1
-       (n_13872), .C1 (n_13477), .D1 (n_11223), .Y (n_11302));
-  sky130_fd_sc_hd__a21oi_1 g325960(.A1 (n_11214), .A2 (n_11113), .B1
-       (n_11228), .Y (n_11307));
-  sky130_fd_sc_hd__and2_1 g325961(.A (n_11176), .B (n_11260), .X
-       (n_11306));
-  sky130_fd_sc_hd__inv_1 g325963(.A (n_11298), .Y (n_11299));
-  sky130_fd_sc_hd__nor2_1 g325967(.A (n_11089), .B (n_11157), .Y
-       (n_11291));
-  sky130_fd_sc_hd__nand2b_1 g325968(.A_N
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .B (n_11176), .Y
-       (n_13839));
-  sky130_fd_sc_hd__nor2_1 g325969(.A (n_11090), .B (n_11156), .Y
-       (n_11290));
-  sky130_fd_sc_hd__nor2_1 g325970(.A (n_11112), .B (n_11215), .Y
-       (n_11289));
-  sky130_fd_sc_hd__nor2_1 g325972(.A (n_11091), .B (n_11209), .Y
-       (n_11287));
-  sky130_fd_sc_hd__nor2_1 g325973(.A (n_11114), .B (n_11216), .Y
-       (n_11286));
-  sky130_fd_sc_hd__nor2_1 g325975(.A (n_11091), .B (n_11157), .Y
-       (n_11284));
-  sky130_fd_sc_hd__nor2_1 g325976(.A (n_11114), .B (n_11158), .Y
-       (n_11283));
-  sky130_fd_sc_hd__nor2_1 g325977(.A (n_11091), .B (n_11159), .Y
-       (n_11282));
-  sky130_fd_sc_hd__nor2_1 g325978(.A (n_11112), .B (n_11160), .Y
-       (n_11281));
-  sky130_fd_sc_hd__nor2_1 g325979(.A (n_11090), .B (n_11212), .Y
-       (n_11280));
-  sky130_fd_sc_hd__nor2_1 g325980(.A (n_11089), .B (n_11209), .Y
-       (n_11279));
-  sky130_fd_sc_hd__nor2_1 g325981(.A (n_11091), .B (n_11222), .Y
-       (n_11278));
-  sky130_fd_sc_hd__nor2_1 g325982(.A (n_13339), .B (n_11216), .Y
-       (n_11277));
-  sky130_fd_sc_hd__nor2_1 g325983(.A (n_11093), .B (n_11219), .Y
-       (n_11276));
-  sky130_fd_sc_hd__nor2_1 g325984(.A (n_13338), .B (n_11218), .Y
-       (n_11275));
-  sky130_fd_sc_hd__nor2_1 g325985(.A (n_11089), .B (n_11212), .Y
-       (n_11274));
-  sky130_fd_sc_hd__nor2_1 g325986(.A (n_11114), .B (n_11218), .Y
-       (n_11273));
-  sky130_fd_sc_hd__nor2_1 g325987(.A (n_13339), .B (n_11219), .Y
-       (n_11272));
-  sky130_fd_sc_hd__nor2_1 g325988(.A (n_13338), .B (n_11219), .Y
-       (n_11271));
-  sky130_fd_sc_hd__nor2_1 g325990(.A (n_11093), .B (n_11216), .Y
-       (n_11269));
-  sky130_fd_sc_hd__nor2_1 g325991(.A (n_11090), .B (n_11209), .Y
-       (n_11268));
-  sky130_fd_sc_hd__nor2_1 g325993(.A (n_11112), .B (n_11209), .Y
-       (n_11266));
-  sky130_fd_sc_hd__nor2_1 g325994(.A (n_13338), .B (n_11216), .Y
-       (n_11265));
-  sky130_fd_sc_hd__nor2_1 g325997(.A (n_11114), .B (n_11220), .Y
-       (n_11262));
-  sky130_fd_sc_hd__nand2_1 g325998(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_11300));
-  sky130_fd_sc_hd__nand2_1 g325999(.A
-       (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_11298));
-  sky130_fd_sc_hd__nand2b_1 g326000(.A_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .Y
-       (n_11296));
-  sky130_fd_sc_hd__nor2b_1 g326001(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B_N (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .Y
-       (n_11295));
-  sky130_fd_sc_hd__nand2_4 g326002(.A (n_16004), .B (n_11130), .Y
-       (n_11294));
-  sky130_fd_sc_hd__inv_2 g326003(.A (n_11259), .Y (n_11258));
-  sky130_fd_sc_hd__o22ai_1 g326004(.A1 (n_13468), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .B1 (n_13352), .B2
-       (n_11036), .Y (n_11257));
-  sky130_fd_sc_hd__nor2_1 g326005(.A (n_11089), .B (n_11156), .Y
-       (n_11256));
-  sky130_fd_sc_hd__nor2_1 g326006(.A (n_11112), .B (n_11157), .Y
-       (n_11255));
-  sky130_fd_sc_hd__nor2_1 g326007(.A (n_13339), .B (n_11210), .Y
-       (n_11254));
-  sky130_fd_sc_hd__nor2_1 g326008(.A (n_13338), .B (n_11158), .Y
-       (n_11253));
-  sky130_fd_sc_hd__nor2_1 g326009(.A (n_13339), .B (n_11158), .Y
-       (n_11252));
-  sky130_fd_sc_hd__nor2_1 g326010(.A (n_11093), .B (n_11158), .Y
-       (n_11251));
-  sky130_fd_sc_hd__nor2_1 g326011(.A (n_11114), .B (n_11213), .Y
-       (n_11250));
-  sky130_fd_sc_hd__nor2_1 g326012(.A (n_11091), .B (n_11160), .Y
-       (n_11249));
-  sky130_fd_sc_hd__nor2_1 g326013(.A (n_11091), .B (n_11215), .Y
-       (n_11248));
-  sky130_fd_sc_hd__nor2_1 g326014(.A (n_11114), .B (n_11210), .Y
-       (n_11247));
-  sky130_fd_sc_hd__nor2_1 g326015(.A (n_11112), .B (n_11159), .Y
-       (n_11246));
-  sky130_fd_sc_hd__nor2_1 g326016(.A (n_11090), .B (n_11160), .Y
-       (n_11245));
-  sky130_fd_sc_hd__nor2_1 g326017(.A (n_11089), .B (n_11159), .Y
-       (n_11244));
-  sky130_fd_sc_hd__nor2_1 g326018(.A (n_11089), .B (n_11160), .Y
-       (n_11243));
-  sky130_fd_sc_hd__nor2_1 g326019(.A (n_11090), .B (n_11159), .Y
-       (n_11242));
-  sky130_fd_sc_hd__nor2_1 g326020(.A (n_11090), .B (n_11215), .Y
-       (n_11241));
-  sky130_fd_sc_hd__nor2_1 g326021(.A (n_11089), .B (n_11222), .Y
-       (n_11240));
-  sky130_fd_sc_hd__nor2_1 g326022(.A (n_11090), .B (n_11222), .Y
-       (n_11239));
-  sky130_fd_sc_hd__nor2_1 g326023(.A (n_11089), .B (n_11215), .Y
-       (n_11238));
-  sky130_fd_sc_hd__nor2_1 g326024(.A (n_13338), .B (n_11210), .Y
-       (n_11237));
-  sky130_fd_sc_hd__nor2_1 g326025(.A (n_11093), .B (n_11210), .Y
-       (n_11236));
-  sky130_fd_sc_hd__nor2_1 g326026(.A (n_11093), .B (n_11213), .Y
-       (n_11235));
-  sky130_fd_sc_hd__nor2_1 g326027(.A (n_13338), .B (n_11213), .Y
-       (n_11234));
-  sky130_fd_sc_hd__nand2_1 g326028(.A
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .B (n_13456), .Y
-       (n_11233));
-  sky130_fd_sc_hd__nor2_1 g326029(.A (n_11090), .B (n_11157), .Y
-       (n_11232));
-  sky130_fd_sc_hd__o2bb2ai_1 g326030(.A1_N (n_11124), .A2_N (n_11101),
-       .B1 (n_13469), .B2 (n_11100), .Y (n_11231));
-  sky130_fd_sc_hd__nand2_1 g326031(.A (n_11174), .B (n_13342), .Y
-       (n_11230));
-  sky130_fd_sc_hd__o21ai_1 g326032(.A1 (n_13873), .A2 (n_11123), .B1
-       (n_11105), .Y (n_11229));
-  sky130_fd_sc_hd__o21ai_1 g326033(.A1 (n_11033), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[24]), .B1 (n_11148), .Y
-       (n_11228));
-  sky130_fd_sc_hd__nand2_1 g326034(.A (n_11168), .B (n_13343), .Y
-       (n_11227));
-  sky130_fd_sc_hd__a221oi_1 g326035(.A1 (n_568), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B1 (n_104), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .C1 (u_soc_u_tcam_rvalid_o), .Y (n_11226));
-  sky130_fd_sc_hd__a22oi_1 g326036(.A1 (n_11101), .A2 (n_11035), .B1
-       (n_11099), .B2 (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y
-       (n_11225));
-  sky130_fd_sc_hd__a21oi_1 g326038(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[24]), .A2 (n_11033), .B1
-       (n_11146), .Y (n_11261));
-  sky130_fd_sc_hd__nand2_1 g326039(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_11171), .Y
-       (n_11260));
-  sky130_fd_sc_hd__a21o_1 g326040(.A1 (n_13345), .A2 (n_13366), .B1
-       (n_556), .X (n_11259));
-  sky130_fd_sc_hd__inv_2 g326041(.A (n_11220), .Y (n_11221));
-  sky130_fd_sc_hd__inv_2 g326042(.A (n_11218), .Y (n_11217));
-  sky130_fd_sc_hd__inv_1 g326043(.A (n_11213), .Y (n_11214));
-  sky130_fd_sc_hd__inv_2 g326045(.A (n_11208), .Y (n_11207));
-  sky130_fd_sc_hd__inv_1 g326071(.A (n_11179), .Y (n_11181));
-  sky130_fd_sc_hd__inv_1 g326073(.A (n_11176), .Y (n_11179));
-  sky130_fd_sc_hd__nand2_1 g326076(.A (n_11138), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
-       (n_11174));
-  sky130_fd_sc_hd__nor2_1 g326077(.A
-       (u_soc_u_top_u_core_alu_operator_ex[4]), .B (n_13873), .Y
-       (n_11173));
-  sky130_fd_sc_hd__nand2b_1 g326078(.A_N (n_11130), .B (n_11094), .Y
-       (n_13371));
-  sky130_fd_sc_hd__nand2_1 g326079(.A (n_13477), .B (n_11041), .Y
-       (n_11172));
-  sky130_fd_sc_hd__nor2_1 g326080(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .B (n_13366), .Y (n_11171));
-  sky130_fd_sc_hd__nor2_1 g326081(.A (n_13467), .B (n_652), .Y
-       (n_11170));
-  sky130_fd_sc_hd__nor2_1 g326082(.A (n_720), .B (n_11122), .Y
-       (n_11169));
-  sky130_fd_sc_hd__nor2_1 g326083(.A
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .B (n_13455), .Y
-       (n_11168));
-  sky130_fd_sc_hd__nor2_1 g326084(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .B (n_13467), .Y (n_11224));
-  sky130_fd_sc_hd__nand2_1 g326085(.A (n_11111), .B (n_11121), .Y
-       (n_11167));
-  sky130_fd_sc_hd__nand2_1 g326086(.A (n_11123), .B
-       (u_soc_u_top_u_core_alu_operator_ex[1]), .Y (n_11166));
-  sky130_fd_sc_hd__nand2_1 g326087(.A (n_13873), .B (n_621), .Y
-       (n_13341));
-  sky130_fd_sc_hd__or2_1 g326088(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
-       (n_13465), .X (n_13335));
-  sky130_fd_sc_hd__nand2_1 g326089(.A (n_13459), .B
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .Y (n_11223));
-  sky130_fd_sc_hd__nand2_1 g326090(.A (n_11137), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
-       (n_13880));
-  sky130_fd_sc_hd__nand2_1 g326091(.A (n_11125), .B (n_11032), .Y
-       (n_11222));
-  sky130_fd_sc_hd__nand2_1 g326092(.A (n_15880), .B (n_11018), .Y
-       (n_11220));
-  sky130_fd_sc_hd__nand2_1 g326093(.A (n_11135), .B
-       (u_soc_u_top_u_core_instr_rdata_id[22]), .Y (n_11219));
-  sky130_fd_sc_hd__nand2_1 g326094(.A (n_15876), .B (n_11018), .Y
-       (n_11218));
-  sky130_fd_sc_hd__nand2_1 g326095(.A (n_11101), .B
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_11216));
-  sky130_fd_sc_hd__nand2_1 g326097(.A (n_11133), .B
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11215));
-  sky130_fd_sc_hd__nand2_1 g326098(.A (n_11135), .B (n_11020), .Y
-       (n_11213));
-  sky130_fd_sc_hd__nand2_1 g326099(.A (n_11133), .B (n_11032), .Y
-       (n_11212));
-  sky130_fd_sc_hd__nand2_1 g326100(.A (n_15876), .B
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_11210));
-  sky130_fd_sc_hd__nand2_1 g326101(.A (n_11125), .B
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11209));
-  sky130_fd_sc_hd__or2_2 g326102(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .B (n_13334), .X (n_11208));
-  sky130_fd_sc_hd__nor2_1 g326103(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_11054), .Y
-       (n_11176));
-  sky130_fd_sc_hd__nand2_1 g326104(.A (n_13452), .B (n_556), .Y
-       (n_11175));
-  sky130_fd_sc_hd__inv_1 g326105(.A (n_11164), .Y (n_11165));
-  sky130_fd_sc_hd__inv_2 g326107(.A (n_11156), .Y (n_11155));
-  sky130_fd_sc_hd__inv_1 g326108(.A (n_11154), .Y (n_11153));
-  sky130_fd_sc_hd__inv_2 g326109(.A (n_11152), .Y (n_11151));
-  sky130_fd_sc_hd__a22oi_1 g326110(.A1 (n_71), .A2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_11149));
-  sky130_fd_sc_hd__xnor2_1 g326111(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[23]), .Y (n_11148));
-  sky130_fd_sc_hd__o22ai_1 g326112(.A1 (n_11033), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .B1
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B2 (n_11034), .Y
-       (n_11147));
-  sky130_fd_sc_hd__o22ai_1 g326113(.A1 (n_11018), .A2
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .B1
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .B2 (n_652), .Y
-       (n_11146));
-  sky130_fd_sc_hd__a2bb2oi_1 g326114(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_11145));
-  sky130_fd_sc_hd__a22o_1 g326115(.A1 (n_599), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]), .X (n_11144));
-  sky130_fd_sc_hd__a22oi_1 g326116(.A1 (n_599), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_11143));
-  sky130_fd_sc_hd__a22o_1 g326117(.A1 (n_71), .A2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]), .X (n_11142));
-  sky130_fd_sc_hd__nor2b_1 g326118(.A (n_13469), .B_N (n_11096), .Y
-       (n_11141));
-  sky130_fd_sc_hd__nand3b_1 g326119(.A_N
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .B
-       (u_soc_u_top_u_core_id_stage_i_jump_set_dec), .C
-       (u_soc_u_top_u_core_id_stage_i_jump_in_dec), .Y (n_13331));
-  sky130_fd_sc_hd__o2bb2ai_1 g326120(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]), .Y (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125));
-  sky130_fd_sc_hd__o22ai_1 g326121(.A1
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .A2 (n_11038), .B1
-       (n_11019), .B2 (u_soc_u_top_u_core_instr_rdata_id[18]), .Y
-       (n_11164));
-  sky130_fd_sc_hd__o2bb2ai_1 g326123(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_13456));
-  sky130_fd_sc_hd__or2_1 g326124(.A (n_11129), .B (n_11134), .X
-       (n_13336));
-  sky130_fd_sc_hd__or2_1 g326125(.A (n_11132), .B (n_11128), .X
-       (n_13337));
-  sky130_fd_sc_hd__o2bb2ai_1 g326126(.A1_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_13457));
-  sky130_fd_sc_hd__o2bb2ai_1 g326127(.A1_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]), .Y (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125));
-  sky130_fd_sc_hd__o2bb2ai_1 g326128(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2_N
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .Y (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85));
-  sky130_fd_sc_hd__o2bb2ai_1 g326129(.A1_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .Y (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85));
-  sky130_fd_sc_hd__a22oi_1 g326130(.A1 (n_652), .A2 (n_11032), .B1
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11161));
-  sky130_fd_sc_hd__nand2_1 g326131(.A (n_11096), .B (n_11032), .Y
-       (n_11160));
-  sky130_fd_sc_hd__nand2_1 g326132(.A (n_15879), .B
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11159));
-  sky130_fd_sc_hd__nand2_1 g326133(.A (n_15880), .B
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_11158));
-  sky130_fd_sc_hd__nand2_1 g326134(.A (n_11096), .B
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11157));
-  sky130_fd_sc_hd__nand2_1 g326135(.A (n_15879), .B (n_11032), .Y
-       (n_11156));
-  sky130_fd_sc_hd__nor2_1 g326136(.A (n_11018), .B (n_11100), .Y
-       (n_11154));
-  sky130_fd_sc_hd__and3b_1 g326137(.A_N
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .B (n_11102), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .X (n_11152));
-  sky130_fd_sc_hd__clkinv_1 g326139(.A (n_11138), .Y (n_13465));
-  sky130_fd_sc_hd__clkinv_1 g326140(.A (n_11137), .Y (n_13342));
-  sky130_fd_sc_hd__inv_1 g326147(.A (n_13467), .Y (n_11124));
-  sky130_fd_sc_hd__clkinv_1 g326148(.A (n_11123), .Y (n_11122));
-  sky130_fd_sc_hd__inv_2 g326155(.A (n_13339), .Y (n_11113));
-  sky130_fd_sc_hd__clkinv_1 g326156(.A (n_11112), .Y (n_11111));
-  sky130_fd_sc_hd__and2_1 g326157(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [2]), .X (n_11110));
-  sky130_fd_sc_hd__nand2b_1 g326159(.A_N
-       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), .B
-       (u_soc_u_top_u_core_instr_is_compressed_id), .Y (n_11140));
-  sky130_fd_sc_hd__nand2_1 g326161(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [32]), .Y (n_11139));
-  sky130_fd_sc_hd__nand2_1 g326162(.A
-       (u_soc_u_top_u_core_alu_operator_ex[5]), .B
-       (u_soc_u_top_u_core_alu_operator_ex[4]), .Y (n_13872));
-  sky130_fd_sc_hd__nand2_1 g326163(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .Y (n_13345));
-  sky130_fd_sc_hd__nor2_1 g326164(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
-       (n_11138));
-  sky130_fd_sc_hd__nor2_1 g326165(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
-       (n_11046), .Y (n_11137));
-  sky130_fd_sc_hd__nor2_1 g326166(.A
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .Y (n_11135));
-  sky130_fd_sc_hd__nor2b_1 g326167(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B_N
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_11134));
-  sky130_fd_sc_hd__nor2_1 g326168(.A (n_11040), .B (n_11036), .Y
-       (n_11133));
-  sky130_fd_sc_hd__nor2_1 g326169(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (n_599), .Y (n_11132));
-  sky130_fd_sc_hd__nand2_1 g326170(.A (n_11045), .B (n_11044), .Y
-       (n_13477));
-  sky130_fd_sc_hd__nand2_1 g326171(.A
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-       .B (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q
-       ), .Y (n_11130));
-  sky130_fd_sc_hd__nor2_1 g326172(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (n_71), .Y (n_11129));
-  sky130_fd_sc_hd__nor2b_1 g326173(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_11128));
-  sky130_fd_sc_hd__nor2_1 g326175(.A
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .Y (n_11125));
-  sky130_fd_sc_hd__nand2_1 g326176(.A (n_11035), .B (n_11043), .Y
-       (n_13467));
-  sky130_fd_sc_hd__nand2_1 g326177(.A
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .B
-       (u_soc_u_top_u_core_alu_operator_ex[1]), .Y (n_13873));
-  sky130_fd_sc_hd__nand2_1 g326178(.A (n_11045), .B
-       (u_soc_u_top_u_core_alu_operator_ex[4]), .Y (n_11123));
-  sky130_fd_sc_hd__and2_0 g326179(.A (n_11019), .B (n_11033), .X
-       (n_11121));
-  sky130_fd_sc_hd__nand2_1 g326181(.A
-       (u_soc_u_top_u_core_instr_rdata_id[23]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[24]), .Y (n_11114));
-  sky130_fd_sc_hd__nand2_1 g326182(.A (n_11037), .B (n_11021), .Y
-       (n_13339));
-  sky130_fd_sc_hd__nand2_1 g326183(.A (n_11034), .B (n_11038), .Y
-       (n_11112));
-  sky130_fd_sc_hd__inv_2 g326186(.A (n_11102), .Y (n_13879));
-  sky130_fd_sc_hd__inv_1 g326187(.A (n_11099), .Y (n_11100));
-  sky130_fd_sc_hd__inv_2 g326188(.A (n_11098), .Y (n_13468));
-  sky130_fd_sc_hd__inv_1 g326191(.A (n_11094), .Y (n_13352));
-  sky130_fd_sc_hd__inv_1 g326192(.A (n_11093), .Y (n_11092));
-  sky130_fd_sc_hd__inv_1 g326193(.A (n_11089), .Y (n_11088));
-  sky130_fd_sc_hd__inv_2 g326194(.A (n_11087), .Y (n_13370));
-  sky130_fd_sc_hd__inv_2 g326195(.A (n_11086), .Y (n_13351));
-  sky130_fd_sc_hd__inv_1 g326224(.A (n_13452), .Y (n_11058));
-  sky130_fd_sc_hd__inv_1 g326227(.A (n_11054), .Y (n_13452));
-  sky130_fd_sc_hd__nand2b_1 g326228(.A_N
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
-       (n_13464));
-  sky130_fd_sc_hd__nand2b_1 g326231(.A_N
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .B
-       (u_soc_u_top_u_core_id_stage_i_branch_in_dec), .Y (n_13332));
-  sky130_fd_sc_hd__nor2_1 g326232(.A
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .B
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .Y (n_11105));
-  sky130_fd_sc_hd__nor2_1 g326233(.A
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .B (n_11041), .Y
-       (n_13455));
-  sky130_fd_sc_hd__nand2_1 g326234(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_132), .Y
-       (n_13334));
-  sky130_fd_sc_hd__nand2_1 g326235(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [1]), .Y (n_11104));
-  sky130_fd_sc_hd__nand2_1 g326236(.A (n_11041), .B
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_13343));
-  sky130_fd_sc_hd__nand2_1 g326237(.A (n_11043), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y (n_13469));
-  sky130_fd_sc_hd__nor2_1 g326239(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .B (n_556), .Y (n_11102));
-  sky130_fd_sc_hd__nand2_1 g326240(.A (n_132), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .Y (n_13366));
-  sky130_fd_sc_hd__nor2_1 g326241(.A
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[22]), .Y (n_11101));
-  sky130_fd_sc_hd__nor2_1 g326242(.A
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .B (n_11020), .Y
-       (n_11099));
-  sky130_fd_sc_hd__and2_0 g326243(.A (n_11035), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[0]), .X (n_11098));
-  sky130_fd_sc_hd__nand2_1 g326244(.A (n_11039), .B (n_11041), .Y
-       (n_13459));
-  sky130_fd_sc_hd__nor2_1 g326245(.A
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .B (n_11036), .Y
-       (n_11096));
-  sky130_fd_sc_hd__and2_0 g326247(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[0]), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .X (n_11094));
-  sky130_fd_sc_hd__nand2_1 g326248(.A
-       (u_soc_u_top_u_core_instr_rdata_id[23]), .B (n_11021), .Y
-       (n_11093));
-  sky130_fd_sc_hd__nand2_1 g326249(.A
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[18]), .Y (n_11091));
-  sky130_fd_sc_hd__nand2_1 g326250(.A
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .B (n_11038), .Y
-       (n_11090));
-  sky130_fd_sc_hd__nand2_1 g326251(.A
-       (u_soc_u_top_u_core_instr_rdata_id[18]), .B (n_11034), .Y
-       (n_11089));
-  sky130_fd_sc_hd__and2_0 g326252(.A (n_11019), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .X (n_11087));
-  sky130_fd_sc_hd__nand2_1 g326253(.A
-       (u_soc_u_top_u_core_instr_rdata_id[24]), .B (n_11037), .Y
-       (n_13338));
-  sky130_fd_sc_hd__and2_0 g326254(.A (n_11033), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .X (n_11086));
-  sky130_fd_sc_hd__nor2_1 g326255(.A (u_soc_u_top_u_core_mult_sel_ex),
-       .B (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_11054));
-  sky130_fd_sc_hd__inv_2 g326260(.A
-       (u_soc_u_top_u_core_alu_operator_ex[5]), .Y (n_11045));
-  sky130_fd_sc_hd__inv_2 g326261(.A
-       (u_soc_u_top_u_core_alu_operator_ex[4]), .Y (n_11044));
-  sky130_fd_sc_hd__inv_2 g326262(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[0]), .Y (n_11043));
-  sky130_fd_sc_hd__inv_2 g326264(.A
-       (u_soc_u_top_u_core_alu_operator_ex[1]), .Y (n_11041));
-  sky130_fd_sc_hd__inv_2 g326266(.A
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_11039));
-  sky130_fd_sc_hd__inv_2 g326270(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y (n_11035));
-  sky130_fd_sc_hd__inv_2 g326272(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .Y (n_11033));
-  sky130_fd_sc_hd__inv_1 g326277(.A
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .Y
-       (n_11028));
-  sky130_fd_sc_hd__inv_1 g326279(.A
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .Y (n_720));
-  sky130_fd_sc_hd__inv_2 g326280(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_556));
-  sky130_fd_sc_hd__clkinv_1 g326281(.A
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .Y (n_621));
-  sky130_fd_sc_hd__inv_2 g326286(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .Y (n_11019));
-  sky130_fd_sc_hd__inv_2 g326298(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_652));
-  sky130_fd_sc_hd__nor4_1 g277656(.A (n_10997), .B (n_11005), .C
-       (n_11003), .D (n_11006), .Y (u_soc_u_top_u_core_trigger_match));
-  sky130_fd_sc_hd__nand4_1 g277657(.A (n_11004), .B (n_11000), .C
-       (n_10999), .D (n_11002), .Y (n_11006));
-  sky130_fd_sc_hd__nand3_1 g277658(.A (n_10998), .B (n_10974), .C
-       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]), .Y
-       (n_11005));
-  sky130_fd_sc_hd__nor4_1 g277659(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [0]), .B (n_10990), .C (n_10975), .D (n_10995), .Y (n_11004));
-  sky130_fd_sc_hd__nand4_1 g277660(.A (n_11001), .B (n_10986), .C
-       (n_10983), .D (n_10984), .Y (n_11003));
-  sky130_fd_sc_hd__nor4_1 g277661(.A (n_10978), .B (n_10985), .C
-       (n_10996), .D (n_10966), .Y (n_11002));
-  sky130_fd_sc_hd__nor4_1 g277662(.A (n_10976), .B (n_10979), .C
-       (n_10980), .D (n_10977), .Y (n_11001));
-  sky130_fd_sc_hd__nor4_1 g277663(.A (n_10982), .B (n_10981), .C
-       (n_10973), .D (n_10987), .Y (n_11000));
-  sky130_fd_sc_hd__nor4_1 g277664(.A (n_10993), .B (n_10972), .C
-       (n_10968), .D (n_10994), .Y (n_10999));
-  sky130_fd_sc_hd__nor4_1 g277665(.A (n_10967), .B (n_10970), .C
-       (n_10971), .D (n_10969), .Y (n_10998));
-  sky130_fd_sc_hd__nand4_1 g277666(.A (n_10992), .B (n_10991), .C
-       (n_10989), .D (n_10988), .Y (n_10997));
-  sky130_fd_sc_hd__xor2_1 g277667(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [18]), .B (u_soc_u_top_u_core_pc_if[18]), .X (n_10996));
-  sky130_fd_sc_hd__xor2_1 g277668(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [2]), .B (u_soc_u_top_u_core_pc_if[2]), .X (n_10995));
-  sky130_fd_sc_hd__xor2_1 g277669(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [5]), .B (u_soc_u_top_u_core_pc_if[5]), .X (n_10994));
-  sky130_fd_sc_hd__xor2_1 g277670(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [4]), .B (u_soc_u_top_u_core_pc_if[4]), .X (n_10993));
-  sky130_fd_sc_hd__xnor2_1 g277671(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [31]), .B (u_soc_u_top_u_core_pc_if[31]), .Y (n_10992));
-  sky130_fd_sc_hd__xnor2_1 g277672(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [29]), .B (u_soc_u_top_u_core_pc_if[29]), .Y (n_10991));
-  sky130_fd_sc_hd__xor2_1 g277673(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [3]), .B (u_soc_u_top_u_core_pc_if[3]), .X (n_10990));
-  sky130_fd_sc_hd__o2bb2ai_1 g277674(.A1_N
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [30]), .A2_N (u_soc_u_top_u_core_pc_if[30]), .B1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [30]), .B2 (u_soc_u_top_u_core_pc_if[30]), .Y (n_10989));
-  sky130_fd_sc_hd__xnor2_1 g277675(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [28]), .B (u_soc_u_top_u_core_pc_if[28]), .Y (n_10988));
-  sky130_fd_sc_hd__xor2_1 g277676(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [25]), .B (u_soc_u_top_u_core_pc_if[25]), .X (n_10987));
-  sky130_fd_sc_hd__xnor2_1 g277677(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [15]), .B (u_soc_u_top_u_core_pc_if[15]), .Y (n_10986));
-  sky130_fd_sc_hd__xor2_1 g277678(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [17]), .B (u_soc_u_top_u_core_pc_if[17]), .X (n_10985));
-  sky130_fd_sc_hd__xnor2_1 g277679(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [14]), .B (u_soc_u_top_u_core_pc_if[14]), .Y (n_10984));
-  sky130_fd_sc_hd__xnor2_1 g277680(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [12]), .B (u_soc_u_top_u_core_pc_if[12]), .Y (n_10983));
-  sky130_fd_sc_hd__xor2_1 g277681(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [24]), .B (u_soc_u_top_u_core_pc_if[24]), .X (n_10982));
-  sky130_fd_sc_hd__xor2_1 g277682(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [26]), .B (u_soc_u_top_u_core_pc_if[26]), .X (n_10981));
-  sky130_fd_sc_hd__xor2_1 g277683(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [10]), .B (u_soc_u_top_u_core_pc_if[10]), .X (n_10980));
-  sky130_fd_sc_hd__xor2_1 g277684(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [9]), .B (u_soc_u_top_u_core_pc_if[9]), .X (n_10979));
-  sky130_fd_sc_hd__xor2_1 g277685(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [16]), .B (u_soc_u_top_u_core_pc_if[16]), .X (n_10978));
-  sky130_fd_sc_hd__xor2_1 g277686(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [11]), .B (u_soc_u_top_u_core_pc_if[11]), .X (n_10977));
-  sky130_fd_sc_hd__xor2_1 g277687(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [8]), .B (u_soc_u_top_u_core_pc_if[8]), .X (n_10976));
-  sky130_fd_sc_hd__xor2_1 g277688(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [1]), .B (u_soc_u_top_u_core_pc_if[1]), .X (n_10975));
-  sky130_fd_sc_hd__xnor2_1 g277689(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [13]), .B (u_soc_u_top_u_core_pc_if[13]), .Y (n_10974));
-  sky130_fd_sc_hd__xor2_1 g277690(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [27]), .B (u_soc_u_top_u_core_pc_if[27]), .X (n_10973));
-  sky130_fd_sc_hd__xor2_1 g277691(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [6]), .B (u_soc_u_top_u_core_pc_if[6]), .X (n_10972));
-  sky130_fd_sc_hd__xor2_1 g277692(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [22]), .B (u_soc_u_top_u_core_pc_if[22]), .X (n_10971));
-  sky130_fd_sc_hd__xor2_1 g277693(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [21]), .B (u_soc_u_top_u_core_pc_if[21]), .X (n_10970));
-  sky130_fd_sc_hd__xor2_1 g277694(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [23]), .B (u_soc_u_top_u_core_pc_if[23]), .X (n_10969));
-  sky130_fd_sc_hd__xor2_1 g277695(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [7]), .B (u_soc_u_top_u_core_pc_if[7]), .X (n_10968));
-  sky130_fd_sc_hd__xor2_1 g277696(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [20]), .B (u_soc_u_top_u_core_pc_if[20]), .X (n_10967));
-  sky130_fd_sc_hd__xor2_1 g277697(.A
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [19]), .B (u_soc_u_top_u_core_pc_if[19]), .X (n_10966));
-  sky130_fd_sc_hd__and2_1 g326299(.A (u_soc_n_289), .B
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]), .X
-       (\u_soc_u_top_u_core_irqs[irq_external] ));
-  sky130_fd_sc_hd__or2_0 g326300(.A (u_soc_intr_u_rx), .B
-       (u_soc_intr_u_tx), .X (u_soc_n_289));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[0] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10828), .Q (u_soc_baud_reg[0]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[1] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10573), .Q (u_soc_baud_reg[1]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[2] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10557), .Q (u_soc_baud_reg[2]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[3] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10548), .Q (u_soc_baud_reg[3]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[4] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10574), .Q (u_soc_baud_reg[4]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[5] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10549), .Q (u_soc_baud_reg[5]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[6] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10687), .Q (u_soc_baud_reg[6]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[7] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10518), .Q (u_soc_baud_reg[7]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[8] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10550), .Q (u_soc_baud_reg[8]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[9] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10352), .Q (u_soc_baud_reg[9]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[10] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10556), .Q (u_soc_baud_reg[10]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[11] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10521), .Q (u_soc_baud_reg[11]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[12] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10520), .Q (u_soc_baud_reg[12]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[13] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10349), .Q (u_soc_baud_reg[13]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[14] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10348), .Q (u_soc_baud_reg[14]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[15] (.RESET_B (n_470),
-       .CLK (wb_clk_i), .D (n_10347), .Q (u_soc_baud_reg[15]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[0] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10533), .Q
-       (u_soc_data_addr[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[1] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10539), .Q
-       (u_soc_data_addr[1]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[2] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10538), .Q
-       (u_soc_data_addr[2]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[3] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10536), .Q
-       (u_soc_data_addr[3]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[4] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10537), .Q
-       (u_soc_data_addr[4]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[5] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10535), .Q
-       (u_soc_data_addr[5]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[6] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10534), .Q
-       (u_soc_data_addr[6]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[7] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10531), .Q
-       (u_soc_data_addr[7]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[8] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10532), .Q
-       (u_soc_data_addr[8]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[9] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10530), .Q
-       (u_soc_data_addr[9]));
-  sky130_fd_sc_hd__dfbbn_1 u_soc_dccm_adapter_csb_reg(.RESET_B
-       (n_17933), .SET_B (io_out[37]), .CLK_N (wb_clk_i), .D
-       (u_soc_dccm_adapter_data_csbD), .Q_N (u_soc_data_csb));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[0] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10682), .Q
-       (u_soc_data_wdata[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[1] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10681), .Q
-       (u_soc_data_wdata[1]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[2] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10680), .Q
-       (u_soc_data_wdata[2]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[3] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10679), .Q
-       (u_soc_data_wdata[3]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[4] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10683), .Q
-       (u_soc_data_wdata[4]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[5] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10686), .Q
-       (u_soc_data_wdata[5]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[6] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10685), .Q
-       (u_soc_data_wdata[6]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[7] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10684), .Q
-       (u_soc_data_wdata[7]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[8] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10662), .Q
-       (u_soc_data_wdata[8]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[9] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10661), .Q
-       (u_soc_data_wdata[9]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[10]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10660), .Q
-       (u_soc_data_wdata[10]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[11]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10655), .Q
-       (u_soc_data_wdata[11]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[12]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10659), .Q
-       (u_soc_data_wdata[12]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[13]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10658), .Q
-       (u_soc_data_wdata[13]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[14]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10657), .Q
-       (u_soc_data_wdata[14]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[15]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10656), .Q
-       (u_soc_data_wdata[15]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[16]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10678), .Q
-       (u_soc_data_wdata[16]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[17]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10677), .Q
-       (u_soc_data_wdata[17]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[18]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10676), .Q
-       (u_soc_data_wdata[18]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[19]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10675), .Q
-       (u_soc_data_wdata[19]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[20]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10674), .Q
-       (u_soc_data_wdata[20]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[21]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10673), .Q
-       (u_soc_data_wdata[21]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[22]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10672), .Q
-       (u_soc_data_wdata[22]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[23]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10671), .Q
-       (u_soc_data_wdata[23]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[24]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10670), .Q
-       (u_soc_data_wdata[24]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[25]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10669), .Q
-       (u_soc_data_wdata[25]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[26]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10668), .Q
-       (u_soc_data_wdata[26]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[27]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10667), .Q
-       (u_soc_data_wdata[27]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[28]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10666), .Q
-       (u_soc_data_wdata[28]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[29]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10665), .Q
-       (u_soc_data_wdata[29]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[30]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10664), .Q
-       (u_soc_data_wdata[30]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[31]
-       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10663), .Q
-       (u_soc_data_wdata[31]));
-  sky130_fd_sc_hd__dfrtn_1 u_soc_dccm_adapter_we_o_reg(.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D
-       (u_soc_dccm_adapter_data_weD), .Q (u_soc_data_we));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[0] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10555), .Q
-       (u_soc_data_wmask[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[1] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10547), .Q
-       (u_soc_data_wmask[1]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[2] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10554), .Q
-       (u_soc_data_wmask[2]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[3] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10523), .Q
-       (u_soc_data_wmask[3]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[0]
-       (.RESET_B (n_17934), .CLK_N (wb_clk_i), .D (n_10400), .Q
-       (u_soc_instr_addr[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[1]
-       (.RESET_B (n_17935), .CLK_N (wb_clk_i), .D (n_10399), .Q
-       (u_soc_instr_addr[1]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[2]
-       (.RESET_B (n_17936), .CLK_N (wb_clk_i), .D (n_10398), .Q
-       (u_soc_instr_addr[2]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[3]
-       (.RESET_B (n_17937), .CLK_N (wb_clk_i), .D (n_10397), .Q
-       (u_soc_instr_addr[3]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[4]
-       (.RESET_B (n_17938), .CLK_N (wb_clk_i), .D (n_10396), .Q
-       (u_soc_instr_addr[4]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[5]
-       (.RESET_B (n_17939), .CLK_N (wb_clk_i), .D (n_10395), .Q
-       (u_soc_instr_addr[5]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[6]
-       (.RESET_B (n_17940), .CLK_N (wb_clk_i), .D (n_10551), .Q
-       (u_soc_instr_addr[6]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[7]
-       (.RESET_B (n_17941), .CLK_N (wb_clk_i), .D (n_10558), .Q
-       (u_soc_instr_addr[7]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[8]
-       (.RESET_B (n_17942), .CLK_N (wb_clk_i), .D (n_10559), .Q
-       (u_soc_instr_addr[8]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[9]
-       (.RESET_B (n_17943), .CLK_N (wb_clk_i), .D (n_10561), .Q
-       (u_soc_instr_addr[9]));
-  sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_csbD_reg(.RESET_B
-       (n_17944), .CLK_N (wb_clk_i), .D (n_10340), .Q
-       (u_soc_iccm_adapter_instr_csbD));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[0]
-       (.RESET_B (n_17945), .CLK_N (wb_clk_i), .D (n_8906), .Q
-       (u_soc_instr_wdata[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[1]
-       (.RESET_B (n_17956), .CLK_N (wb_clk_i), .D (n_8889), .Q
-       (u_soc_instr_wdata[1]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[2]
-       (.RESET_B (n_17967), .CLK_N (wb_clk_i), .D (n_8909), .Q
-       (u_soc_instr_wdata[2]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[3]
-       (.RESET_B (n_17970), .CLK_N (wb_clk_i), .D (n_8888), .Q
-       (u_soc_instr_wdata[3]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[4]
-       (.RESET_B (n_17971), .CLK_N (wb_clk_i), .D (n_8894), .Q
-       (u_soc_instr_wdata[4]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[5]
-       (.RESET_B (n_17972), .CLK_N (wb_clk_i), .D (n_8886), .Q
-       (u_soc_instr_wdata[5]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[6]
-       (.RESET_B (n_17973), .CLK_N (wb_clk_i), .D (n_8905), .Q
-       (u_soc_instr_wdata[6]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[7]
-       (.RESET_B (n_17974), .CLK_N (wb_clk_i), .D (n_8899), .Q
-       (u_soc_instr_wdata[7]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[8]
-       (.RESET_B (n_17975), .CLK_N (wb_clk_i), .D (n_8904), .Q
-       (u_soc_instr_wdata[8]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[9]
-       (.RESET_B (n_17976), .CLK_N (wb_clk_i), .D (n_8910), .Q
-       (u_soc_instr_wdata[9]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[10]
-       (.RESET_B (n_17946), .CLK_N (wb_clk_i), .D (n_8831), .Q
-       (u_soc_instr_wdata[10]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[11]
-       (.RESET_B (n_17947), .CLK_N (wb_clk_i), .D (n_8834), .Q
-       (u_soc_instr_wdata[11]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[12]
-       (.RESET_B (n_17948), .CLK_N (wb_clk_i), .D (n_8903), .Q
-       (u_soc_instr_wdata[12]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[13]
-       (.RESET_B (n_17949), .CLK_N (wb_clk_i), .D (n_8828), .Q
-       (u_soc_instr_wdata[13]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[14]
-       (.RESET_B (n_17950), .CLK_N (wb_clk_i), .D (n_8825), .Q
-       (u_soc_instr_wdata[14]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[15]
-       (.RESET_B (n_17951), .CLK_N (wb_clk_i), .D (n_8826), .Q
-       (u_soc_instr_wdata[15]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[16]
-       (.RESET_B (n_17952), .CLK_N (wb_clk_i), .D (n_8902), .Q
-       (u_soc_instr_wdata[16]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[17]
-       (.RESET_B (n_17953), .CLK_N (wb_clk_i), .D (n_8829), .Q
-       (u_soc_instr_wdata[17]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[18]
-       (.RESET_B (n_17954), .CLK_N (wb_clk_i), .D (n_8901), .Q
-       (u_soc_instr_wdata[18]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[19]
-       (.RESET_B (n_17955), .CLK_N (wb_clk_i), .D (n_8891), .Q
-       (u_soc_instr_wdata[19]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[20]
-       (.RESET_B (n_17957), .CLK_N (wb_clk_i), .D (n_8900), .Q
-       (u_soc_instr_wdata[20]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[21]
-       (.RESET_B (n_17958), .CLK_N (wb_clk_i), .D (n_8887), .Q
-       (u_soc_instr_wdata[21]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[22]
-       (.RESET_B (n_17959), .CLK_N (wb_clk_i), .D (n_8890), .Q
-       (u_soc_instr_wdata[22]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[23]
-       (.RESET_B (n_17960), .CLK_N (wb_clk_i), .D (n_8907), .Q
-       (u_soc_instr_wdata[23]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[24]
-       (.RESET_B (n_17961), .CLK_N (wb_clk_i), .D (n_8898), .Q
-       (u_soc_instr_wdata[24]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[25]
-       (.RESET_B (n_17962), .CLK_N (wb_clk_i), .D (n_8908), .Q
-       (u_soc_instr_wdata[25]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[26]
-       (.RESET_B (n_17963), .CLK_N (wb_clk_i), .D (n_8833), .Q
-       (u_soc_instr_wdata[26]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[27]
-       (.RESET_B (n_17964), .CLK_N (wb_clk_i), .D (n_8897), .Q
-       (u_soc_instr_wdata[27]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[28]
-       (.RESET_B (n_17965), .CLK_N (wb_clk_i), .D (n_8892), .Q
-       (u_soc_instr_wdata[28]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[29]
-       (.RESET_B (n_17966), .CLK_N (wb_clk_i), .D (n_8896), .Q
-       (u_soc_instr_wdata[29]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[30]
-       (.RESET_B (n_17968), .CLK_N (wb_clk_i), .D (n_8893), .Q
-       (u_soc_instr_wdata[30]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[31]
-       (.RESET_B (n_17969), .CLK_N (wb_clk_i), .D (n_8895), .Q
-       (u_soc_instr_wdata[31]));
-  sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_weD_reg(.RESET_B
-       (n_17977), .CLK_N (wb_clk_i), .D (n_8944), .Q
-       (u_soc_iccm_adapter_instr_weD));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wmaskD_reg[0]
-       (.RESET_B (n_17978), .CLK_N (wb_clk_i), .D (n_10150), .Q
-       (u_soc_instr_wmask[0]));
-  sky130_fd_sc_hd__dfrtp_1 u_soc_u_top_u_core_core_busy_q_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_10143), .Q
-       (u_soc_u_top_u_core_core_busy_q));
-  sky130_fd_sc_hd__dfrtp_1
-       u_soc_u_top_u_core_fetch_enable_q_reg(.RESET_B (io_out[37]),
-       .CLK (wb_clk_i), .D (n_17987), .Q
-       (u_soc_u_top_u_core_fetch_enable_q));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[0] (.GATE_N
-       (n_10950), .D (n_10946), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[0]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[1] (.GATE_N
-       (n_10950), .D (n_10927), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[1]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[2] (.GATE_N
-       (n_10950), .D (n_10926), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[2]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[3] (.GATE_N
-       (n_10950), .D (n_10943), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[3]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[4] (.GATE_N
-       (n_10950), .D (n_10944), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[4]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[5] (.GATE_N
-       (n_10950), .D (n_10925), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[5]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[6] (.GATE_N
-       (n_10950), .D (n_10924), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[6]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[7] (.GATE_N
-       (n_10950), .D (n_10942), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[7]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[8] (.GATE_N
-       (n_10950), .D (n_10941), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[8]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[9] (.GATE_N
-       (n_10950), .D (n_10940), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[9]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[10] (.GATE_N
-       (n_10950), .D (n_10939), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[10]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[11] (.GATE_N
-       (n_10950), .D (n_10923), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[11]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[12] (.GATE_N
-       (n_10950), .D (n_10938), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[12]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[13] (.GATE_N
-       (n_10950), .D (n_10937), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[13]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[14] (.GATE_N
-       (n_10950), .D (n_10922), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[14]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[15] (.GATE_N
-       (n_10950), .D (n_10936), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[15]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[16] (.GATE_N
-       (n_10950), .D (n_10935), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[16]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[17] (.GATE_N
-       (n_10950), .D (n_10921), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[17]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[18] (.GATE_N
-       (n_10950), .D (n_10920), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[18]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[19] (.GATE_N
-       (n_10950), .D (n_10934), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[19]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[20] (.GATE_N
-       (n_10950), .D (n_10919), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[20]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[21] (.GATE_N
-       (n_10950), .D (n_10933), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[21]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[22] (.GATE_N
-       (n_10950), .D (n_10932), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[22]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[23] (.GATE_N
-       (n_10950), .D (n_10918), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[23]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[24] (.GATE_N
-       (n_10950), .D (n_10931), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[24]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[25] (.GATE_N
-       (n_10950), .D (n_10917), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[25]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[26] (.GATE_N
-       (n_10950), .D (n_10930), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[26]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[27] (.GATE_N
-       (n_10950), .D (n_10916), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[27]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[28] (.GATE_N
-       (n_10950), .D (n_10929), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[28]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[29] (.GATE_N
-       (n_10950), .D (n_10928), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[29]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[30] (.GATE_N
-       (n_10950), .D (n_10945), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[30]));
-  sky130_fd_sc_hd__dlxtn_1
-       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[31] (.GATE_N
-       (n_10950), .D (n_10949), .Q
-       (u_soc_u_uart_u_uart_core_rx_val[31]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[1] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10915), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[0]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[2] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10914), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[1]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[3] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10913), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[2]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[4] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10912), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[3]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[5] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10911), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[4]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[6] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10910), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[5]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[7] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10952), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[6]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[8] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10909), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_data[8]));
-  sky130_fd_sc_hd__o21ai_1 g339741(.A1 (n_13379), .A2 (n_10965), .B1
-       (n_10962), .Y (u_soc_u_top_u_core_id_stage_i_csr_pipe_flush));
-  sky130_fd_sc_hd__o21a_1 g339742(.A1
-       (u_soc_u_top_u_core_id_stage_i_illegal_insn_dec), .A2
-       (u_soc_u_top_u_core_illegal_csr_insn_id), .B1
-       (u_soc_u_top_u_core_instr_valid_id), .X
-       (u_soc_u_top_u_core_id_stage_i_n_932));
-  sky130_fd_sc_hd__nand4_1 g339743(.A (n_10964), .B
-       (u_soc_u_top_u_core_instr_rdata_id[30]), .C
-       (u_soc_u_top_u_core_instr_rdata_id[27]), .D
-       (u_soc_u_top_u_core_instr_rdata_id[25]), .Y (n_10965));
-  sky130_fd_sc_hd__a21o_1 g339744(.A1 (n_10963), .A2
-       (u_soc_u_top_u_core_csr_access), .B1 (n_8956), .X
-       (u_soc_u_top_u_core_illegal_csr_insn_id));
-  sky130_fd_sc_hd__nor4_1 g339745(.A
-       (u_soc_u_top_u_core_instr_rdata_id[22]), .B (n_8991), .C
-       (n_13338), .D (n_10960), .Y (n_10964));
-  sky130_fd_sc_hd__o211ai_1 g339746(.A1
-       (u_soc_u_top_u_core_debug_mode), .A2 (n_13485), .B1 (n_10901),
-       .C1 (n_10961), .Y (n_10963));
-  sky130_fd_sc_hd__nand4bb_1 g339747(.A_N (n_8991), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[30]), .C (n_10960), .D
-       (n_15889), .Y (n_10962));
-  sky130_fd_sc_hd__nand3b_1 g339748(.A_N (n_13379), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_10961));
-  sky130_fd_sc_hd__a21oi_1 g339749(.A1 (u_soc_u_top_u_core_csr_op[1]),
-       .A2 (u_soc_u_top_u_core_csr_op[0]), .B1 (n_13379), .Y (n_10960));
-  sky130_fd_sc_hd__o2111ai_1 g339750(.A1
-       (u_soc_u_top_u_core_csr_op[0]), .A2
-       (u_soc_u_top_u_core_csr_op[1]), .B1
-       (u_soc_u_top_u_core_csr_access), .C1
-       (u_soc_u_top_u_core_ready_wb), .D1 (n_475), .Y (n_13379));
-  sky130_fd_sc_hd__nor2_1 g339751(.A (u_soc_u_top_u_core_ready_wb), .B
-       (n_13380), .Y (u_soc_u_top_u_core_id_stage_i_stall_wb));
-  sky130_fd_sc_hd__or3_1 g339753(.A
-       (u_soc_u_top_u_core_id_stage_i_flush_id), .B (n_13885), .C
-       (u_soc_u_top_u_core_id_stage_i_stall_id), .X (n_13380));
-  sky130_fd_sc_hd__o2111ai_1 g339754(.A1
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .A2 (n_13874), .B1
-       (n_16009), .C1 (n_10955), .D1 (n_10958), .Y
-       (u_soc_u_top_u_core_id_stage_i_stall_id));
-  sky130_fd_sc_hd__nand3b_1 g339755(.A_N (n_13885), .B (n_10957), .C
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Y (n_10958));
-  sky130_fd_sc_hd__o32a_1 g339756(.A1
-       (u_soc_u_top_u_core_id_stage_i_branch_in_dec), .A2
-       (u_soc_u_top_u_core_id_stage_i_jump_in_dec), .A3 (n_13381), .B1
-       (n_13488), .B2 (n_10956), .X (n_10957));
-  sky130_fd_sc_hd__a2bb2oi_1 g339758(.A1_N
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .A2_N (n_13486),
-       .B1 (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .B2 (n_10955),
-       .Y (n_10956));
-  sky130_fd_sc_hd__nand2_1 g339759(.A (n_10954), .B
-       (u_soc_u_top_u_core_instr_valid_id), .Y (n_10955));
-  sky130_fd_sc_hd__nand2_1 g339760(.A (n_10953), .B (n_13453), .Y
-       (n_10954));
-  sky130_fd_sc_hd__o41ai_1 g339761(.A1 (n_8996), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_n_939), .A3
-       (u_soc_u_top_u_core_load_store_unit_i_n_937), .A4
-       (u_soc_u_top_u_core_load_store_unit_i_n_938), .B1
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .Y (n_10953));
-  sky130_fd_sc_hd__nand2_1 g339763(.A
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .B
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .Y
-       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed));
-  sky130_fd_sc_hd__nand2_1 g339764(.A (n_10951), .B (n_13375), .Y
-       (u_soc_u_top_u_core_load_store_unit_i_n_938));
-  sky130_fd_sc_hd__o221ai_1 g339765(.A1 (n_8871), .A2 (n_10517), .B1
-       (n_13346), .B2 (n_10782), .C1 (n_10908), .Y (n_10952));
-  sky130_fd_sc_hd__o211ai_1 g339766(.A1 (n_13466), .A2 (n_13382), .B1
-       (n_13369), .C1 (n_10947), .Y
-       (u_soc_u_top_u_core_load_store_unit_i_n_939));
-  sky130_fd_sc_hd__o31ai_1 g339767(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .A2
-       (n_8993), .A3 (n_10907), .B1 (n_10948), .Y
-       (u_soc_u_top_u_core_load_store_unit_i_n_937));
-  sky130_fd_sc_hd__a221oi_1 g339768(.A1 (n_13377), .A2 (n_9964), .B1
-       (n_10907), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .C1
-       (n_10903), .Y (n_10951));
-  sky130_fd_sc_hd__mux2_2 g339769(.A0
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       [1]), .A1 (n_13609), .S (u_soc_u_top_u_core_pc_if[1]), .X
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]));
-  sky130_fd_sc_hd__mux2_2 g339770(.A0
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       [0]), .A1 (n_13608), .S (u_soc_u_top_u_core_pc_if[1]), .X
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]));
-  sky130_fd_sc_hd__a221o_1 g339810(.A1 (n_10811), .A2 (n_9002), .B1
-       (n_10813), .B2 (n_8999), .C1 (n_10899), .X (n_10949));
-  sky130_fd_sc_hd__nand2_1 g339811(.A (n_10907), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
-       (n_10948));
-  sky130_fd_sc_hd__nand2_1 g339812(.A (n_10907), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
-       (n_10947));
-  sky130_fd_sc_hd__o221ai_1 g339813(.A1 (n_9003), .A2 (n_10816), .B1
-       (n_9001), .B2 (n_10819), .C1 (n_10884), .Y (n_10946));
-  sky130_fd_sc_hd__o221ai_1 g339814(.A1 (n_9001), .A2 (n_10817), .B1
-       (n_9003), .B2 (n_10818), .C1 (n_10885), .Y (n_10945));
-  sky130_fd_sc_hd__o221ai_1 g339815(.A1 (n_9001), .A2 (n_10775), .B1
-       (n_9000), .B2 (n_10777), .C1 (n_10882), .Y (n_10944));
-  sky130_fd_sc_hd__o221ai_1 g339816(.A1 (n_9001), .A2 (n_10783), .B1
-       (n_9000), .B2 (n_10785), .C1 (n_10883), .Y (n_10943));
-  sky130_fd_sc_hd__o221ai_1 g339817(.A1 (n_9001), .A2 (n_10764), .B1
-       (n_9003), .B2 (n_10765), .C1 (n_10881), .Y (n_10942));
-  sky130_fd_sc_hd__o221ai_1 g339818(.A1 (n_9001), .A2 (n_10761), .B1
-       (n_9003), .B2 (n_10762), .C1 (n_10880), .Y (n_10941));
-  sky130_fd_sc_hd__o221ai_1 g339819(.A1 (n_9001), .A2 (n_10758), .B1
-       (n_9000), .B2 (n_10759), .C1 (n_10879), .Y (n_10940));
-  sky130_fd_sc_hd__o221ai_1 g339820(.A1 (n_9001), .A2 (n_10755), .B1
-       (n_9003), .B2 (n_10756), .C1 (n_10878), .Y (n_10939));
-  sky130_fd_sc_hd__o221ai_1 g339821(.A1 (n_9000), .A2 (n_10748), .B1
-       (n_9001), .B2 (n_10749), .C1 (n_10877), .Y (n_10938));
-  sky130_fd_sc_hd__o221ai_1 g339822(.A1 (n_9001), .A2 (n_10745), .B1
-       (n_9003), .B2 (n_10746), .C1 (n_10876), .Y (n_10937));
-  sky130_fd_sc_hd__o221ai_1 g339823(.A1 (n_9001), .A2 (n_10738), .B1
-       (n_9000), .B2 (n_10739), .C1 (n_10875), .Y (n_10936));
-  sky130_fd_sc_hd__o221ai_1 g339824(.A1 (n_9001), .A2 (n_10735), .B1
-       (n_9003), .B2 (n_10736), .C1 (n_10874), .Y (n_10935));
-  sky130_fd_sc_hd__o221ai_1 g339825(.A1 (n_9001), .A2 (n_10724), .B1
-       (n_9000), .B2 (n_10725), .C1 (n_10873), .Y (n_10934));
-  sky130_fd_sc_hd__o221ai_1 g339826(.A1 (n_9001), .A2 (n_10717), .B1
-       (n_9003), .B2 (n_10718), .C1 (n_10872), .Y (n_10933));
-  sky130_fd_sc_hd__o221ai_1 g339827(.A1 (n_9001), .A2 (n_10714), .B1
-       (n_9000), .B2 (n_10715), .C1 (n_10871), .Y (n_10932));
-  sky130_fd_sc_hd__o221ai_1 g339828(.A1 (n_9001), .A2 (n_10707), .B1
-       (n_9000), .B2 (n_10708), .C1 (n_10870), .Y (n_10931));
-  sky130_fd_sc_hd__o221ai_1 g339829(.A1 (n_9001), .A2 (n_10700), .B1
-       (n_9003), .B2 (n_10701), .C1 (n_10869), .Y (n_10930));
-  sky130_fd_sc_hd__o221ai_1 g339830(.A1 (n_9001), .A2 (n_10825), .B1
-       (n_9000), .B2 (n_10826), .C1 (n_10868), .Y (n_10929));
-  sky130_fd_sc_hd__a221o_1 g339831(.A1 (n_10821), .A2 (n_9002), .B1
-       (n_10822), .B2 (n_8999), .C1 (n_10900), .X (n_10928));
-  sky130_fd_sc_hd__a21o_1 g339832(.A1 (n_15903), .A2
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B1 (n_13324), .X
-       (n_10950));
-  sky130_fd_sc_hd__a221o_1 g339833(.A1 (n_10834), .A2 (n_9002), .B1
-       (n_10831), .B2 (n_8999), .C1 (n_10898), .X (n_10927));
-  sky130_fd_sc_hd__a221o_1 g339834(.A1 (n_10792), .A2 (n_9002), .B1
-       (n_10795), .B2 (n_8999), .C1 (n_10897), .X (n_10926));
-  sky130_fd_sc_hd__a221o_1 g339835(.A1 (n_10771), .A2 (n_9002), .B1
-       (n_10772), .B2 (n_8999), .C1 (n_10896), .X (n_10925));
-  sky130_fd_sc_hd__a221o_1 g339836(.A1 (n_10767), .A2 (n_9002), .B1
-       (n_10768), .B2 (n_8999), .C1 (n_10906), .X (n_10924));
-  sky130_fd_sc_hd__a221o_1 g339837(.A1 (n_10751), .A2 (n_9002), .B1
-       (n_10752), .B2 (n_8999), .C1 (n_10894), .X (n_10923));
-  sky130_fd_sc_hd__a221o_1 g339838(.A1 (n_10741), .A2 (n_9002), .B1
-       (n_10742), .B2 (n_8999), .C1 (n_10893), .X (n_10922));
-  sky130_fd_sc_hd__a221o_1 g339839(.A1 (n_10731), .A2 (n_9002), .B1
-       (n_10732), .B2 (n_8999), .C1 (n_10892), .X (n_10921));
-  sky130_fd_sc_hd__a221o_1 g339840(.A1 (n_10727), .A2 (n_9002), .B1
-       (n_10728), .B2 (n_8999), .C1 (n_10891), .X (n_10920));
-  sky130_fd_sc_hd__a221o_1 g339841(.A1 (n_10720), .A2 (n_9002), .B1
-       (n_10721), .B2 (n_8999), .C1 (n_10890), .X (n_10919));
-  sky130_fd_sc_hd__a221o_1 g339842(.A1 (n_10710), .A2 (n_9002), .B1
-       (n_10711), .B2 (n_8999), .C1 (n_10889), .X (n_10918));
-  sky130_fd_sc_hd__a221o_1 g339843(.A1 (n_10703), .A2 (n_9002), .B1
-       (n_10704), .B2 (n_8999), .C1 (n_10888), .X (n_10917));
-  sky130_fd_sc_hd__a221o_1 g339844(.A1 (n_10696), .A2 (n_9002), .B1
-       (n_10697), .B2 (n_8999), .C1 (n_10895), .X (n_10916));
-  sky130_fd_sc_hd__a221o_1 g339845(.A1 (n_10806), .A2 (n_8870), .B1
-       (n_10808), .B2 (n_8935), .C1 (n_10902), .X (n_10915));
-  sky130_fd_sc_hd__a221o_1 g339846(.A1 (n_10832), .A2 (n_8870), .B1
-       (n_10829), .B2 (n_8935), .C1 (n_10904), .X (n_10914));
-  sky130_fd_sc_hd__a221o_1 g339847(.A1 (n_10799), .A2 (n_8870), .B1
-       (n_10801), .B2 (n_8935), .C1 (n_10865), .X (n_10913));
-  sky130_fd_sc_hd__o221ai_1 g339848(.A1 (n_8871), .A2 (n_10796), .B1
-       (n_8936), .B2 (n_10797), .C1 (n_10867), .Y (n_10912));
-  sky130_fd_sc_hd__a221o_1 g339849(.A1 (n_10789), .A2 (n_8870), .B1
-       (n_10790), .B2 (n_8935), .C1 (n_10886), .X (n_10911));
-  sky130_fd_sc_hd__o221ai_1 g339850(.A1 (n_8936), .A2 (n_10786), .B1
-       (n_8871), .B2 (n_10788), .C1 (n_10866), .Y (n_10910));
-  sky130_fd_sc_hd__o221ai_1 g339851(.A1 (n_8871), .A2 (n_10778), .B1
-       (n_8936), .B2 (n_10780), .C1 (n_10887), .Y (n_10909));
-  sky130_fd_sc_hd__a21oi_1 g339852(.A1 (n_10781), .A2 (n_8870), .B1
-       (n_10905), .Y (n_10908));
-  sky130_fd_sc_hd__o2bb2ai_1 g339853(.A1_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [17]), .A2_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B2 (n_10861), .Y (n_13609));
-  sky130_fd_sc_hd__o2bb2ai_1 g339854(.A1_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [0]), .A2_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B2 (n_10862), .Y
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       [0]));
-  sky130_fd_sc_hd__o2bb2ai_1 g339855(.A1_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [16]), .A2_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B2 (n_10863), .Y (n_13608));
-  sky130_fd_sc_hd__o2bb2ai_1 g339856(.A1_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [1]), .A2_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B2 (n_10864), .Y
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       [1]));
-  sky130_fd_sc_hd__o22ai_1 g339857(.A1 (n_9000), .A2 (n_10769), .B1
-       (n_9003), .B2 (n_10770), .Y (n_10906));
-  sky130_fd_sc_hd__a21oi_1 g339858(.A1 (n_10695), .A2 (n_9409), .B1
-       (n_8936), .Y (n_10905));
-  sky130_fd_sc_hd__o22ai_1 g339859(.A1 (n_8871), .A2 (n_10803), .B1
-       (n_13346), .B2 (n_10805), .Y (n_10904));
-  sky130_fd_sc_hd__nor2_1 g339860(.A (n_13367), .B (n_13377), .Y
-       (n_10903));
-  sky130_fd_sc_hd__o22ai_1 g339861(.A1 (n_8871), .A2 (n_10809), .B1
-       (n_13346), .B2 (n_10810), .Y (n_10902));
-  sky130_fd_sc_hd__o2111ai_1 g339862(.A1 (n_13473), .A2 (n_9351), .B1
-       (n_10151), .C1 (n_13483), .D1 (n_10830), .Y (n_10901));
-  sky130_fd_sc_hd__o22ai_1 g339863(.A1 (n_9000), .A2 (n_10823), .B1
-       (n_9003), .B2 (n_10824), .Y (n_10900));
-  sky130_fd_sc_hd__o22ai_1 g339864(.A1 (n_9000), .A2 (n_10814), .B1
-       (n_9003), .B2 (n_10815), .Y (n_10899));
-  sky130_fd_sc_hd__o22ai_1 g339865(.A1 (n_9000), .A2 (n_10804), .B1
-       (n_9003), .B2 (n_10807), .Y (n_10898));
-  sky130_fd_sc_hd__o22ai_1 g339866(.A1 (n_9000), .A2 (n_10798), .B1
-       (n_9003), .B2 (n_10800), .Y (n_10897));
-  sky130_fd_sc_hd__o22ai_1 g339867(.A1 (n_9000), .A2 (n_10773), .B1
-       (n_9003), .B2 (n_10774), .Y (n_10896));
-  sky130_fd_sc_hd__o22ai_1 g339868(.A1 (n_9000), .A2 (n_10698), .B1
-       (n_9003), .B2 (n_10699), .Y (n_10895));
-  sky130_fd_sc_hd__o22ai_1 g339869(.A1 (n_9000), .A2 (n_10753), .B1
-       (n_9003), .B2 (n_10754), .Y (n_10894));
-  sky130_fd_sc_hd__o22ai_1 g339870(.A1 (n_9000), .A2 (n_10743), .B1
-       (n_9003), .B2 (n_10744), .Y (n_10893));
-  sky130_fd_sc_hd__o22ai_1 g339871(.A1 (n_9000), .A2 (n_10733), .B1
-       (n_9003), .B2 (n_10734), .Y (n_10892));
-  sky130_fd_sc_hd__o22ai_1 g339872(.A1 (n_9000), .A2 (n_10729), .B1
-       (n_9003), .B2 (n_10730), .Y (n_10891));
-  sky130_fd_sc_hd__o22ai_1 g339873(.A1 (n_9000), .A2 (n_10722), .B1
-       (n_9003), .B2 (n_10723), .Y (n_10890));
-  sky130_fd_sc_hd__o22ai_1 g339874(.A1 (n_9000), .A2 (n_10712), .B1
-       (n_9003), .B2 (n_10713), .Y (n_10889));
-  sky130_fd_sc_hd__o22ai_1 g339875(.A1 (n_9000), .A2 (n_10705), .B1
-       (n_9003), .B2 (n_10706), .Y (n_10888));
-  sky130_fd_sc_hd__or2_1 g339876(.A (u_soc_u_top_data_we), .B
-       (n_13490), .X (n_13324));
-  sky130_fd_sc_hd__nand2_1 g339877(.A (n_13377), .B (n_13491), .Y
-       (n_13369));
-  sky130_fd_sc_hd__a21oi_1 g339878(.A1 (n_13382), .A2 (n_13465), .B1
-       (n_8993), .Y (n_10907));
-  sky130_fd_sc_hd__a21oi_1 g339879(.A1 (n_10776), .A2 (n_8870), .B1
-       (n_10851), .Y (n_10887));
-  sky130_fd_sc_hd__o22ai_1 g339880(.A1 (n_8871), .A2 (n_10791), .B1
-       (n_13346), .B2 (n_10793), .Y (n_10886));
-  sky130_fd_sc_hd__a21oi_1 g339881(.A1 (n_10820), .A2 (n_8999), .B1
-       (n_10857), .Y (n_10885));
-  sky130_fd_sc_hd__a21oi_1 g339882(.A1 (n_10812), .A2 (n_8999), .B1
-       (n_10856), .Y (n_10884));
-  sky130_fd_sc_hd__a21oi_1 g339883(.A1 (n_10787), .A2 (n_8999), .B1
-       (n_10854), .Y (n_10883));
-  sky130_fd_sc_hd__a21oi_1 g339884(.A1 (n_10779), .A2 (n_8999), .B1
-       (n_10852), .Y (n_10882));
-  sky130_fd_sc_hd__a21oi_1 g339885(.A1 (n_10766), .A2 (n_8999), .B1
-       (n_10850), .Y (n_10881));
-  sky130_fd_sc_hd__a21oi_1 g339886(.A1 (n_10763), .A2 (n_8999), .B1
-       (n_10849), .Y (n_10880));
-  sky130_fd_sc_hd__a21oi_1 g339887(.A1 (n_10760), .A2 (n_8999), .B1
-       (n_10860), .Y (n_10879));
-  sky130_fd_sc_hd__a21oi_1 g339888(.A1 (n_10757), .A2 (n_8999), .B1
-       (n_10848), .Y (n_10878));
-  sky130_fd_sc_hd__a21oi_1 g339889(.A1 (n_10750), .A2 (n_8999), .B1
-       (n_10847), .Y (n_10877));
-  sky130_fd_sc_hd__a21oi_1 g339890(.A1 (n_10747), .A2 (n_8999), .B1
-       (n_10846), .Y (n_10876));
-  sky130_fd_sc_hd__a21oi_1 g339891(.A1 (n_10740), .A2 (n_8999), .B1
-       (n_10845), .Y (n_10875));
-  sky130_fd_sc_hd__a21oi_1 g339892(.A1 (n_10737), .A2 (n_8999), .B1
-       (n_10844), .Y (n_10874));
-  sky130_fd_sc_hd__a21oi_1 g339893(.A1 (n_10726), .A2 (n_8999), .B1
-       (n_10843), .Y (n_10873));
-  sky130_fd_sc_hd__a21oi_1 g339894(.A1 (n_10719), .A2 (n_8999), .B1
-       (n_10842), .Y (n_10872));
-  sky130_fd_sc_hd__a21oi_1 g339895(.A1 (n_10716), .A2 (n_8999), .B1
-       (n_10841), .Y (n_10871));
-  sky130_fd_sc_hd__a21oi_1 g339896(.A1 (n_10709), .A2 (n_8999), .B1
-       (n_10840), .Y (n_10870));
-  sky130_fd_sc_hd__a21oi_1 g339897(.A1 (n_10702), .A2 (n_8999), .B1
-       (n_10858), .Y (n_10869));
-  sky130_fd_sc_hd__a21oi_1 g339898(.A1 (n_10827), .A2 (n_8999), .B1
-       (n_10859), .Y (n_10868));
-  sky130_fd_sc_hd__a21oi_1 g339899(.A1 (n_10794), .A2 (n_8870), .B1
-       (n_10855), .Y (n_10867));
-  sky130_fd_sc_hd__a21oi_1 g339900(.A1 (n_10784), .A2 (n_8870), .B1
-       (n_10853), .Y (n_10866));
-  sky130_fd_sc_hd__o22ai_1 g339901(.A1 (n_8871), .A2 (n_10802), .B1
-       (n_13346), .B2 (n_10833), .Y (n_10865));
-  sky130_fd_sc_hd__nor2b_1 g339902(.A (n_10837), .B_N
-       (u_soc_instr_addr[4]), .Y (u_soc_u_iccm_addr4[4]));
-  sky130_fd_sc_hd__nand2_1 g339903(.A (n_17394), .B
-       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb3));
-  sky130_fd_sc_hd__nand2_1 g339904(.A (n_10835), .B
-       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb1));
-  sky130_fd_sc_hd__nand2_1 g339905(.A (n_10836), .B
-       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb4));
-  sky130_fd_sc_hd__nand2_1 g339906(.A (n_17393), .B
-       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb2));
-  sky130_fd_sc_hd__and2_1 g339907(.A (n_17393), .B
-       (u_soc_instr_addr[7]), .X (u_soc_u_iccm_addr2[7]));
-  sky130_fd_sc_hd__and2_1 g339908(.A (n_17393), .B
-       (u_soc_instr_addr[6]), .X (u_soc_u_iccm_addr2[6]));
-  sky130_fd_sc_hd__and2_1 g339909(.A (n_17393), .B
-       (u_soc_instr_addr[5]), .X (u_soc_u_iccm_addr2[5]));
-  sky130_fd_sc_hd__and2_1 g339910(.A (n_17393), .B
-       (u_soc_instr_addr[4]), .X (u_soc_u_iccm_addr2[4]));
-  sky130_fd_sc_hd__and2_1 g339911(.A (n_17393), .B
-       (u_soc_instr_addr[3]), .X (u_soc_u_iccm_addr2[3]));
-  sky130_fd_sc_hd__and2_1 g339912(.A (n_17393), .B
-       (u_soc_instr_addr[2]), .X (u_soc_u_iccm_addr2[2]));
-  sky130_fd_sc_hd__and2_1 g339913(.A (n_17393), .B
-       (u_soc_instr_addr[1]), .X (u_soc_u_iccm_addr2[1]));
-  sky130_fd_sc_hd__and2_1 g339914(.A (n_17393), .B
-       (u_soc_instr_addr[0]), .X (u_soc_u_iccm_addr2[0]));
-  sky130_fd_sc_hd__nor2b_1 g339915(.A (n_10837), .B_N
-       (u_soc_instr_addr[7]), .Y (u_soc_u_iccm_addr4[7]));
-  sky130_fd_sc_hd__nor2b_1 g339916(.A (n_10837), .B_N
-       (u_soc_instr_addr[5]), .Y (u_soc_u_iccm_addr4[5]));
-  sky130_fd_sc_hd__nor2b_1 g339917(.A (n_10837), .B_N
-       (u_soc_instr_addr[6]), .Y (u_soc_u_iccm_addr4[6]));
-  sky130_fd_sc_hd__nor2b_1 g339919(.A (n_10837), .B_N
-       (u_soc_instr_addr[3]), .Y (u_soc_u_iccm_addr4[3]));
-  sky130_fd_sc_hd__nor2b_1 g339920(.A (n_10837), .B_N
-       (u_soc_instr_addr[2]), .Y (u_soc_u_iccm_addr4[2]));
-  sky130_fd_sc_hd__nor2b_1 g339921(.A (n_10837), .B_N
-       (u_soc_instr_addr[1]), .Y (u_soc_u_iccm_addr4[1]));
-  sky130_fd_sc_hd__nor2b_1 g339922(.A (n_10837), .B_N
-       (u_soc_instr_addr[0]), .Y (u_soc_u_iccm_addr4[0]));
-  sky130_fd_sc_hd__and2_1 g339923(.A (n_10835), .B
-       (u_soc_instr_addr[7]), .X (u_soc_u_iccm_addr1[7]));
-  sky130_fd_sc_hd__and2_1 g339924(.A (n_10835), .B
-       (u_soc_instr_addr[6]), .X (u_soc_u_iccm_addr1[6]));
-  sky130_fd_sc_hd__and2_1 g339925(.A (n_10835), .B
-       (u_soc_instr_addr[5]), .X (u_soc_u_iccm_addr1[5]));
-  sky130_fd_sc_hd__and2_1 g339926(.A (n_10835), .B
-       (u_soc_instr_addr[4]), .X (u_soc_u_iccm_addr1[4]));
-  sky130_fd_sc_hd__and2_1 g339927(.A (n_10835), .B
-       (u_soc_instr_addr[3]), .X (u_soc_u_iccm_addr1[3]));
-  sky130_fd_sc_hd__and2_1 g339928(.A (n_10835), .B
-       (u_soc_instr_addr[2]), .X (u_soc_u_iccm_addr1[2]));
-  sky130_fd_sc_hd__and2_1 g339929(.A (n_10835), .B
-       (u_soc_instr_addr[1]), .X (u_soc_u_iccm_addr1[1]));
-  sky130_fd_sc_hd__and2_1 g339930(.A (n_10835), .B
-       (u_soc_instr_addr[0]), .X (u_soc_u_iccm_addr1[0]));
-  sky130_fd_sc_hd__and2_1 g339931(.A (n_17394), .B
-       (u_soc_instr_addr[7]), .X (u_soc_u_iccm_addr3[7]));
-  sky130_fd_sc_hd__and2_1 g339932(.A (n_17394), .B
-       (u_soc_instr_addr[6]), .X (u_soc_u_iccm_addr3[6]));
-  sky130_fd_sc_hd__and2_1 g339933(.A (n_17394), .B
-       (u_soc_instr_addr[5]), .X (u_soc_u_iccm_addr3[5]));
-  sky130_fd_sc_hd__clkinv_1 g339934(.A (n_10864), .Y
-       (\u_soc_iccm_to_xbar[d_data] [1]));
-  sky130_fd_sc_hd__inv_1 g339935(.A (n_10863), .Y
-       (\u_soc_iccm_to_xbar[d_data] [16]));
-  sky130_fd_sc_hd__clkinv_1 g339936(.A (n_10862), .Y
-       (\u_soc_iccm_to_xbar[d_data] [0]));
-  sky130_fd_sc_hd__inv_1 g339937(.A (n_10861), .Y
-       (\u_soc_iccm_to_xbar[d_data] [17]));
-  sky130_fd_sc_hd__a41oi_1 g339938(.A1 (n_10543), .A2 (n_9551), .A3
-       (n_9552), .A4 (n_9553), .B1 (n_9003), .Y (n_10860));
-  sky130_fd_sc_hd__and2_1 g339939(.A (n_17394), .B
-       (u_soc_instr_addr[3]), .X (u_soc_u_iccm_addr3[3]));
-  sky130_fd_sc_hd__and2_1 g339940(.A (n_17394), .B
-       (u_soc_instr_addr[1]), .X (u_soc_u_iccm_addr3[1]));
-  sky130_fd_sc_hd__and2_1 g339941(.A (n_17394), .B
-       (u_soc_instr_addr[2]), .X (u_soc_u_iccm_addr3[2]));
-  sky130_fd_sc_hd__and2_1 g339942(.A (n_17394), .B
-       (u_soc_instr_addr[0]), .X (u_soc_u_iccm_addr3[0]));
-  sky130_fd_sc_hd__a41oi_1 g339943(.A1 (n_10401), .A2 (n_9356), .A3
-       (n_9355), .A4 (n_9357), .B1 (n_9003), .Y (n_10859));
-  sky130_fd_sc_hd__a41oi_1 g339944(.A1 (n_10409), .A2 (n_9377), .A3
-       (n_9376), .A4 (n_9375), .B1 (n_9000), .Y (n_10858));
-  sky130_fd_sc_hd__a41oi_1 g339945(.A1 (n_10474), .A2 (n_9727), .A3
-       (n_9725), .A4 (n_9726), .B1 (n_9000), .Y (n_10857));
-  sky130_fd_sc_hd__a41oi_1 g339946(.A1 (n_10390), .A2 (n_9701), .A3
-       (n_9473), .A4 (n_9453), .B1 (n_9000), .Y (n_10856));
-  sky130_fd_sc_hd__a41oi_1 g339947(.A1 (n_10499), .A2 (n_9657), .A3
-       (n_9659), .A4 (n_9658), .B1 (n_13346), .Y (n_10855));
-  sky130_fd_sc_hd__a41oi_1 g339948(.A1 (n_10381), .A2 (n_9642), .A3
-       (n_9641), .A4 (n_9637), .B1 (n_9003), .Y (n_10854));
-  sky130_fd_sc_hd__a41oi_1 g339949(.A1 (n_10491), .A2 (n_9628), .A3
-       (n_9630), .A4 (n_9629), .B1 (n_13346), .Y (n_10853));
-  sky130_fd_sc_hd__a41oi_1 g339950(.A1 (n_10377), .A2 (n_9613), .A3
-       (n_9617), .A4 (n_9615), .B1 (n_9003), .Y (n_10852));
-  sky130_fd_sc_hd__a41oi_1 g339951(.A1 (n_10484), .A2 (n_9606), .A3
-       (n_9607), .A4 (n_9605), .B1 (n_13346), .Y (n_10851));
-  sky130_fd_sc_hd__a41oi_1 g339952(.A1 (n_10365), .A2 (n_9573), .A3
-       (n_9574), .A4 (n_9575), .B1 (n_9000), .Y (n_10850));
-  sky130_fd_sc_hd__a41oi_1 g339953(.A1 (n_10525), .A2 (n_9564), .A3
-       (n_9562), .A4 (n_9565), .B1 (n_9000), .Y (n_10849));
-  sky130_fd_sc_hd__and2_1 g339954(.A (n_17394), .B
-       (u_soc_instr_addr[4]), .X (u_soc_u_iccm_addr3[4]));
-  sky130_fd_sc_hd__a41oi_1 g339955(.A1 (n_10468), .A2 (n_9542), .A3
-       (n_9540), .A4 (n_9541), .B1 (n_9000), .Y (n_10848));
-  sky130_fd_sc_hd__a41oi_1 g339956(.A1 (n_10460), .A2 (n_9522), .A3
-       (n_9520), .A4 (n_9521), .B1 (n_9003), .Y (n_10847));
-  sky130_fd_sc_hd__a41oi_1 g339957(.A1 (n_10457), .A2 (n_9512), .A3
-       (n_9510), .A4 (n_9511), .B1 (n_9000), .Y (n_10846));
-  sky130_fd_sc_hd__a41oi_1 g339958(.A1 (n_10449), .A2 (n_9489), .A3
-       (n_9490), .A4 (n_9491), .B1 (n_9003), .Y (n_10845));
-  sky130_fd_sc_hd__a41oi_1 g339959(.A1 (n_10444), .A2 (n_9478), .A3
-       (n_9476), .A4 (n_9477), .B1 (n_9000), .Y (n_10844));
-  sky130_fd_sc_hd__a41oi_1 g339960(.A1 (n_10433), .A2 (n_9449), .A3
-       (n_9448), .A4 (n_9447), .B1 (n_9003), .Y (n_10843));
-  sky130_fd_sc_hd__a41oi_1 g339961(.A1 (n_10424), .A2 (n_9425), .A3
-       (n_9424), .A4 (n_9426), .B1 (n_9000), .Y (n_10842));
-  sky130_fd_sc_hd__a41oi_1 g339962(.A1 (n_10421), .A2 (n_9417), .A3
-       (n_9418), .A4 (n_9419), .B1 (n_9003), .Y (n_10841));
-  sky130_fd_sc_hd__a41oi_1 g339963(.A1 (n_10360), .A2 (n_9397), .A3
-       (n_9398), .A4 (n_9396), .B1 (n_9003), .Y (n_10840));
-  sky130_fd_sc_hd__nand2_1 g339964(.A (n_13382), .B (n_13383), .Y
-       (n_13375));
-  sky130_fd_sc_hd__or4b_1 g339965(.A (\u_soc_xbar_to_dccm[a_address]
-       [2]), .B (n_13378), .C (n_13558), .D_N
-       (\u_soc_xbar_to_dccm[a_address] [3]), .X (n_13490));
-  sky130_fd_sc_hd__a222oi_1 g339966(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]), .A2 (n_13494), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]), .C1 (n_13492), .C2 (n_10334), .Y (n_10864));
-  sky130_fd_sc_hd__a222oi_1 g339967(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [17]), .A2 (n_13494), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [17]), .C1 (n_13492), .C2 (n_10335), .Y (n_10863));
-  sky130_fd_sc_hd__a222oi_1 g339968(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]), .A2 (n_13494), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]), .C1 (n_13492), .C2 (n_10336), .Y (n_10862));
-  sky130_fd_sc_hd__a222oi_1 g339969(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [18]), .A2 (n_13494), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [18]), .C1 (n_13492), .C2 (n_10337), .Y (n_10861));
-  sky130_fd_sc_hd__nand2_1 g339970(.A (n_13382), .B (n_9349), .Y
-       (n_13377));
-  sky130_fd_sc_hd__inv_1 g339971(.A (n_10837), .Y (n_10836));
-  sky130_fd_sc_hd__and2_1 g340007(.A (n_17395), .B
-       (u_soc_data_addr[1]), .X (u_soc_u_dccm_addr2[1]));
-  sky130_fd_sc_hd__and2_1 g340008(.A (n_17395), .B
-       (u_soc_data_addr[2]), .X (u_soc_u_dccm_addr2[2]));
-  sky130_fd_sc_hd__and2_1 g340009(.A (n_17395), .B
-       (u_soc_data_addr[0]), .X (u_soc_u_dccm_addr2[0]));
-  sky130_fd_sc_hd__and2_1 g340010(.A (n_17396), .B
-       (u_soc_data_addr[7]), .X (u_soc_u_dccm_addr3[7]));
-  sky130_fd_sc_hd__and2_1 g340011(.A (n_17396), .B
-       (u_soc_data_addr[6]), .X (u_soc_u_dccm_addr3[6]));
-  sky130_fd_sc_hd__and2_1 g340012(.A (n_17396), .B
-       (u_soc_data_addr[5]), .X (u_soc_u_dccm_addr3[5]));
-  sky130_fd_sc_hd__and2_1 g340013(.A (n_17396), .B
-       (u_soc_data_addr[3]), .X (u_soc_u_dccm_addr3[3]));
-  sky130_fd_sc_hd__and2_1 g340014(.A (n_17396), .B
-       (u_soc_data_addr[4]), .X (u_soc_u_dccm_addr3[4]));
-  sky130_fd_sc_hd__and2_1 g340015(.A (n_17396), .B
-       (u_soc_data_addr[2]), .X (u_soc_u_dccm_addr3[2]));
-  sky130_fd_sc_hd__and2_1 g340016(.A (n_17396), .B
-       (u_soc_data_addr[1]), .X (u_soc_u_dccm_addr3[1]));
-  sky130_fd_sc_hd__and2_1 g340017(.A (n_17396), .B
-       (u_soc_data_addr[0]), .X (u_soc_u_dccm_addr3[0]));
-  sky130_fd_sc_hd__nand4_1 g340018(.A (n_10386), .B (n_9945), .C
-       (n_9948), .D (n_9946), .Y (n_10834));
-  sky130_fd_sc_hd__a221oi_1 g340019(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]), .C1
-       (n_10637), .Y (n_10833));
-  sky130_fd_sc_hd__and2_1 g340020(.A (n_10692), .B
-       (u_soc_data_addr[7]), .X (u_soc_u_dccm_addr1[7]));
-  sky130_fd_sc_hd__and2_1 g340021(.A (n_10692), .B
-       (u_soc_data_addr[6]), .X (u_soc_u_dccm_addr1[6]));
-  sky130_fd_sc_hd__and2_1 g340022(.A (n_10692), .B
-       (u_soc_data_addr[5]), .X (u_soc_u_dccm_addr1[5]));
-  sky130_fd_sc_hd__and2_1 g340023(.A (n_10692), .B
-       (u_soc_data_addr[4]), .X (u_soc_u_dccm_addr1[4]));
-  sky130_fd_sc_hd__and2_1 g340024(.A (n_10692), .B
-       (u_soc_data_addr[3]), .X (u_soc_u_dccm_addr1[3]));
-  sky130_fd_sc_hd__and2_1 g340025(.A (n_10692), .B
-       (u_soc_data_addr[2]), .X (u_soc_u_dccm_addr1[2]));
-  sky130_fd_sc_hd__and2_1 g340026(.A (n_10692), .B
-       (u_soc_data_addr[1]), .X (u_soc_u_dccm_addr1[1]));
-  sky130_fd_sc_hd__and2_1 g340027(.A (n_10692), .B
-       (u_soc_data_addr[0]), .X (u_soc_u_dccm_addr1[0]));
-  sky130_fd_sc_hd__nor2b_1 g340028(.A (n_10691), .B_N
-       (u_soc_data_addr[7]), .Y (u_soc_u_dccm_addr4[7]));
-  sky130_fd_sc_hd__nor2b_1 g340029(.A (n_10691), .B_N
-       (u_soc_data_addr[6]), .Y (u_soc_u_dccm_addr4[6]));
-  sky130_fd_sc_hd__nor2b_1 g340030(.A (n_10691), .B_N
-       (u_soc_data_addr[5]), .Y (u_soc_u_dccm_addr4[5]));
-  sky130_fd_sc_hd__nor2b_1 g340031(.A (n_10691), .B_N
-       (u_soc_data_addr[4]), .Y (u_soc_u_dccm_addr4[4]));
-  sky130_fd_sc_hd__nor2b_1 g340032(.A (n_10691), .B_N
-       (u_soc_data_addr[3]), .Y (u_soc_u_dccm_addr4[3]));
-  sky130_fd_sc_hd__nor2b_1 g340033(.A (n_10691), .B_N
-       (u_soc_data_addr[1]), .Y (u_soc_u_dccm_addr4[1]));
-  sky130_fd_sc_hd__nor2b_1 g340034(.A (n_10691), .B_N
-       (u_soc_data_addr[2]), .Y (u_soc_u_dccm_addr4[2]));
-  sky130_fd_sc_hd__nor2b_1 g340035(.A (n_10691), .B_N
-       (u_soc_data_addr[0]), .Y (u_soc_u_dccm_addr4[0]));
-  sky130_fd_sc_hd__and2_1 g340036(.A (n_17395), .B
-       (u_soc_data_addr[7]), .X (u_soc_u_dccm_addr2[7]));
-  sky130_fd_sc_hd__and2_1 g340037(.A (n_17395), .B
-       (u_soc_data_addr[6]), .X (u_soc_u_dccm_addr2[6]));
-  sky130_fd_sc_hd__and2_1 g340038(.A (n_17395), .B
-       (u_soc_data_addr[5]), .X (u_soc_u_dccm_addr2[5]));
-  sky130_fd_sc_hd__and2_1 g340039(.A (n_17395), .B
-       (u_soc_data_addr[4]), .X (u_soc_u_dccm_addr2[4]));
-  sky130_fd_sc_hd__and2_1 g340040(.A (n_17395), .B
-       (u_soc_data_addr[3]), .X (u_soc_u_dccm_addr2[3]));
-  sky130_fd_sc_hd__nand4_1 g340041(.A (n_10506), .B (n_9676), .C
-       (n_9807), .D (n_9678), .Y (n_10832));
-  sky130_fd_sc_hd__nand4_1 g340042(.A (n_10387), .B (n_9749), .C
-       (n_9677), .D (n_9679), .Y (n_10831));
-  sky130_fd_sc_hd__nand2_1 g340043(.A (n_10690), .B (u_soc_data_csb),
-       .Y (u_soc_u_dccm_csb4));
-  sky130_fd_sc_hd__nand2_1 g340044(.A (n_10692), .B (u_soc_data_csb),
-       .Y (u_soc_u_dccm_csb1));
-  sky130_fd_sc_hd__o221a_1 g340045(.A1 (n_13484), .A2 (n_10519), .B1
-       (n_15943), .B2 (n_13478), .C1 (n_10552), .X (n_10830));
-  sky130_fd_sc_hd__nand2_1 g340046(.A (n_17396), .B (u_soc_data_csb),
-       .Y (u_soc_u_dccm_csb3));
-  sky130_fd_sc_hd__nand2_1 g340047(.A (n_17395), .B (u_soc_data_csb),
-       .Y (u_soc_u_dccm_csb2));
-  sky130_fd_sc_hd__nand4_1 g340048(.A (n_10507), .B (n_9682), .C
-       (n_9681), .D (n_9683), .Y (n_10829));
-  sky130_fd_sc_hd__a22o_1 g340049(.A1 (n_10560), .A2 (n_10154), .B1
-       (la_data_in[0]), .B2 (n_10248), .X (n_10828));
-  sky130_fd_sc_hd__nand4_1 g340050(.A (n_10482), .B (n_9748), .C
-       (n_9747), .D (n_9746), .Y (n_10827));
-  sky130_fd_sc_hd__a221oi_1 g340051(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]), .C1
-       (n_10612), .Y (n_10826));
-  sky130_fd_sc_hd__a221oi_1 g340052(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]), .C1
-       (n_10652), .Y (n_10825));
-  sky130_fd_sc_hd__a221oi_1 g340053(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]), .C1
-       (n_10651), .Y (n_10824));
-  sky130_fd_sc_hd__a221oi_1 g340054(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]), .C1
-       (n_10650), .Y (n_10823));
-  sky130_fd_sc_hd__nand4_1 g340055(.A (n_10477), .B (n_9735), .C
-       (n_9734), .D (n_9736), .Y (n_10822));
-  sky130_fd_sc_hd__nand4_1 g340056(.A (n_10476), .B (n_9732), .C
-       (n_9731), .D (n_9733), .Y (n_10821));
-  sky130_fd_sc_hd__nand4_1 g340057(.A (n_10475), .B (n_9730), .C
-       (n_9729), .D (n_9728), .Y (n_10820));
-  sky130_fd_sc_hd__a221oi_1 g340058(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [1]), .C1 (n_10649), .Y (n_10819));
-  sky130_fd_sc_hd__a221oi_1 g340059(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]), .C1
-       (n_10648), .Y (n_10818));
-  sky130_fd_sc_hd__a221oi_1 g340060(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]), .C1
-       (n_10647), .Y (n_10817));
-  sky130_fd_sc_hd__a221oi_1 g340061(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]), .C1
-       (n_10645), .Y (n_10816));
-  sky130_fd_sc_hd__a221oi_1 g340062(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]), .C1
-       (n_10646), .Y (n_10815));
-  sky130_fd_sc_hd__a221oi_1 g340063(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]), .C1
-       (n_10644), .Y (n_10814));
-  sky130_fd_sc_hd__nand4_1 g340064(.A (n_10522), .B (n_9711), .C
-       (n_9712), .D (n_9710), .Y (n_10813));
-  sky130_fd_sc_hd__nand4_1 g340065(.A (n_10391), .B (n_9709), .C
-       (n_9707), .D (n_9704), .Y (n_10812));
-  sky130_fd_sc_hd__nand4_1 g340066(.A (n_10524), .B (n_9706), .C
-       (n_9708), .D (n_9705), .Y (n_10811));
-  sky130_fd_sc_hd__a221oi_1 g340067(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]), .C1
-       (n_10643), .Y (n_10810));
-  sky130_fd_sc_hd__a221oi_1 g340068(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]), .C1
-       (n_10642), .Y (n_10809));
-  sky130_fd_sc_hd__nand4_1 g340069(.A (n_10511), .B (n_9698), .C
-       (n_9697), .D (n_9696), .Y (n_10808));
-  sky130_fd_sc_hd__a221oi_1 g340070(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]), .C1
-       (n_10641), .Y (n_10807));
-  sky130_fd_sc_hd__nand4_1 g340071(.A (n_10510), .B (n_9693), .C
-       (n_9691), .D (n_9694), .Y (n_10806));
-  sky130_fd_sc_hd__a221oi_1 g340072(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]), .C1
-       (n_10640), .Y (n_10805));
-  sky130_fd_sc_hd__a221oi_1 g340073(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]), .C1
-       (n_10639), .Y (n_10804));
-  sky130_fd_sc_hd__a221oi_1 g340074(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]), .C1
-       (n_10638), .Y (n_10803));
-  sky130_fd_sc_hd__a21o_1 g340075(.A1 (n_10330), .A2 (n_10562), .B1
-       (n_10342), .X (n_13382));
-  sky130_fd_sc_hd__nand2_1 g340078(.A (u_soc_instr_addr[9]), .B
-       (u_soc_instr_addr[8]), .Y (n_10837));
-  sky130_fd_sc_hd__nor2_1 g340079(.A (u_soc_instr_addr[8]), .B
-       (u_soc_instr_addr[9]), .Y (n_10835));
-  sky130_fd_sc_hd__a221oi_1 g340080(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]), .C1
-       (n_10636), .Y (n_10802));
-  sky130_fd_sc_hd__nand4_1 g340081(.A (n_10503), .B (n_9673), .C
-       (n_9674), .D (n_9672), .Y (n_10801));
-  sky130_fd_sc_hd__a221oi_1 g340082(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]), .C1
-       (n_10635), .Y (n_10800));
-  sky130_fd_sc_hd__nand4_1 g340083(.A (n_10502), .B (n_9669), .C
-       (n_9671), .D (n_9668), .Y (n_10799));
-  sky130_fd_sc_hd__a221oi_1 g340084(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]), .C1
-       (n_10633), .Y (n_10798));
-  sky130_fd_sc_hd__a221oi_1 g340085(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]), .C1
-       (n_10634), .Y (n_10797));
-  sky130_fd_sc_hd__a221oi_1 g340086(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]), .C1
-       (n_10632), .Y (n_10796));
-  sky130_fd_sc_hd__nand4_1 g340087(.A (n_10383), .B (n_9654), .C
-       (n_9656), .D (n_9546), .Y (n_10795));
-  sky130_fd_sc_hd__nand4_1 g340088(.A (n_10498), .B (n_9653), .C
-       (n_9655), .D (n_9652), .Y (n_10794));
-  sky130_fd_sc_hd__a221oi_1 g340089(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]), .C1
-       (n_10631), .Y (n_10793));
-  sky130_fd_sc_hd__nand4_1 g340090(.A (n_10382), .B (n_9647), .C
-       (n_9648), .D (n_9649), .Y (n_10792));
-  sky130_fd_sc_hd__a221oi_1 g340091(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [5]), .C1 (n_10630), .Y (n_10791));
-  sky130_fd_sc_hd__nand4_1 g340092(.A (n_10495), .B (n_9644), .C
-       (n_9645), .D (n_9643), .Y (n_10790));
-  sky130_fd_sc_hd__nand4_1 g340093(.A (n_10494), .B (n_9639), .C
-       (n_9638), .D (n_9640), .Y (n_10789));
-  sky130_fd_sc_hd__a221oi_1 g340094(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]), .C1
-       (n_10629), .Y (n_10788));
-  sky130_fd_sc_hd__nand4_1 g340095(.A (n_10380), .B (n_9563), .C
-       (n_9632), .D (n_9593), .Y (n_10787));
-  sky130_fd_sc_hd__a221oi_1 g340096(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]), .C1
-       (n_10628), .Y (n_10786));
-  sky130_fd_sc_hd__a221oi_1 g340097(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]), .C1
-       (n_10627), .Y (n_10785));
-  sky130_fd_sc_hd__nand4_1 g340098(.A (n_10490), .B (n_9625), .C
-       (n_9558), .D (n_9626), .Y (n_10784));
-  sky130_fd_sc_hd__a221oi_1 g340099(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]), .C1
-       (n_10626), .Y (n_10783));
-  sky130_fd_sc_hd__a221oi_1 g340100(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]), .C1
-       (n_10625), .Y (n_10782));
-  sky130_fd_sc_hd__nand4_1 g340101(.A (n_10487), .B (n_9680), .C
-       (n_9616), .D (n_9614), .Y (n_10781));
-  sky130_fd_sc_hd__a221oi_1 g340102(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]), .C1
-       (n_10624), .Y (n_10780));
-  sky130_fd_sc_hd__nand4_1 g340103(.A (n_10376), .B (n_9608), .C
-       (n_9611), .D (n_9610), .Y (n_10779));
-  sky130_fd_sc_hd__a221oi_1 g340104(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]), .C1
-       (n_10623), .Y (n_10778));
-  sky130_fd_sc_hd__a221oi_1 g340105(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]), .C1
-       (n_10622), .Y (n_10777));
-  sky130_fd_sc_hd__nand4_1 g340106(.A (n_10483), .B (n_9604), .C
-       (n_9602), .D (n_9601), .Y (n_10776));
-  sky130_fd_sc_hd__a221oi_1 g340107(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]), .C1
-       (n_10621), .Y (n_10775));
-  sky130_fd_sc_hd__a221oi_1 g340108(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]), .C1
-       (n_10620), .Y (n_10774));
-  sky130_fd_sc_hd__a221oi_1 g340109(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]), .C1
-       (n_10619), .Y (n_10773));
-  sky130_fd_sc_hd__nand4_1 g340110(.A (n_10371), .B (n_9592), .C
-       (n_9590), .D (n_9591), .Y (n_10772));
-  sky130_fd_sc_hd__nand4_1 g340111(.A (n_10370), .B (n_9589), .C
-       (n_9587), .D (n_9588), .Y (n_10771));
-  sky130_fd_sc_hd__a221oi_1 g340112(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]), .C1
-       (n_10618), .Y (n_10770));
-  sky130_fd_sc_hd__a221oi_1 g340113(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [7]), .C1 (n_10617), .Y (n_10769));
-  sky130_fd_sc_hd__nand4_1 g340114(.A (n_10367), .B (n_9580), .C
-       (n_9581), .D (n_9579), .Y (n_10768));
-  sky130_fd_sc_hd__nand4_1 g340115(.A (n_10366), .B (n_9576), .C
-       (n_9578), .D (n_9577), .Y (n_10767));
-  sky130_fd_sc_hd__nand4_1 g340116(.A (n_10364), .B (n_9572), .C
-       (n_9570), .D (n_9571), .Y (n_10766));
-  sky130_fd_sc_hd__a221oi_1 g340117(.A1 (n_8968), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]), .C1
-       (n_10616), .Y (n_10765));
-  sky130_fd_sc_hd__a221oi_1 g340118(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]), .C1
-       (n_10615), .Y (n_10764));
-  sky130_fd_sc_hd__nand4_1 g340119(.A (n_10526), .B (n_9561), .C
-       (n_9559), .D (n_9560), .Y (n_10763));
-  sky130_fd_sc_hd__a221oi_1 g340120(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]), .C1
-       (n_10614), .Y (n_10762));
-  sky130_fd_sc_hd__a221oi_1 g340121(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]), .C1
-       (n_10613), .Y (n_10761));
-  sky130_fd_sc_hd__nand4_1 g340122(.A (n_10413), .B (n_9550), .C
-       (n_9549), .D (n_9548), .Y (n_10760));
-  sky130_fd_sc_hd__a221oi_1 g340123(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]), .C1
-       (n_10653), .Y (n_10759));
-  sky130_fd_sc_hd__a221oi_1 g340124(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]), .C1
-       (n_10611), .Y (n_10758));
-  sky130_fd_sc_hd__nand4_1 g340125(.A (n_10467), .B (n_9539), .C
-       (n_9538), .D (n_9537), .Y (n_10757));
-  sky130_fd_sc_hd__a221oi_1 g340126(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]), .C1
-       (n_10610), .Y (n_10756));
-  sky130_fd_sc_hd__a221oi_1 g340127(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]), .C1
-       (n_10609), .Y (n_10755));
-  sky130_fd_sc_hd__a221oi_1 g340128(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]), .C1
-       (n_10608), .Y (n_10754));
-  sky130_fd_sc_hd__a221oi_1 g340129(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]), .C1
-       (n_10607), .Y (n_10753));
-  sky130_fd_sc_hd__nand4_1 g340130(.A (n_10462), .B (n_9528), .C
-       (n_9527), .D (n_9526), .Y (n_10752));
-  sky130_fd_sc_hd__nand4_1 g340131(.A (n_10461), .B (n_9525), .C
-       (n_9523), .D (n_9524), .Y (n_10751));
-  sky130_fd_sc_hd__nand4_1 g340132(.A (n_10459), .B (n_9518), .C
-       (n_9519), .D (n_9517), .Y (n_10750));
-  sky130_fd_sc_hd__a221oi_1 g340133(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]), .C1
-       (n_10606), .Y (n_10749));
-  sky130_fd_sc_hd__a221oi_1 g340134(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]), .C1
-       (n_10605), .Y (n_10748));
-  sky130_fd_sc_hd__nand4_1 g340135(.A (n_10456), .B (n_9508), .C
-       (n_9509), .D (n_9507), .Y (n_10747));
-  sky130_fd_sc_hd__a221oi_1 g340136(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]), .C1
-       (n_10604), .Y (n_10746));
-  sky130_fd_sc_hd__a221oi_1 g340137(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]), .C1
-       (n_10603), .Y (n_10745));
-  sky130_fd_sc_hd__a221oi_1 g340138(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]), .C1
-       (n_10602), .Y (n_10744));
-  sky130_fd_sc_hd__a221oi_1 g340139(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]), .C1
-       (n_10601), .Y (n_10743));
-  sky130_fd_sc_hd__nand4_1 g340140(.A (n_10451), .B (n_9497), .C
-       (n_9495), .D (n_9496), .Y (n_10742));
-  sky130_fd_sc_hd__nand4_1 g340141(.A (n_10450), .B (n_9494), .C
-       (n_9492), .D (n_9493), .Y (n_10741));
-  sky130_fd_sc_hd__nand4_1 g340142(.A (n_10448), .B (n_9488), .C
-       (n_9487), .D (n_9486), .Y (n_10740));
-  sky130_fd_sc_hd__a221oi_1 g340143(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]), .C1
-       (n_10600), .Y (n_10739));
-  sky130_fd_sc_hd__a221oi_1 g340144(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]), .C1
-       (n_10599), .Y (n_10738));
-  sky130_fd_sc_hd__nand4_1 g340145(.A (n_10445), .B (n_9480), .C
-       (n_9479), .D (n_9481), .Y (n_10737));
-  sky130_fd_sc_hd__a221oi_1 g340146(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]), .C1
-       (n_10598), .Y (n_10736));
-  sky130_fd_sc_hd__a221oi_1 g340147(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]), .C1
-       (n_10597), .Y (n_10735));
-  sky130_fd_sc_hd__a221oi_1 g340148(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]), .C1
-       (n_10596), .Y (n_10734));
-  sky130_fd_sc_hd__a221oi_1 g340149(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]), .C1
-       (n_10595), .Y (n_10733));
-  sky130_fd_sc_hd__nand4_1 g340150(.A (n_10439), .B (n_9466), .C
-       (n_9465), .D (n_9464), .Y (n_10732));
-  sky130_fd_sc_hd__nand4_1 g340151(.A (n_10438), .B (n_9462), .C
-       (n_9463), .D (n_9461), .Y (n_10731));
-  sky130_fd_sc_hd__a221oi_1 g340152(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]), .C1
-       (n_10594), .Y (n_10730));
-  sky130_fd_sc_hd__a221oi_1 g340153(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]), .C1
-       (n_10593), .Y (n_10729));
-  sky130_fd_sc_hd__nand4_1 g340154(.A (n_10435), .B (n_9456), .C
-       (n_9455), .D (n_9454), .Y (n_10728));
-  sky130_fd_sc_hd__nand4_1 g340155(.A (n_10434), .B (n_9451), .C
-       (n_9452), .D (n_9450), .Y (n_10727));
-  sky130_fd_sc_hd__nand4_1 g340156(.A (n_10432), .B (n_9446), .C
-       (n_9445), .D (n_9444), .Y (n_10726));
-  sky130_fd_sc_hd__a221oi_1 g340157(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]), .C1
-       (n_10592), .Y (n_10725));
-  sky130_fd_sc_hd__a221oi_1 g340158(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]), .C1
-       (n_10591), .Y (n_10724));
-  sky130_fd_sc_hd__a221oi_1 g340159(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]), .C1
-       (n_10590), .Y (n_10723));
-  sky130_fd_sc_hd__a221oi_1 g340160(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]), .C1
-       (n_10589), .Y (n_10722));
-  sky130_fd_sc_hd__nand4_1 g340161(.A (n_10427), .B (n_9434), .C
-       (n_9435), .D (n_9433), .Y (n_10721));
-  sky130_fd_sc_hd__nand4_1 g340162(.A (n_10426), .B (n_9431), .C
-       (n_9432), .D (n_9430), .Y (n_10720));
-  sky130_fd_sc_hd__nand4_1 g340163(.A (n_10425), .B (n_9428), .C
-       (n_9429), .D (n_9427), .Y (n_10719));
-  sky130_fd_sc_hd__a221oi_1 g340164(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]), .C1
-       (n_10588), .Y (n_10718));
-  sky130_fd_sc_hd__a221oi_1 g340165(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]), .C1
-       (n_10587), .Y (n_10717));
-  sky130_fd_sc_hd__nand4_1 g340166(.A (n_10420), .B (n_9415), .C
-       (n_9414), .D (n_9416), .Y (n_10716));
-  sky130_fd_sc_hd__a221oi_1 g340167(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]), .C1
-       (n_10586), .Y (n_10715));
-  sky130_fd_sc_hd__a221oi_1 g340168(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]), .C1
-       (n_10585), .Y (n_10714));
-  sky130_fd_sc_hd__a221oi_1 g340169(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]), .C1
-       (n_10584), .Y (n_10713));
-  sky130_fd_sc_hd__a221oi_1 g340170(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]), .C1
-       (n_10583), .Y (n_10712));
-  sky130_fd_sc_hd__nand4_1 g340171(.A (n_10415), .B (n_9404), .C
-       (n_9403), .D (n_9402), .Y (n_10711));
-  sky130_fd_sc_hd__nand4_1 g340172(.A (n_10414), .B (n_9400), .C
-       (n_9401), .D (n_9399), .Y (n_10710));
-  sky130_fd_sc_hd__nand4_1 g340173(.A (n_10359), .B (n_9394), .C
-       (n_9395), .D (n_9393), .Y (n_10709));
-  sky130_fd_sc_hd__a221oi_1 g340174(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]), .C1
-       (n_10582), .Y (n_10708));
-  sky130_fd_sc_hd__a221oi_1 g340175(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [1]), .C1 (n_10581), .Y (n_10707));
-  sky130_fd_sc_hd__a221oi_1 g340176(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]), .C1
-       (n_10580), .Y (n_10706));
-  sky130_fd_sc_hd__a221oi_1 g340177(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]), .C1
-       (n_10579), .Y (n_10705));
-  sky130_fd_sc_hd__nand4_1 g340178(.A (n_10411), .B (n_9383), .C
-       (n_9382), .D (n_9381), .Y (n_10704));
-  sky130_fd_sc_hd__nand4_1 g340179(.A (n_10410), .B (n_9380), .C
-       (n_9378), .D (n_9379), .Y (n_10703));
-  sky130_fd_sc_hd__nand4_1 g340180(.A (n_10408), .B (n_9374), .C
-       (n_9373), .D (n_9372), .Y (n_10702));
-  sky130_fd_sc_hd__a221oi_1 g340181(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]), .C1
-       (n_10578), .Y (n_10701));
-  sky130_fd_sc_hd__a221oi_1 g340182(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]), .C1
-       (n_10577), .Y (n_10700));
-  sky130_fd_sc_hd__a221oi_1 g340183(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]), .C1
-       (n_10576), .Y (n_10699));
-  sky130_fd_sc_hd__a221oi_1 g340184(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]), .C1
-       (n_10575), .Y (n_10698));
-  sky130_fd_sc_hd__nand4_1 g340185(.A (n_10403), .B (n_9362), .C
-       (n_9363), .D (n_9361), .Y (n_10697));
-  sky130_fd_sc_hd__nand4_1 g340186(.A (n_10402), .B (n_9359), .C
-       (n_9358), .D (n_9360), .Y (n_10696));
-  sky130_fd_sc_hd__a221oi_1 g340187(.A1 (n_8978), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]), .B1
-       (n_8982), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]), .C1
-       (n_10553), .Y (n_10695));
-  sky130_fd_sc_hd__inv_1 g340190(.A (n_10691), .Y (n_10690));
-  sky130_fd_sc_hd__nor2b_1 g340191(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [23]), .Y
-       (u_soc_u_tcam_data_wdata[23]));
-  sky130_fd_sc_hd__nand4_1 g340198(.A (n_10353), .B (n_10333), .C
-       (n_8815), .D (n_10154), .Y (n_10687));
-  sky130_fd_sc_hd__and2_0 g340199(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [8]), .X
-       (u_soc_u_tcam_data_wdata[8]));
-  sky130_fd_sc_hd__and2_0 g340200(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [9]), .X
-       (u_soc_u_tcam_data_wdata[9]));
-  sky130_fd_sc_hd__and2_0 g340201(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [10]), .X
-       (u_soc_u_tcam_data_wdata[10]));
-  sky130_fd_sc_hd__and2_0 g340203(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [11]), .X
-       (u_soc_u_tcam_data_wdata[11]));
-  sky130_fd_sc_hd__and2_0 g340204(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [12]), .X
-       (u_soc_u_tcam_data_wdata[12]));
-  sky130_fd_sc_hd__nor2b_1 g340205(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [5]), .Y (n_10686));
-  sky130_fd_sc_hd__nor2b_1 g340206(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [6]), .Y (n_10685));
-  sky130_fd_sc_hd__nor2b_1 g340207(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [7]), .Y (n_10684));
-  sky130_fd_sc_hd__nor2b_1 g340208(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [4]), .Y (n_10683));
-  sky130_fd_sc_hd__nor2b_1 g340209(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [0]), .Y (n_10682));
-  sky130_fd_sc_hd__nor2b_1 g340210(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [1]), .Y (n_10681));
-  sky130_fd_sc_hd__nor2b_1 g340211(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [2]), .Y (n_10680));
-  sky130_fd_sc_hd__nor2b_1 g340212(.A (n_10570), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [3]), .Y (n_10679));
-  sky130_fd_sc_hd__nor2b_1 g340213(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [7]), .Y
-       (u_soc_u_tcam_data_wdata[7]));
-  sky130_fd_sc_hd__nor2b_1 g340214(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [6]), .Y
-       (u_soc_u_tcam_data_wdata[6]));
-  sky130_fd_sc_hd__nor2b_1 g340215(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [5]), .Y
-       (u_soc_u_tcam_data_wdata[5]));
-  sky130_fd_sc_hd__nor2b_1 g340216(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [4]), .Y
-       (u_soc_u_tcam_data_wdata[4]));
-  sky130_fd_sc_hd__nor2b_1 g340217(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [2]), .Y
-       (u_soc_u_tcam_data_wdata[2]));
-  sky130_fd_sc_hd__nor2b_1 g340218(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [3]), .Y
-       (u_soc_u_tcam_data_wdata[3]));
-  sky130_fd_sc_hd__nor2b_1 g340219(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [1]), .Y
-       (u_soc_u_tcam_data_wdata[1]));
-  sky130_fd_sc_hd__nor2b_1 g340220(.A (n_10568), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [0]), .Y
-       (u_soc_u_tcam_data_wdata[0]));
-  sky130_fd_sc_hd__and2_0 g340221(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [13]), .X
-       (u_soc_u_tcam_data_wdata[13]));
-  sky130_fd_sc_hd__and2_0 g340222(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [14]), .X
-       (u_soc_u_tcam_data_wdata[14]));
-  sky130_fd_sc_hd__nor2b_1 g340223(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [16]), .Y (n_10678));
-  sky130_fd_sc_hd__nor2b_1 g340224(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [17]), .Y (n_10677));
-  sky130_fd_sc_hd__nor2b_1 g340225(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [18]), .Y (n_10676));
-  sky130_fd_sc_hd__nor2b_1 g340226(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [19]), .Y (n_10675));
-  sky130_fd_sc_hd__nor2b_1 g340227(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [20]), .Y (n_10674));
-  sky130_fd_sc_hd__nor2b_1 g340228(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [21]), .Y (n_10673));
-  sky130_fd_sc_hd__nor2b_1 g340229(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [22]), .Y (n_10672));
-  sky130_fd_sc_hd__nor2b_1 g340230(.A (n_10569), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [23]), .Y (n_10671));
-  sky130_fd_sc_hd__nor2b_1 g340232(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [22]), .Y
-       (u_soc_u_tcam_data_wdata[22]));
-  sky130_fd_sc_hd__nor2b_1 g340233(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [21]), .Y
-       (u_soc_u_tcam_data_wdata[21]));
-  sky130_fd_sc_hd__nor2b_1 g340234(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [20]), .Y
-       (u_soc_u_tcam_data_wdata[20]));
-  sky130_fd_sc_hd__nor2b_1 g340235(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [19]), .Y
-       (u_soc_u_tcam_data_wdata[19]));
-  sky130_fd_sc_hd__nor2b_1 g340236(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [18]), .Y
-       (u_soc_u_tcam_data_wdata[18]));
-  sky130_fd_sc_hd__nor2b_1 g340237(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [17]), .Y
-       (u_soc_u_tcam_data_wdata[17]));
-  sky130_fd_sc_hd__nor2b_1 g340238(.A (n_10563), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [16]), .Y
-       (u_soc_u_tcam_data_wdata[16]));
-  sky130_fd_sc_hd__nor2b_1 g340239(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [24]), .Y (n_10670));
-  sky130_fd_sc_hd__nor2b_1 g340240(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [25]), .Y (n_10669));
-  sky130_fd_sc_hd__nor2b_1 g340241(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [26]), .Y (n_10668));
-  sky130_fd_sc_hd__nor2b_1 g340242(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [27]), .Y (n_10667));
-  sky130_fd_sc_hd__nor2b_1 g340243(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [28]), .Y (n_10666));
-  sky130_fd_sc_hd__nor2b_1 g340244(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [29]), .Y (n_10665));
-  sky130_fd_sc_hd__nor2b_1 g340245(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [30]), .Y (n_10664));
-  sky130_fd_sc_hd__nor2b_1 g340246(.A (n_10566), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [31]), .Y (n_10663));
-  sky130_fd_sc_hd__nor2b_1 g340247(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [31]), .Y
-       (u_soc_u_tcam_data_wdata[31]));
-  sky130_fd_sc_hd__nor2b_1 g340248(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [30]), .Y
-       (u_soc_u_tcam_data_wdata[30]));
-  sky130_fd_sc_hd__nor2b_1 g340249(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [29]), .Y
-       (u_soc_u_tcam_data_wdata[29]));
-  sky130_fd_sc_hd__nor2b_1 g340250(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [28]), .Y
-       (u_soc_u_tcam_data_wdata[28]));
-  sky130_fd_sc_hd__nor2b_1 g340251(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [27]), .Y
-       (u_soc_u_tcam_data_wdata[27]));
-  sky130_fd_sc_hd__nor2b_1 g340252(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [26]), .Y
-       (u_soc_u_tcam_data_wdata[26]));
-  sky130_fd_sc_hd__nor2b_1 g340253(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [25]), .Y
-       (u_soc_u_tcam_data_wdata[25]));
-  sky130_fd_sc_hd__nor2b_1 g340254(.A (n_10565), .B_N
-       (\u_soc_xbar_to_dccm[a_data] [24]), .Y
-       (u_soc_u_tcam_data_wdata[24]));
-  sky130_fd_sc_hd__and2_1 g340255(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [8]), .X (n_10662));
-  sky130_fd_sc_hd__and2_1 g340256(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [9]), .X (n_10661));
-  sky130_fd_sc_hd__and2_1 g340257(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [10]), .X (n_10660));
-  sky130_fd_sc_hd__and2_1 g340258(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [12]), .X (n_10659));
-  sky130_fd_sc_hd__and2_1 g340259(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [13]), .X (n_10658));
-  sky130_fd_sc_hd__and2_1 g340260(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [14]), .X (n_10657));
-  sky130_fd_sc_hd__and2_1 g340261(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [15]), .X (n_10656));
-  sky130_fd_sc_hd__and2_1 g340262(.A (n_10567), .B
-       (\u_soc_xbar_to_dccm[a_data] [11]), .X (n_10655));
-  sky130_fd_sc_hd__and2_0 g340263(.A (n_10564), .B
-       (\u_soc_xbar_to_dccm[a_data] [15]), .X
-       (u_soc_u_tcam_data_wdata[15]));
-  sky130_fd_sc_hd__nor2_1 g340268(.A (u_soc_data_addr[8]), .B
-       (u_soc_data_addr[9]), .Y (n_10692));
-  sky130_fd_sc_hd__nand2_1 g340269(.A (u_soc_data_addr[9]), .B
-       (u_soc_data_addr[8]), .Y (n_10691));
-  sky130_fd_sc_hd__nand3_1 g340272(.A (n_10470), .B (n_9547), .C
-       (n_9545), .Y (n_10653));
-  sky130_fd_sc_hd__nand3_1 g340273(.A (n_10480), .B (n_9742), .C
-       (n_9743), .Y (n_10652));
-  sky130_fd_sc_hd__nand3_1 g340274(.A (n_10479), .B (n_9741), .C
-       (n_9740), .Y (n_10651));
-  sky130_fd_sc_hd__nand3_1 g340275(.A (n_10478), .B (n_9738), .C
-       (n_9739), .Y (n_10650));
-  sky130_fd_sc_hd__nand3_1 g340276(.A (n_10393), .B (n_9724), .C
-       (n_9721), .Y (n_10649));
-  sky130_fd_sc_hd__nand3_1 g340277(.A (n_10473), .B (n_9723), .C
-       (n_9722), .Y (n_10648));
-  sky130_fd_sc_hd__nand3_1 g340278(.A (n_10472), .B (n_9720), .C
-       (n_9719), .Y (n_10647));
-  sky130_fd_sc_hd__nand3_1 g340279(.A (n_10515), .B (n_9718), .C
-       (n_9717), .Y (n_10646));
-  sky130_fd_sc_hd__nand3_1 g340280(.A (n_10392), .B (n_9716), .C
-       (n_9715), .Y (n_10645));
-  sky130_fd_sc_hd__nand3_1 g340281(.A (n_10516), .B (n_9714), .C
-       (n_9713), .Y (n_10644));
-  sky130_fd_sc_hd__nand3_1 g340282(.A (n_10513), .B (n_9702), .C
-       (n_9703), .Y (n_10643));
-  sky130_fd_sc_hd__nand3_1 g340283(.A (n_10512), .B (n_9699), .C
-       (n_9700), .Y (n_10642));
-  sky130_fd_sc_hd__nand3_1 g340284(.A (n_10389), .B (n_9692), .C
-       (n_9695), .Y (n_10641));
-  sky130_fd_sc_hd__nand3_1 g340285(.A (n_10509), .B (n_9688), .C
-       (n_9689), .Y (n_10640));
-  sky130_fd_sc_hd__nand3_1 g340286(.A (n_10388), .B (n_9687), .C
-       (n_9685), .Y (n_10639));
-  sky130_fd_sc_hd__nand3_1 g340287(.A (n_10508), .B (n_9686), .C
-       (n_9684), .Y (n_10638));
-  sky130_fd_sc_hd__nand3_1 g340288(.A (n_10505), .B (n_9942), .C
-       (n_9944), .Y (n_10637));
-  sky130_fd_sc_hd__nand3_1 g340289(.A (n_10504), .B (n_9675), .C
-       (n_9947), .Y (n_10636));
-  sky130_fd_sc_hd__nand3_1 g340290(.A (n_10385), .B (n_9667), .C
-       (n_9670), .Y (n_10635));
-  sky130_fd_sc_hd__nand3_1 g340291(.A (n_10501), .B (n_9664), .C
-       (n_9665), .Y (n_10634));
-  sky130_fd_sc_hd__nand3_1 g340292(.A (n_10384), .B (n_9661), .C
-       (n_9663), .Y (n_10633));
-  sky130_fd_sc_hd__nand3_1 g340293(.A (n_10500), .B (n_9660), .C
-       (n_9662), .Y (n_10632));
-  sky130_fd_sc_hd__nand3_1 g340294(.A (n_10497), .B (n_9943), .C
-       (n_9650), .Y (n_10631));
-  sky130_fd_sc_hd__nand3_1 g340295(.A (n_10496), .B (n_9646), .C
-       (n_9584), .Y (n_10630));
-  sky130_fd_sc_hd__nand3_1 g340296(.A (n_10493), .B (n_9634), .C
-       (n_9635), .Y (n_10629));
-  sky130_fd_sc_hd__nand3_1 g340297(.A (n_10492), .B (n_9631), .C
-       (n_9633), .Y (n_10628));
-  sky130_fd_sc_hd__nand3_1 g340298(.A (n_10379), .B (n_9624), .C
-       (n_9627), .Y (n_10627));
-  sky130_fd_sc_hd__nand3_1 g340299(.A (n_10378), .B (n_9622), .C
-       (n_9619), .Y (n_10626));
-  sky130_fd_sc_hd__nand3_1 g340300(.A (n_10489), .B (n_9621), .C
-       (n_9620), .Y (n_10625));
-  sky130_fd_sc_hd__nand3_1 g340301(.A (n_10486), .B (n_9390), .C
-       (n_9618), .Y (n_10624));
-  sky130_fd_sc_hd__nand3_1 g340302(.A (n_10485), .B (n_9737), .C
-       (n_9609), .Y (n_10623));
-  sky130_fd_sc_hd__nand3_1 g340303(.A (n_10375), .B (n_9603), .C
-       (n_9506), .Y (n_10622));
-  sky130_fd_sc_hd__nand3_1 g340304(.A (n_10374), .B (n_9598), .C
-       (n_9599), .Y (n_10621));
-  sky130_fd_sc_hd__nand3_1 g340305(.A (n_10373), .B (n_9597), .C
-       (n_9596), .Y (n_10620));
-  sky130_fd_sc_hd__nand3_1 g340306(.A (n_10372), .B (n_9594), .C
-       (n_9595), .Y (n_10619));
-  sky130_fd_sc_hd__nand3_1 g340307(.A (n_10369), .B (n_9585), .C
-       (n_9586), .Y (n_10618));
-  sky130_fd_sc_hd__nand3_1 g340308(.A (n_10368), .B (n_9582), .C
-       (n_9583), .Y (n_10617));
-  sky130_fd_sc_hd__nand3_1 g340309(.A (n_10363), .B (n_9568), .C
-       (n_9569), .Y (n_10616));
-  sky130_fd_sc_hd__nand3_1 g340310(.A (n_10362), .B (n_9567), .C
-       (n_9566), .Y (n_10615));
-  sky130_fd_sc_hd__nand3_1 g340311(.A (n_10527), .B (n_9557), .C
-       (n_9556), .Y (n_10614));
-  sky130_fd_sc_hd__nand3_1 g340312(.A (n_10540), .B (n_9555), .C
-       (n_9554), .Y (n_10613));
-  sky130_fd_sc_hd__nand3_1 g340313(.A (n_10481), .B (n_9744), .C
-       (n_9745), .Y (n_10612));
-  sky130_fd_sc_hd__nand3_1 g340314(.A (n_10469), .B (n_9543), .C
-       (n_9544), .Y (n_10611));
-  sky130_fd_sc_hd__nand3_1 g340315(.A (n_10466), .B (n_9535), .C
-       (n_9536), .Y (n_10610));
-  sky130_fd_sc_hd__nand3_1 g340316(.A (n_10465), .B (n_9533), .C
-       (n_9534), .Y (n_10609));
-  sky130_fd_sc_hd__nand3_1 g340317(.A (n_10464), .B (n_9531), .C
-       (n_9532), .Y (n_10608));
-  sky130_fd_sc_hd__nand3_1 g340318(.A (n_10463), .B (n_9529), .C
-       (n_9530), .Y (n_10607));
-  sky130_fd_sc_hd__nand3_1 g340319(.A (n_10458), .B (n_9516), .C
-       (n_9515), .Y (n_10606));
-  sky130_fd_sc_hd__nand3_1 g340320(.A (n_10361), .B (n_9514), .C
-       (n_9513), .Y (n_10605));
-  sky130_fd_sc_hd__nand3_1 g340321(.A (n_10455), .B (n_9505), .C
-       (n_9504), .Y (n_10604));
-  sky130_fd_sc_hd__nand3_1 g340322(.A (n_10454), .B (n_9502), .C
-       (n_9503), .Y (n_10603));
-  sky130_fd_sc_hd__nand3_1 g340323(.A (n_10453), .B (n_9501), .C
-       (n_9500), .Y (n_10602));
-  sky130_fd_sc_hd__nand3_1 g340324(.A (n_10452), .B (n_9498), .C
-       (n_9499), .Y (n_10601));
-  sky130_fd_sc_hd__nand3_1 g340325(.A (n_10447), .B (n_9484), .C
-       (n_9485), .Y (n_10600));
-  sky130_fd_sc_hd__nand3_1 g340326(.A (n_10446), .B (n_9483), .C
-       (n_9482), .Y (n_10599));
-  sky130_fd_sc_hd__nand3_1 g340327(.A (n_10443), .B (n_9475), .C
-       (n_9474), .Y (n_10598));
-  sky130_fd_sc_hd__nand3_1 g340328(.A (n_10442), .B (n_9472), .C
-       (n_9471), .Y (n_10597));
-  sky130_fd_sc_hd__nand3_1 g340329(.A (n_10441), .B (n_9470), .C
-       (n_9469), .Y (n_10596));
-  sky130_fd_sc_hd__nand3_1 g340330(.A (n_10440), .B (n_9468), .C
-       (n_9467), .Y (n_10595));
-  sky130_fd_sc_hd__nand3_1 g340331(.A (n_10437), .B (n_9460), .C
-       (n_9459), .Y (n_10594));
-  sky130_fd_sc_hd__nand3_1 g340332(.A (n_10436), .B (n_9457), .C
-       (n_9458), .Y (n_10593));
-  sky130_fd_sc_hd__nand3_1 g340333(.A (n_10431), .B (n_9443), .C
-       (n_9442), .Y (n_10592));
-  sky130_fd_sc_hd__nand3_1 g340334(.A (n_10430), .B (n_9441), .C
-       (n_9440), .Y (n_10591));
-  sky130_fd_sc_hd__nand3_1 g340335(.A (n_10429), .B (n_9439), .C
-       (n_9438), .Y (n_10590));
-  sky130_fd_sc_hd__nand3_1 g340336(.A (n_10428), .B (n_9437), .C
-       (n_9436), .Y (n_10589));
-  sky130_fd_sc_hd__nand3_1 g340337(.A (n_10423), .B (n_9422), .C
-       (n_9423), .Y (n_10588));
-  sky130_fd_sc_hd__nand3_1 g340338(.A (n_10422), .B (n_9420), .C
-       (n_9421), .Y (n_10587));
-  sky130_fd_sc_hd__nand3_1 g340339(.A (n_10419), .B (n_9413), .C
-       (n_9412), .Y (n_10586));
-  sky130_fd_sc_hd__nand3_1 g340340(.A (n_10418), .B (n_9411), .C
-       (n_9410), .Y (n_10585));
-  sky130_fd_sc_hd__nand3_1 g340341(.A (n_10417), .B (n_9408), .C
-       (n_9407), .Y (n_10584));
-  sky130_fd_sc_hd__nand3_1 g340342(.A (n_10416), .B (n_9406), .C
-       (n_9405), .Y (n_10583));
-  sky130_fd_sc_hd__nand3_1 g340343(.A (n_10358), .B (n_9392), .C
-       (n_9391), .Y (n_10582));
-  sky130_fd_sc_hd__nand3_1 g340344(.A (n_10357), .B (n_9388), .C
-       (n_9389), .Y (n_10581));
-  sky130_fd_sc_hd__nand3_1 g340345(.A (n_10471), .B (n_9387), .C
-       (n_9386), .Y (n_10580));
-  sky130_fd_sc_hd__nand3_1 g340346(.A (n_10412), .B (n_9384), .C
-       (n_9385), .Y (n_10579));
-  sky130_fd_sc_hd__nand3_1 g340347(.A (n_10407), .B (n_9370), .C
-       (n_9371), .Y (n_10578));
-  sky130_fd_sc_hd__nand3_1 g340348(.A (n_10406), .B (n_9369), .C
-       (n_9368), .Y (n_10577));
-  sky130_fd_sc_hd__nand3_1 g340349(.A (n_10405), .B (n_9366), .C
-       (n_9367), .Y (n_10576));
-  sky130_fd_sc_hd__nand3_1 g340350(.A (n_10404), .B (n_9365), .C
-       (n_9364), .Y (n_10575));
-  sky130_fd_sc_hd__a32o_1 g340351(.A1 (n_10346), .A2 (n_9963), .A3
-       (n_8985), .B1 (la_data_in[4]), .B2 (n_10248), .X (n_10574));
-  sky130_fd_sc_hd__a32o_1 g340352(.A1 (n_10346), .A2 (n_10154), .A3
-       (n_9787), .B1 (la_data_in[1]), .B2 (n_10248), .X (n_10573));
-  sky130_fd_sc_hd__and3b_1 g340353(.A_N (n_13458), .B (n_10394), .C
-       (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .X (n_13492));
-  sky130_fd_sc_hd__nand2_1 g340378(.A (n_10544), .B (n_10155), .Y
-       (n_10570));
-  sky130_fd_sc_hd__nand2_1 g340379(.A (n_10544), .B (n_10146), .Y
-       (n_10569));
-  sky130_fd_sc_hd__nand2_1 g340380(.A (n_10545), .B (n_10155), .Y
-       (n_10568));
-  sky130_fd_sc_hd__nand2_1 g340381(.A (n_10528), .B (n_13323), .Y
-       (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22]));
-  sky130_fd_sc_hd__o32ai_1 g340382(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .A2 (n_10163), .A3 (\u_soc_lsu_to_xbar[a_address] [31]), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .B2 (n_10343), .Y (n_10562));
-  sky130_fd_sc_hd__a22o_1 g340383(.A1 (n_10152), .A2
-       (\u_soc_ifu_to_xbar[a_address] [11]), .B1 (n_8808), .B2
-       (u_soc_iccm_ctrl_addr_o[9]), .X (n_10561));
-  sky130_fd_sc_hd__nor2_1 g340384(.A (n_8984), .B (n_10546), .Y
-       (n_10560));
-  sky130_fd_sc_hd__a22o_1 g340385(.A1 (n_10152), .A2
-       (\u_soc_ifu_to_xbar[a_address] [10]), .B1 (n_8808), .B2
-       (u_soc_iccm_ctrl_addr_o[8]), .X (n_10559));
-  sky130_fd_sc_hd__o2bb2ai_1 g340386(.A1_N (u_soc_iccm_ctrl_addr_o[7]),
-       .A2_N (n_8808), .B1 (n_10341), .B2 (n_10153), .Y (n_10558));
-  sky130_fd_sc_hd__nand2_1 g340387(.A (n_10355), .B (n_10546), .Y
-       (n_10557));
-  sky130_fd_sc_hd__nand2_1 g340388(.A (n_10514), .B (n_8942), .Y
-       (n_10556));
-  sky130_fd_sc_hd__nand2_1 g340389(.A (n_10542), .B (n_13322), .Y
-       (n_10555));
-  sky130_fd_sc_hd__nand2_1 g340390(.A (n_10529), .B (n_13322), .Y
-       (n_10554));
-  sky130_fd_sc_hd__a221o_1 g340391(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]), .C1
-       (n_10488), .X (n_10553));
-  sky130_fd_sc_hd__a311oi_1 g340392(.A1 (n_10141), .A2 (n_577), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B1 (n_10144), .C1
-       (n_10164), .Y (n_10552));
-  sky130_fd_sc_hd__o2bb2ai_1 g340393(.A1_N (u_soc_iccm_ctrl_addr_o[6]),
-       .A2_N (n_8808), .B1 (n_10338), .B2 (n_10153), .Y (n_10551));
-  sky130_fd_sc_hd__nand2_1 g340394(.A (n_10541), .B (n_13323), .Y
-       (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6]));
-  sky130_fd_sc_hd__nand2_1 g340395(.A (n_10356), .B (n_10154), .Y
-       (n_10550));
-  sky130_fd_sc_hd__a221o_1 g340396(.A1 (la_data_in[5]), .A2 (n_10248),
-       .B1 (n_9350), .B2 (io_in[28]), .C1 (n_8984), .X (n_10549));
-  sky130_fd_sc_hd__nand3_1 g340397(.A (n_10354), .B (n_10154), .C
-       (n_8985), .Y (n_10548));
-  sky130_fd_sc_hd__o21ai_1 g340398(.A1 (n_10145), .A2
-       (u_soc_dccm_adapter_data_mem_error_internal), .B1 (n_13322), .Y
-       (n_10547));
-  sky130_fd_sc_hd__o21ai_1 g340399(.A1 (n_10145), .A2 (n_15897), .B1
-       (n_13323), .Y (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14]));
-  sky130_fd_sc_hd__or3b_2 g340400(.A (\u_soc_uart_to_xbar[d_valid] ),
-       .B (n_15896), .C_N (\u_soc_lsu_to_xbar[a_address] [31]), .X
-       (n_13558));
-  sky130_fd_sc_hd__nor2_1 g340401(.A (n_10145), .B
-       (u_soc_dccm_adapter_data_weD), .Y (n_10567));
-  sky130_fd_sc_hd__nand2_1 g340402(.A (n_10544), .B (n_10044), .Y
-       (n_10566));
-  sky130_fd_sc_hd__nand2_1 g340403(.A (n_10545), .B (n_10044), .Y
-       (n_10565));
-  sky130_fd_sc_hd__nor2_1 g340404(.A (n_10145), .B (u_soc_u_tcam_n_27),
-       .Y (n_10564));
-  sky130_fd_sc_hd__nand2_1 g340405(.A (n_10545), .B (n_10146), .Y
-       (n_10563));
-  sky130_fd_sc_hd__inv_2 g340406(.A (u_soc_u_tcam_n_27), .Y (n_10545));
-  sky130_fd_sc_hd__inv_2 g340407(.A (u_soc_dccm_adapter_data_weD), .Y
-       (n_10544));
-  sky130_fd_sc_hd__a221oi_1 g340412(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]), .C1
-       (n_10265), .Y (n_10543));
-  sky130_fd_sc_hd__nand2_1 g340413(.A (n_10345), .B (n_10155), .Y
-       (n_10542));
-  sky130_fd_sc_hd__nor2b_1 g340414(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [29]), .Y
-       (u_soc_u_tcam_data_addr[27]));
-  sky130_fd_sc_hd__nor2b_1 g340415(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [28]), .Y
-       (u_soc_u_tcam_data_addr[26]));
-  sky130_fd_sc_hd__nor2b_1 g340416(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [27]), .Y
-       (u_soc_u_tcam_data_addr[25]));
-  sky130_fd_sc_hd__nor2b_1 g340417(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [25]), .Y
-       (u_soc_u_tcam_data_addr[23]));
-  sky130_fd_sc_hd__nor2b_1 g340418(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [26]), .Y
-       (u_soc_u_tcam_data_addr[24]));
-  sky130_fd_sc_hd__nor2b_1 g340419(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [24]), .Y
-       (u_soc_u_tcam_data_addr[22]));
-  sky130_fd_sc_hd__nor2b_1 g340420(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [23]), .Y
-       (u_soc_u_tcam_data_addr[21]));
-  sky130_fd_sc_hd__nor2b_1 g340421(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [22]), .Y
-       (u_soc_u_tcam_data_addr[20]));
-  sky130_fd_sc_hd__nor2b_1 g340422(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [21]), .Y
-       (u_soc_u_tcam_data_addr[19]));
-  sky130_fd_sc_hd__nor2b_1 g340423(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [20]), .Y
-       (u_soc_u_tcam_data_addr[18]));
-  sky130_fd_sc_hd__nor2b_1 g340424(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [19]), .Y
-       (u_soc_u_tcam_data_addr[17]));
-  sky130_fd_sc_hd__nor2b_1 g340425(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [17]), .Y
-       (u_soc_u_tcam_data_addr[15]));
-  sky130_fd_sc_hd__nor2b_1 g340426(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [16]), .Y
-       (u_soc_u_tcam_data_addr[14]));
-  sky130_fd_sc_hd__nor2b_1 g340427(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [18]), .Y
-       (u_soc_u_tcam_data_addr[16]));
-  sky130_fd_sc_hd__nor2b_1 g340428(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [15]), .Y
-       (u_soc_u_tcam_data_addr[13]));
-  sky130_fd_sc_hd__nor2b_1 g340429(.A (n_15897), .B_N
-       (\u_soc_lsu_to_xbar[a_address] [14]), .Y
-       (u_soc_u_tcam_data_addr[12]));
-  sky130_fd_sc_hd__nor2b_1 g340430(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [13]), .Y
-       (u_soc_u_tcam_data_addr[11]));
-  sky130_fd_sc_hd__nor2b_1 g340431(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [12]), .Y
-       (u_soc_u_tcam_data_addr[10]));
-  sky130_fd_sc_hd__nor2b_1 g340432(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [11]), .Y
-       (u_soc_u_tcam_data_addr[9]));
-  sky130_fd_sc_hd__nor2b_1 g340433(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [9]), .Y
-       (u_soc_u_tcam_data_addr[7]));
-  sky130_fd_sc_hd__nor2b_1 g340434(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [8]), .Y
-       (u_soc_u_tcam_data_addr[6]));
-  sky130_fd_sc_hd__nor2b_1 g340435(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [10]), .Y
-       (u_soc_u_tcam_data_addr[8]));
-  sky130_fd_sc_hd__nor2b_1 g340436(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [7]), .Y
-       (u_soc_u_tcam_data_addr[5]));
-  sky130_fd_sc_hd__nor2b_1 g340437(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [5]), .Y
-       (u_soc_u_tcam_data_addr[3]));
-  sky130_fd_sc_hd__nor2b_1 g340438(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [6]), .Y
-       (u_soc_u_tcam_data_addr[4]));
-  sky130_fd_sc_hd__nor2b_1 g340439(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [4]), .Y
-       (u_soc_u_tcam_data_addr[2]));
-  sky130_fd_sc_hd__nor2b_1 g340440(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [3]), .Y
-       (u_soc_u_tcam_data_addr[1]));
-  sky130_fd_sc_hd__nor2b_1 g340441(.A (n_15897), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [2]), .Y
-       (u_soc_u_tcam_data_addr[0]));
-  sky130_fd_sc_hd__nand2_1 g340442(.A (n_10344), .B (n_10155), .Y
-       (n_10541));
-  sky130_fd_sc_hd__a221oi_1 g340443(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]), .C1
-       (n_10230), .Y (n_10540));
-  sky130_fd_sc_hd__nor2b_1 g340444(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_10539));
-  sky130_fd_sc_hd__nor2b_1 g340445(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [4]), .Y (n_10538));
-  sky130_fd_sc_hd__nor2b_1 g340446(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [6]), .Y (n_10537));
-  sky130_fd_sc_hd__nor2b_1 g340447(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [5]), .Y (n_10536));
-  sky130_fd_sc_hd__nor2b_1 g340448(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [7]), .Y (n_10535));
-  sky130_fd_sc_hd__nor2b_1 g340449(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [8]), .Y (n_10534));
-  sky130_fd_sc_hd__nor2b_1 g340450(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_10533));
-  sky130_fd_sc_hd__nor2b_1 g340451(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [10]), .Y (n_10532));
-  sky130_fd_sc_hd__nor2b_1 g340452(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [9]), .Y (n_10531));
-  sky130_fd_sc_hd__nor2b_1 g340453(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [11]), .Y (n_10530));
-  sky130_fd_sc_hd__nand2_1 g340454(.A (n_10345), .B (n_10146), .Y
-       (n_10529));
-  sky130_fd_sc_hd__nand2_1 g340455(.A (n_10344), .B (n_10146), .Y
-       (n_10528));
-  sky130_fd_sc_hd__a221oi_1 g340456(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]), .C1
-       (n_10231), .Y (n_10527));
-  sky130_fd_sc_hd__a221oi_1 g340457(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]), .B1
-       (n_8961), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85]
-       [1]), .C1 (n_10266), .Y (n_10526));
-  sky130_fd_sc_hd__a221oi_1 g340458(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]), .C1
-       (n_10232), .Y (n_10525));
-  sky130_fd_sc_hd__a221oi_1 g340459(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]), .C1
-       (n_10267), .Y (n_10524));
-  sky130_fd_sc_hd__nor2_1 g340460(.A (n_10156), .B
-       (u_soc_dccm_adapter_data_mem_error_internal), .Y (n_10523));
-  sky130_fd_sc_hd__nor2_1 g340461(.A (n_10156), .B (n_15897), .Y
-       (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30]));
-  sky130_fd_sc_hd__a221oi_1 g340462(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]), .C1
-       (n_10268), .Y (n_10522));
-  sky130_fd_sc_hd__or2_0 g340463(.A (n_13319), .B (n_15897), .X
-       (u_soc_u_tcam_n_26));
-  sky130_fd_sc_hd__nand2_1 g340464(.A (n_10351), .B (n_9325), .Y
-       (n_10521));
-  sky130_fd_sc_hd__nand2_1 g340465(.A (n_10350), .B (n_10333), .Y
-       (n_10520));
-  sky130_fd_sc_hd__o31a_1 g340466(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A2 (n_633), .A3
-       (n_10140), .B1 (n_13474), .X (n_10519));
-  sky130_fd_sc_hd__a21o_1 g340467(.A1 (la_data_in[7]), .A2 (n_10248),
-       .B1 (n_8984), .X (n_10518));
-  sky130_fd_sc_hd__a21oi_1 g340468(.A1 (n_8979), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]), .B1
-       (n_10339), .Y (n_10517));
-  sky130_fd_sc_hd__a221oi_1 g340469(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]), .C1
-       (n_10269), .Y (n_10516));
-  sky130_fd_sc_hd__a221oi_1 g340470(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]), .C1
-       (n_10270), .Y (n_10515));
-  sky130_fd_sc_hd__a32oi_1 g340471(.A1 (n_8885), .A2 (n_8815), .A3
-       (io_in[28]), .B1 (la_data_in[10]), .B2 (n_10248), .Y (n_10514));
-  sky130_fd_sc_hd__a221oi_1 g340472(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]), .C1
-       (n_10183), .Y (n_10513));
-  sky130_fd_sc_hd__a221oi_1 g340473(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]), .C1
-       (n_10195), .Y (n_10512));
-  sky130_fd_sc_hd__a221oi_1 g340474(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]), .C1
-       (n_10182), .Y (n_10511));
-  sky130_fd_sc_hd__a221oi_1 g340475(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]), .C1
-       (n_10181), .Y (n_10510));
-  sky130_fd_sc_hd__a221oi_1 g340476(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]), .C1
-       (n_10194), .Y (n_10509));
-  sky130_fd_sc_hd__a221oi_1 g340477(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]), .C1
-       (n_10180), .Y (n_10508));
-  sky130_fd_sc_hd__a221oi_1 g340478(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]), .C1
-       (n_10179), .Y (n_10507));
-  sky130_fd_sc_hd__a221oi_1 g340479(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]), .C1
-       (n_10178), .Y (n_10506));
-  sky130_fd_sc_hd__a221oi_1 g340480(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]), .C1
-       (n_10193), .Y (n_10505));
-  sky130_fd_sc_hd__a221oi_1 g340481(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]), .C1
-       (n_10177), .Y (n_10504));
-  sky130_fd_sc_hd__a221oi_1 g340482(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]), .C1
-       (n_10176), .Y (n_10503));
-  sky130_fd_sc_hd__a221oi_1 g340483(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]), .C1
-       (n_10175), .Y (n_10502));
-  sky130_fd_sc_hd__a221oi_1 g340484(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]), .C1
-       (n_10174), .Y (n_10501));
-  sky130_fd_sc_hd__a221oi_1 g340485(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]), .C1
-       (n_10192), .Y (n_10500));
-  sky130_fd_sc_hd__a221oi_1 g340486(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]), .C1
-       (n_10191), .Y (n_10499));
-  sky130_fd_sc_hd__a221oi_1 g340487(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]), .C1
-       (n_10173), .Y (n_10498));
-  sky130_fd_sc_hd__a221oi_1 g340488(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]), .C1
-       (n_10190), .Y (n_10497));
-  sky130_fd_sc_hd__a221oi_1 g340489(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]), .C1
-       (n_10172), .Y (n_10496));
-  sky130_fd_sc_hd__a221oi_1 g340490(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]), .C1
-       (n_10189), .Y (n_10495));
-  sky130_fd_sc_hd__a221oi_1 g340491(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]), .C1
-       (n_10171), .Y (n_10494));
-  sky130_fd_sc_hd__a221oi_1 g340492(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]), .C1
-       (n_10170), .Y (n_10493));
-  sky130_fd_sc_hd__a221oi_1 g340493(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]), .C1
-       (n_10169), .Y (n_10492));
-  sky130_fd_sc_hd__a221oi_1 g340494(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]), .C1
-       (n_10188), .Y (n_10491));
-  sky130_fd_sc_hd__a221oi_1 g340495(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]), .C1
-       (n_10168), .Y (n_10490));
-  sky130_fd_sc_hd__a221oi_1 g340496(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]), .C1
-       (n_10187), .Y (n_10489));
-  sky130_fd_sc_hd__a221o_1 g340497(.A1 (n_9006), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]), .B1
-       (n_9005), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]), .C1
-       (n_10186), .X (n_10488));
-  sky130_fd_sc_hd__a221oi_1 g340498(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]), .C1
-       (n_10167), .Y (n_10487));
-  sky130_fd_sc_hd__a221oi_1 g340499(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]), .C1
-       (n_10185), .Y (n_10486));
-  sky130_fd_sc_hd__a221oi_1 g340500(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]), .C1
-       (n_10166), .Y (n_10485));
-  sky130_fd_sc_hd__a221oi_1 g340501(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]), .C1
-       (n_10184), .Y (n_10484));
-  sky130_fd_sc_hd__a221oi_1 g340502(.A1 (n_9005), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]), .B1
-       (n_9006), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]), .C1
-       (n_10165), .Y (n_10483));
-  sky130_fd_sc_hd__a221oi_1 g340503(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]), .C1
-       (n_10276), .Y (n_10482));
-  sky130_fd_sc_hd__a221oi_1 g340504(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]), .C1
-       (n_10237), .Y (n_10481));
-  sky130_fd_sc_hd__a221oi_1 g340505(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]), .C1
-       (n_10275), .Y (n_10480));
-  sky130_fd_sc_hd__a221oi_1 g340506(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]), .C1
-       (n_10236), .Y (n_10479));
-  sky130_fd_sc_hd__a221oi_1 g340507(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]), .C1
-       (n_10274), .Y (n_10478));
-  sky130_fd_sc_hd__a221oi_1 g340508(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]), .C1
-       (n_10235), .Y (n_10477));
-  sky130_fd_sc_hd__a221oi_1 g340509(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]), .C1
-       (n_10234), .Y (n_10476));
-  sky130_fd_sc_hd__a221oi_1 g340510(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]), .C1
-       (n_10233), .Y (n_10475));
-  sky130_fd_sc_hd__a221oi_1 g340511(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]), .C1
-       (n_10273), .Y (n_10474));
-  sky130_fd_sc_hd__a221oi_1 g340512(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]), .C1
-       (n_10272), .Y (n_10473));
-  sky130_fd_sc_hd__a221oi_1 g340513(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]), .C1
-       (n_10271), .Y (n_10472));
-  sky130_fd_sc_hd__nand2_1 g340514(.A (n_10346), .B (n_8942), .Y
-       (n_10546));
-  sky130_fd_sc_hd__or2_0 g340515(.A (n_13316), .B
-       (u_soc_dccm_adapter_data_mem_error_internal), .X
-       (u_soc_dccm_adapter_data_csbD));
-  sky130_fd_sc_hd__nand2_1 g340517(.A (n_10345), .B (n_640), .Y
-       (n_13322));
-  sky130_fd_sc_hd__nand2_1 g340518(.A (n_10344), .B (n_640), .Y
-       (n_13323));
-  sky130_fd_sc_hd__and3_1 g340519(.A (n_10328), .B (n_13320), .C
-       (n_641), .X (n_13494));
-  sky130_fd_sc_hd__nand2_1 g340520(.A (n_10344), .B
-       (u_soc_u_top_data_we), .Y (u_soc_u_tcam_n_27));
-  sky130_fd_sc_hd__and3b_1 g340521(.A_N (n_13309), .B (n_13312), .C
-       (n_641), .X (n_13493));
-  sky130_fd_sc_hd__nand2_1 g340522(.A (n_10345), .B
-       (u_soc_u_top_data_we), .Y (u_soc_dccm_adapter_data_weD));
-  sky130_fd_sc_hd__a221oi_1 g340523(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]), .C1
-       (n_10204), .Y (n_10471));
-  sky130_fd_sc_hd__a221oi_1 g340524(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]), .C1
-       (n_10264), .Y (n_10470));
-  sky130_fd_sc_hd__a221oi_1 g340525(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]), .C1
-       (n_10263), .Y (n_10469));
-  sky130_fd_sc_hd__a221oi_1 g340526(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]), .C1
-       (n_10262), .Y (n_10468));
-  sky130_fd_sc_hd__a221oi_1 g340527(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]), .C1
-       (n_10228), .Y (n_10467));
-  sky130_fd_sc_hd__a221oi_1 g340528(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]), .C1
-       (n_10227), .Y (n_10466));
-  sky130_fd_sc_hd__a221oi_1 g340529(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]), .B1
-       (n_8961), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81]
-       [3]), .C1 (n_10261), .Y (n_10465));
-  sky130_fd_sc_hd__a221oi_1 g340530(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]), .C1
-       (n_10260), .Y (n_10464));
-  sky130_fd_sc_hd__a221oi_1 g340531(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]), .C1
-       (n_10226), .Y (n_10463));
-  sky130_fd_sc_hd__a221oi_1 g340532(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]), .C1
-       (n_10259), .Y (n_10462));
-  sky130_fd_sc_hd__a221oi_1 g340533(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]), .C1
-       (n_10258), .Y (n_10461));
-  sky130_fd_sc_hd__a221oi_1 g340534(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]), .C1
-       (n_10257), .Y (n_10460));
-  sky130_fd_sc_hd__a221oi_1 g340535(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]), .C1
-       (n_10225), .Y (n_10459));
-  sky130_fd_sc_hd__a221oi_1 g340536(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]), .C1
-       (n_10224), .Y (n_10458));
-  sky130_fd_sc_hd__a221oi_1 g340537(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]), .C1
-       (n_10223), .Y (n_10457));
-  sky130_fd_sc_hd__a221oi_1 g340538(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]), .C1
-       (n_10256), .Y (n_10456));
-  sky130_fd_sc_hd__a221oi_1 g340539(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]), .C1
-       (n_10255), .Y (n_10455));
-  sky130_fd_sc_hd__a221oi_1 g340540(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]), .C1
-       (n_10222), .Y (n_10454));
-  sky130_fd_sc_hd__a221oi_1 g340541(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]), .C1
-       (n_10254), .Y (n_10453));
-  sky130_fd_sc_hd__a221oi_1 g340542(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]), .C1
-       (n_10221), .Y (n_10452));
-  sky130_fd_sc_hd__a221oi_1 g340543(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]), .C1
-       (n_10253), .Y (n_10451));
-  sky130_fd_sc_hd__a221oi_1 g340544(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]), .C1
-       (n_10252), .Y (n_10450));
-  sky130_fd_sc_hd__a221oi_1 g340545(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]), .C1
-       (n_10251), .Y (n_10449));
-  sky130_fd_sc_hd__a221oi_1 g340546(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]), .C1
-       (n_10220), .Y (n_10448));
-  sky130_fd_sc_hd__a221oi_1 g340547(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]), .C1
-       (n_10250), .Y (n_10447));
-  sky130_fd_sc_hd__a221oi_1 g340548(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]), .C1
-       (n_10249), .Y (n_10446));
-  sky130_fd_sc_hd__a221oi_1 g340549(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]), .C1
-       (n_10293), .Y (n_10445));
-  sky130_fd_sc_hd__a221oi_1 g340550(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]), .C1
-       (n_10317), .Y (n_10444));
-  sky130_fd_sc_hd__a221oi_1 g340551(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]), .C1
-       (n_10318), .Y (n_10443));
-  sky130_fd_sc_hd__a221oi_1 g340552(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]), .C1
-       (n_10319), .Y (n_10442));
-  sky130_fd_sc_hd__a221oi_1 g340553(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]), .C1
-       (n_10219), .Y (n_10441));
-  sky130_fd_sc_hd__a221oi_1 g340554(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]), .C1
-       (n_10320), .Y (n_10440));
-  sky130_fd_sc_hd__a221oi_1 g340555(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]), .C1
-       (n_10321), .Y (n_10439));
-  sky130_fd_sc_hd__a221oi_1 g340556(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]), .C1
-       (n_10218), .Y (n_10438));
-  sky130_fd_sc_hd__a221oi_1 g340557(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]), .C1
-       (n_10322), .Y (n_10437));
-  sky130_fd_sc_hd__a221oi_1 g340558(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]), .C1
-       (n_10217), .Y (n_10436));
-  sky130_fd_sc_hd__a221oi_1 g340559(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]), .C1
-       (n_10323), .Y (n_10435));
-  sky130_fd_sc_hd__a221oi_1 g340560(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]), .C1
-       (n_10324), .Y (n_10434));
-  sky130_fd_sc_hd__a221oi_1 g340561(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]), .C1
-       (n_10216), .Y (n_10433));
-  sky130_fd_sc_hd__a221oi_1 g340562(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]), .C1
-       (n_10215), .Y (n_10432));
-  sky130_fd_sc_hd__a221oi_1 g340563(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]), .C1
-       (n_10214), .Y (n_10431));
-  sky130_fd_sc_hd__a221oi_1 g340564(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]), .C1
-       (n_10325), .Y (n_10430));
-  sky130_fd_sc_hd__a221oi_1 g340565(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]), .C1
-       (n_10326), .Y (n_10429));
-  sky130_fd_sc_hd__a221oi_1 g340566(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]), .C1
-       (n_10213), .Y (n_10428));
-  sky130_fd_sc_hd__a221oi_1 g340567(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]), .C1
-       (n_10201), .Y (n_10427));
-  sky130_fd_sc_hd__a221oi_1 g340568(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]), .C1
-       (n_10212), .Y (n_10426));
-  sky130_fd_sc_hd__a221oi_1 g340569(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]), .C1
-       (n_10211), .Y (n_10425));
-  sky130_fd_sc_hd__a221oi_1 g340570(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]), .C1
-       (n_10210), .Y (n_10424));
-  sky130_fd_sc_hd__a221oi_1 g340571(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]), .C1
-       (n_10209), .Y (n_10423));
-  sky130_fd_sc_hd__a221oi_1 g340572(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]), .C1
-       (n_10246), .Y (n_10422));
-  sky130_fd_sc_hd__a221oi_1 g340573(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]), .C1
-       (n_10245), .Y (n_10421));
-  sky130_fd_sc_hd__a221oi_1 g340574(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]), .C1
-       (n_10208), .Y (n_10420));
-  sky130_fd_sc_hd__a221oi_1 g340575(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]), .C1
-       (n_10207), .Y (n_10419));
-  sky130_fd_sc_hd__a221oi_1 g340576(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]), .C1
-       (n_10244), .Y (n_10418));
-  sky130_fd_sc_hd__a221oi_1 g340577(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]), .C1
-       (n_10243), .Y (n_10417));
-  sky130_fd_sc_hd__a221oi_1 g340578(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]), .C1
-       (n_10206), .Y (n_10416));
-  sky130_fd_sc_hd__a221oi_1 g340579(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]), .C1
-       (n_10242), .Y (n_10415));
-  sky130_fd_sc_hd__a221oi_1 g340580(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]), .C1
-       (n_10205), .Y (n_10414));
-  sky130_fd_sc_hd__a221oi_1 g340581(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]), .C1
-       (n_10229), .Y (n_10413));
-  sky130_fd_sc_hd__a221oi_1 g340582(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]), .C1
-       (n_10203), .Y (n_10412));
-  sky130_fd_sc_hd__a221oi_1 g340583(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]), .C1
-       (n_10202), .Y (n_10411));
-  sky130_fd_sc_hd__a221oi_1 g340584(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]), .C1
-       (n_10247), .Y (n_10410));
-  sky130_fd_sc_hd__a221oi_1 g340585(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]), .C1
-       (n_10241), .Y (n_10409));
-  sky130_fd_sc_hd__a221oi_1 g340586(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]), .C1
-       (n_10240), .Y (n_10408));
-  sky130_fd_sc_hd__a221oi_1 g340587(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]), .C1
-       (n_10239), .Y (n_10407));
-  sky130_fd_sc_hd__a221oi_1 g340588(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]), .C1
-       (n_10238), .Y (n_10406));
-  sky130_fd_sc_hd__a221oi_1 g340589(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]), .C1
-       (n_10200), .Y (n_10405));
-  sky130_fd_sc_hd__a221oi_1 g340590(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]), .C1
-       (n_10199), .Y (n_10404));
-  sky130_fd_sc_hd__a221oi_1 g340591(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]), .C1
-       (n_10198), .Y (n_10403));
-  sky130_fd_sc_hd__a221oi_1 g340592(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]), .C1
-       (n_10197), .Y (n_10402));
-  sky130_fd_sc_hd__a221oi_1 g340593(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]), .C1
-       (n_10196), .Y (n_10401));
-  sky130_fd_sc_hd__o2bb2ai_1 g340594(.A1_N (u_soc_iccm_ctrl_addr_o[0]),
-       .A2_N (n_8808), .B1 (n_10161), .B2 (n_10153), .Y (n_10400));
-  sky130_fd_sc_hd__o2bb2ai_1 g340595(.A1_N (u_soc_iccm_ctrl_addr_o[1]),
-       .A2_N (n_8808), .B1 (n_10160), .B2 (n_10153), .Y (n_10399));
-  sky130_fd_sc_hd__o2bb2ai_1 g340596(.A1_N (u_soc_iccm_ctrl_addr_o[2]),
-       .A2_N (n_8808), .B1 (n_10159), .B2 (n_10153), .Y (n_10398));
-  sky130_fd_sc_hd__o2bb2ai_1 g340597(.A1_N (u_soc_iccm_ctrl_addr_o[3]),
-       .A2_N (n_8808), .B1 (n_10158), .B2 (n_10153), .Y (n_10397));
-  sky130_fd_sc_hd__o2bb2ai_1 g340598(.A1_N (u_soc_iccm_ctrl_addr_o[4]),
-       .A2_N (n_8808), .B1 (n_10157), .B2 (n_10153), .Y (n_10396));
-  sky130_fd_sc_hd__o2bb2ai_1 g340599(.A1_N (u_soc_iccm_ctrl_addr_o[5]),
-       .A2_N (n_8808), .B1 (n_10162), .B2 (n_10153), .Y (n_10395));
-  sky130_fd_sc_hd__a2bb2oi_1 g340600(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2_N (n_10328), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B2 (n_13309), .Y (n_10394));
-  sky130_fd_sc_hd__a221oi_1 g340601(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]), .C1
-       (n_10292), .Y (n_10393));
-  sky130_fd_sc_hd__a221oi_1 g340602(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [1]), .C1 (n_10291), .Y (n_10392));
-  sky130_fd_sc_hd__a221oi_1 g340603(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [1]), .C1 (n_10290), .Y (n_10391));
-  sky130_fd_sc_hd__a221oi_1 g340604(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [1]), .C1 (n_10289), .Y (n_10390));
-  sky130_fd_sc_hd__a221oi_1 g340605(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [2]), .C1 (n_10314), .Y (n_10389));
-  sky130_fd_sc_hd__a221oi_1 g340606(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [2]), .C1 (n_10313), .Y (n_10388));
-  sky130_fd_sc_hd__a221oi_1 g340607(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [2]), .C1 (n_10288), .Y (n_10387));
-  sky130_fd_sc_hd__a221oi_1 g340608(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [2]), .C1 (n_10312), .Y (n_10386));
-  sky130_fd_sc_hd__a221oi_1 g340609(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [3]), .C1 (n_10311), .Y (n_10385));
-  sky130_fd_sc_hd__a221oi_1 g340610(.A1 (n_8976), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]), .B1
-       (n_8975), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40]
-       [3]), .C1 (n_10287), .Y (n_10384));
-  sky130_fd_sc_hd__a221oi_1 g340611(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [3]), .C1 (n_10310), .Y (n_10383));
-  sky130_fd_sc_hd__a221oi_1 g340612(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [3]), .C1 (n_10309), .Y (n_10382));
-  sky130_fd_sc_hd__a221oi_1 g340613(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [4]), .C1 (n_10308), .Y (n_10381));
-  sky130_fd_sc_hd__a221oi_1 g340614(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [4]), .C1 (n_10307), .Y (n_10380));
-  sky130_fd_sc_hd__a221oi_1 g340615(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [4]), .C1 (n_10306), .Y (n_10379));
-  sky130_fd_sc_hd__a221oi_1 g340616(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [4]), .C1 (n_10286), .Y (n_10378));
-  sky130_fd_sc_hd__a221oi_1 g340617(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [5]), .C1 (n_10305), .Y (n_10377));
-  sky130_fd_sc_hd__a221oi_1 g340618(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [5]), .C1 (n_10304), .Y (n_10376));
-  sky130_fd_sc_hd__a221oi_1 g340619(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [5]), .C1 (n_10285), .Y (n_10375));
-  sky130_fd_sc_hd__a221oi_1 g340620(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [5]), .C1 (n_10303), .Y (n_10374));
-  sky130_fd_sc_hd__a221oi_1 g340621(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [6]), .C1 (n_10284), .Y (n_10373));
-  sky130_fd_sc_hd__a221oi_1 g340622(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [6]), .C1 (n_10302), .Y (n_10372));
-  sky130_fd_sc_hd__a221oi_1 g340623(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [6]), .C1 (n_10283), .Y (n_10371));
-  sky130_fd_sc_hd__a221oi_1 g340624(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [6]), .C1 (n_10301), .Y (n_10370));
-  sky130_fd_sc_hd__a221oi_1 g340625(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [7]), .C1 (n_10327), .Y (n_10369));
-  sky130_fd_sc_hd__a221oi_1 g340626(.A1 (n_8976), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]), .B1
-       (n_8975), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40]
-       [7]), .C1 (n_10300), .Y (n_10368));
-  sky130_fd_sc_hd__a221oi_1 g340627(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [7]), .C1 (n_10299), .Y (n_10367));
-  sky130_fd_sc_hd__a221oi_1 g340628(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [7]), .C1 (n_10298), .Y (n_10366));
-  sky130_fd_sc_hd__a221oi_1 g340629(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [8]), .C1 (n_10297), .Y (n_10365));
-  sky130_fd_sc_hd__a221oi_1 g340630(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
-       [8]), .C1 (n_10282), .Y (n_10364));
-  sky130_fd_sc_hd__a221oi_1 g340631(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]), .B1
-       (n_8961), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [8]), .C1 (n_10281), .Y (n_10363));
-  sky130_fd_sc_hd__a221oi_1 g340632(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
-       [8]), .C1 (n_10280), .Y (n_10362));
-  sky130_fd_sc_hd__a221oi_1 g340633(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]), .B1
-       (n_8963), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]), .C1
-       (n_10279), .Y (n_10361));
-  sky130_fd_sc_hd__a221oi_1 g340634(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [1]), .C1 (n_10296), .Y (n_10360));
-  sky130_fd_sc_hd__a221oi_1 g340635(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [1]), .C1 (n_10295), .Y (n_10359));
-  sky130_fd_sc_hd__a221oi_1 g340636(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [1]), .C1 (n_10278), .Y (n_10358));
-  sky130_fd_sc_hd__a221oi_1 g340637(.A1 (n_8976), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]), .B1
-       (n_8975), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35]
-       [1]), .C1 (n_10294), .Y (n_10357));
-  sky130_fd_sc_hd__a22oi_1 g340638(.A1 (la_data_in[8]), .A2 (n_10248),
-       .B1 (n_9950), .B2 (io_in[29]), .Y (n_10356));
-  sky130_fd_sc_hd__nand2_1 g340639(.A (la_data_in[2]), .B (n_10248), .Y
-       (n_10355));
-  sky130_fd_sc_hd__nand2_1 g340640(.A (la_data_in[3]), .B (n_10248), .Y
-       (n_10354));
-  sky130_fd_sc_hd__nand2_1 g340641(.A (la_data_in[6]), .B (n_10248), .Y
-       (n_10353));
-  sky130_fd_sc_hd__and2_0 g340642(.A (la_data_in[9]), .B (n_10248), .X
-       (n_10352));
-  sky130_fd_sc_hd__nand2_1 g340643(.A (la_data_in[11]), .B (n_10248),
-       .Y (n_10351));
-  sky130_fd_sc_hd__nand2_1 g340644(.A (la_data_in[12]), .B (n_10248),
-       .Y (n_10350));
-  sky130_fd_sc_hd__and2_0 g340645(.A (la_data_in[13]), .B (n_10248), .X
-       (n_10349));
-  sky130_fd_sc_hd__and2_0 g340646(.A (la_data_in[14]), .B (n_10248), .X
-       (n_10348));
-  sky130_fd_sc_hd__and2_0 g340647(.A (la_data_in[15]), .B (n_10248), .X
-       (n_10347));
-  sky130_fd_sc_hd__inv_2 g340649(.A
-       (u_soc_dccm_adapter_data_mem_error_internal), .Y (n_10345));
-  sky130_fd_sc_hd__inv_2 g340650(.A (n_15897), .Y (n_10344));
-  sky130_fd_sc_hd__o2111ai_1 g340651(.A1 (n_13336), .A2 (n_9354), .B1
-       (n_13882), .C1 (n_15902), .D1 (\u_soc_lsu_to_xbar[a_address]
-       [31]), .Y (n_10343));
-  sky130_fd_sc_hd__a21oi_1 g340652(.A1
-       (\u_soc_lsu_to_xbar[a_address] [31]), .A2
-       (\u_soc_uart_to_xbar[d_valid] ), .B1 (n_15898), .Y (n_10342));
-  sky130_fd_sc_hd__a222oi_1 g340653(.A1 (n_13528), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [9]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [9]), .Y (n_10341));
-  sky130_fd_sc_hd__o21ai_0 g340654(.A1 (n_13318), .A2 (n_10153), .B1
-       (n_8943), .Y (n_10340));
-  sky130_fd_sc_hd__o221ai_1 g340655(.A1 (n_8866), .A2 (n_9891), .B1
-       (n_8933), .B2 (n_9892), .C1 (n_10277), .Y (n_10339));
-  sky130_fd_sc_hd__a222oi_1 g340656(.A1 (n_13527), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [8]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [8]), .Y (n_10338));
-  sky130_fd_sc_hd__a221o_1 g340657(.A1 (n_8934), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [11]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [11]), .C1 (n_10315), .X (\u_soc_ifu_to_xbar[a_address] [11]));
-  sky130_fd_sc_hd__a221o_1 g340658(.A1 (n_8934), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [10]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [10]), .C1 (n_10316), .X (\u_soc_ifu_to_xbar[a_address] [10]));
-  sky130_fd_sc_hd__a21oi_1 g340659(.A1 (n_8987), .A2 (io_in[27]), .B1
-       (n_10248), .Y (n_10346));
-  sky130_fd_sc_hd__nand3_1 g340660(.A (n_10330), .B
-       (\u_soc_lsu_to_xbar[a_address] [31]), .C (n_9349), .Y
-       (u_soc_dccm_adapter_data_mem_error_internal));
-  sky130_fd_sc_hd__inv_1 g340662(.A (n_10337), .Y (n_13354));
-  sky130_fd_sc_hd__inv_1 g340663(.A (n_10336), .Y (n_13357));
-  sky130_fd_sc_hd__inv_1 g340664(.A (n_10335), .Y (n_13355));
-  sky130_fd_sc_hd__inv_1 g340665(.A (n_10334), .Y (n_13356));
-  sky130_fd_sc_hd__inv_1 g340668(.A (n_10328), .Y (n_13308));
-  sky130_fd_sc_hd__o22ai_1 g340669(.A1 (n_8932), .A2 (n_9877), .B1
-       (n_8860), .B2 (n_10063), .Y (n_10327));
-  sky130_fd_sc_hd__o22ai_1 g340671(.A1 (n_8855), .A2 (n_10008), .B1
-       (n_8853), .B2 (n_9819), .Y (n_10326));
-  sky130_fd_sc_hd__o22ai_1 g340672(.A1 (n_8855), .A2 (n_10009), .B1
-       (n_8853), .B2 (n_9820), .Y (n_10325));
-  sky130_fd_sc_hd__o22ai_1 g340673(.A1 (n_8855), .A2 (n_10013), .B1
-       (n_8853), .B2 (n_9824), .Y (n_10324));
-  sky130_fd_sc_hd__o22ai_1 g340674(.A1 (n_8855), .A2 (n_10014), .B1
-       (n_8853), .B2 (n_9825), .Y (n_10323));
-  sky130_fd_sc_hd__o22ai_1 g340675(.A1 (n_8855), .A2 (n_10016), .B1
-       (n_8853), .B2 (n_9827), .Y (n_10322));
-  sky130_fd_sc_hd__o22ai_1 g340676(.A1 (n_8855), .A2 (n_10018), .B1
-       (n_8853), .B2 (n_9829), .Y (n_10321));
-  sky130_fd_sc_hd__o22ai_1 g340677(.A1 (n_8855), .A2 (n_10019), .B1
-       (n_8853), .B2 (n_9830), .Y (n_10320));
-  sky130_fd_sc_hd__o22ai_1 g340678(.A1 (n_8855), .A2 (n_10021), .B1
-       (n_8853), .B2 (n_9832), .Y (n_10319));
-  sky130_fd_sc_hd__o22ai_1 g340679(.A1 (n_8855), .A2 (n_10022), .B1
-       (n_8853), .B2 (n_9833), .Y (n_10318));
-  sky130_fd_sc_hd__o22ai_1 g340680(.A1 (n_8855), .A2 (n_10023), .B1
-       (n_8853), .B2 (n_9834), .Y (n_10317));
-  sky130_fd_sc_hd__nor2b_1 g340681(.A (n_13363), .B_N (n_13529), .Y
-       (n_10316));
-  sky130_fd_sc_hd__nor2b_1 g340682(.A (n_13363), .B_N (n_13530), .Y
-       (n_10315));
-  sky130_fd_sc_hd__o22ai_1 g340683(.A1 (n_8932), .A2 (n_10106), .B1
-       (n_8860), .B2 (n_9916), .Y (n_10314));
-  sky130_fd_sc_hd__o22ai_1 g340684(.A1 (n_8932), .A2 (n_10104), .B1
-       (n_8860), .B2 (n_9914), .Y (n_10313));
-  sky130_fd_sc_hd__o22ai_1 g340685(.A1 (n_8932), .A2 (n_10098), .B1
-       (n_8860), .B2 (n_9909), .Y (n_10312));
-  sky130_fd_sc_hd__o22ai_1 g340686(.A1 (n_8932), .A2 (n_10096), .B1
-       (n_8860), .B2 (n_9906), .Y (n_10311));
-  sky130_fd_sc_hd__o22ai_1 g340687(.A1 (n_8932), .A2 (n_10090), .B1
-       (n_8860), .B2 (n_9902), .Y (n_10310));
-  sky130_fd_sc_hd__o22ai_1 g340688(.A1 (n_8932), .A2 (n_10087), .B1
-       (n_8860), .B2 (n_9900), .Y (n_10309));
-  sky130_fd_sc_hd__o22ai_1 g340689(.A1 (n_8932), .A2 (n_10085), .B1
-       (n_8860), .B2 (n_9896), .Y (n_10308));
-  sky130_fd_sc_hd__o22ai_1 g340690(.A1 (n_8932), .A2 (n_10083), .B1
-       (n_8860), .B2 (n_9895), .Y (n_10307));
-  sky130_fd_sc_hd__o22ai_1 g340691(.A1 (n_8932), .A2 (n_10080), .B1
-       (n_8860), .B2 (n_9897), .Y (n_10306));
-  sky130_fd_sc_hd__o22ai_1 g340692(.A1 (n_8932), .A2 (n_10075), .B1
-       (n_8860), .B2 (n_9887), .Y (n_10305));
-  sky130_fd_sc_hd__o22ai_1 g340693(.A1 (n_8932), .A2 (n_10072), .B1
-       (n_8860), .B2 (n_9912), .Y (n_10304));
-  sky130_fd_sc_hd__o22ai_1 g340694(.A1 (n_8932), .A2 (n_10068), .B1
-       (n_8860), .B2 (n_9882), .Y (n_10303));
-  sky130_fd_sc_hd__o22ai_1 g340695(.A1 (n_8932), .A2 (n_10066), .B1
-       (n_8860), .B2 (n_9880), .Y (n_10302));
-  sky130_fd_sc_hd__o22ai_1 g340696(.A1 (n_8932), .A2 (n_10064), .B1
-       (n_8860), .B2 (n_9878), .Y (n_10301));
-  sky130_fd_sc_hd__o22ai_1 g340697(.A1 (n_8932), .A2 (n_10062), .B1
-       (n_8860), .B2 (n_9876), .Y (n_10300));
-  sky130_fd_sc_hd__o22ai_1 g340698(.A1 (n_8932), .A2 (n_10061), .B1
-       (n_8860), .B2 (n_9875), .Y (n_10299));
-  sky130_fd_sc_hd__o22ai_1 g340699(.A1 (n_8932), .A2 (n_10060), .B1
-       (n_8860), .B2 (n_9874), .Y (n_10298));
-  sky130_fd_sc_hd__o22ai_1 g340700(.A1 (n_8932), .A2 (n_10059), .B1
-       (n_8860), .B2 (n_9873), .Y (n_10297));
-  sky130_fd_sc_hd__o22ai_1 g340701(.A1 (n_8932), .A2 (n_9992), .B1
-       (n_8860), .B2 (n_9801), .Y (n_10296));
-  sky130_fd_sc_hd__o22ai_1 g340702(.A1 (n_8932), .A2 (n_9991), .B1
-       (n_8860), .B2 (n_9800), .Y (n_10295));
-  sky130_fd_sc_hd__o22ai_1 g340703(.A1 (n_8932), .A2 (n_9989), .B1
-       (n_8860), .B2 (n_9798), .Y (n_10294));
-  sky130_fd_sc_hd__o22ai_1 g340704(.A1 (n_8855), .A2 (n_10024), .B1
-       (n_8853), .B2 (n_9835), .Y (n_10293));
-  sky130_fd_sc_hd__o22ai_1 g340705(.A1 (n_8932), .A2 (n_10117), .B1
-       (n_8853), .B2 (n_9928), .Y (n_10292));
-  sky130_fd_sc_hd__o22ai_1 g340706(.A1 (n_8932), .A2 (n_9924), .B1
-       (n_8860), .B2 (n_10115), .Y (n_10291));
-  sky130_fd_sc_hd__o22ai_1 g340707(.A1 (n_8932), .A2 (n_9921), .B1
-       (n_8860), .B2 (n_10112), .Y (n_10290));
-  sky130_fd_sc_hd__o22ai_1 g340708(.A1 (n_8932), .A2 (n_9918), .B1
-       (n_8860), .B2 (n_10109), .Y (n_10289));
-  sky130_fd_sc_hd__o22ai_1 g340709(.A1 (n_8932), .A2 (n_9911), .B1
-       (n_8860), .B2 (n_10101), .Y (n_10288));
-  sky130_fd_sc_hd__o22ai_1 g340710(.A1 (n_8932), .A2 (n_9904), .B1
-       (n_8860), .B2 (n_10094), .Y (n_10287));
-  sky130_fd_sc_hd__o22ai_1 g340711(.A1 (n_8932), .A2 (n_9889), .B1
-       (n_8860), .B2 (n_10079), .Y (n_10286));
-  sky130_fd_sc_hd__o22ai_1 g340712(.A1 (n_8932), .A2 (n_9883), .B1
-       (n_8860), .B2 (n_10069), .Y (n_10285));
-  sky130_fd_sc_hd__o22ai_1 g340713(.A1 (n_8932), .A2 (n_9881), .B1
-       (n_8860), .B2 (n_10067), .Y (n_10284));
-  sky130_fd_sc_hd__o22ai_1 g340714(.A1 (n_8932), .A2 (n_9879), .B1
-       (n_8860), .B2 (n_10065), .Y (n_10283));
-  sky130_fd_sc_hd__o22ai_1 g340716(.A1 (n_8932), .A2 (n_9872), .B1
-       (n_8860), .B2 (n_10058), .Y (n_10282));
-  sky130_fd_sc_hd__o22ai_1 g340717(.A1 (n_8853), .A2 (n_9871), .B1
-       (n_8860), .B2 (n_10057), .Y (n_10281));
-  sky130_fd_sc_hd__o22ai_1 g340718(.A1 (n_8853), .A2 (n_9870), .B1
-       (n_8860), .B2 (n_10056), .Y (n_10280));
-  sky130_fd_sc_hd__o22ai_1 g340719(.A1 (n_8853), .A2 (n_10037), .B1
-       (n_8932), .B2 (n_9849), .Y (n_10279));
-  sky130_fd_sc_hd__o22ai_1 g340720(.A1 (n_8932), .A2 (n_9799), .B1
-       (n_8860), .B2 (n_9990), .Y (n_10278));
-  sky130_fd_sc_hd__a221oi_1 g340721(.A1 (n_8978), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]), .B1
-       (n_8981), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]), .C1
-       (n_10142), .Y (n_10277));
-  sky130_fd_sc_hd__o22ai_1 g340722(.A1 (n_8855), .A2 (n_10129), .B1
-       (n_8853), .B2 (n_9938), .Y (n_10276));
-  sky130_fd_sc_hd__o22ai_1 g340723(.A1 (n_8855), .A2 (n_10126), .B1
-       (n_8853), .B2 (n_9936), .Y (n_10275));
-  sky130_fd_sc_hd__o22ai_1 g340724(.A1 (n_8855), .A2 (n_10124), .B1
-       (n_8853), .B2 (n_9934), .Y (n_10274));
-  sky130_fd_sc_hd__o22ai_1 g340725(.A1 (n_8855), .A2 (n_10120), .B1
-       (n_8853), .B2 (n_9930), .Y (n_10273));
-  sky130_fd_sc_hd__o22ai_1 g340726(.A1 (n_8855), .A2 (n_10119), .B1
-       (n_8853), .B2 (n_9929), .Y (n_10272));
-  sky130_fd_sc_hd__o22ai_1 g340727(.A1 (n_8855), .A2 (n_10118), .B1
-       (n_8853), .B2 (n_9927), .Y (n_10271));
-  sky130_fd_sc_hd__o22ai_1 g340728(.A1 (n_8855), .A2 (n_10116), .B1
-       (n_8853), .B2 (n_9926), .Y (n_10270));
-  sky130_fd_sc_hd__o22ai_1 g340729(.A1 (n_8855), .A2 (n_10114), .B1
-       (n_8853), .B2 (n_9925), .Y (n_10269));
-  sky130_fd_sc_hd__o22ai_1 g340730(.A1 (n_8855), .A2 (n_10113), .B1
-       (n_8853), .B2 (n_9923), .Y (n_10268));
-  sky130_fd_sc_hd__o22ai_1 g340731(.A1 (n_8855), .A2 (n_10111), .B1
-       (n_8853), .B2 (n_9922), .Y (n_10267));
-  sky130_fd_sc_hd__o22ai_1 g340732(.A1 (n_8855), .A2 (n_10054), .B1
-       (n_8853), .B2 (n_9868), .Y (n_10266));
-  sky130_fd_sc_hd__o22ai_1 g340733(.A1 (n_8855), .A2 (n_10051), .B1
-       (n_8853), .B2 (n_9864), .Y (n_10265));
-  sky130_fd_sc_hd__o22ai_1 g340734(.A1 (n_8855), .A2 (n_10049), .B1
-       (n_8853), .B2 (n_9862), .Y (n_10264));
-  sky130_fd_sc_hd__o22ai_1 g340735(.A1 (n_8855), .A2 (n_10048), .B1
-       (n_8853), .B2 (n_9861), .Y (n_10263));
-  sky130_fd_sc_hd__o22ai_1 g340736(.A1 (n_8855), .A2 (n_10047), .B1
-       (n_8853), .B2 (n_9860), .Y (n_10262));
-  sky130_fd_sc_hd__o22ai_1 g340737(.A1 (n_8855), .A2 (n_10134), .B1
-       (n_8853), .B2 (n_9857), .Y (n_10261));
-  sky130_fd_sc_hd__o22ai_1 g340738(.A1 (n_8855), .A2 (n_10135), .B1
-       (n_8853), .B2 (n_9856), .Y (n_10260));
-  sky130_fd_sc_hd__o22ai_1 g340739(.A1 (n_8855), .A2 (n_10043), .B1
-       (n_8853), .B2 (n_9854), .Y (n_10259));
-  sky130_fd_sc_hd__o22ai_1 g340740(.A1 (n_8855), .A2 (n_10042), .B1
-       (n_8853), .B2 (n_9853), .Y (n_10258));
-  sky130_fd_sc_hd__o22ai_1 g340741(.A1 (n_8855), .A2 (n_10041), .B1
-       (n_8853), .B2 (n_9852), .Y (n_10257));
-  sky130_fd_sc_hd__o22ai_1 g340742(.A1 (n_8855), .A2 (n_10035), .B1
-       (n_8853), .B2 (n_9847), .Y (n_10256));
-  sky130_fd_sc_hd__o22ai_1 g340743(.A1 (n_8855), .A2 (n_10034), .B1
-       (n_8853), .B2 (n_9846), .Y (n_10255));
-  sky130_fd_sc_hd__o22ai_1 g340744(.A1 (n_8855), .A2 (n_10032), .B1
-       (n_8853), .B2 (n_9844), .Y (n_10254));
-  sky130_fd_sc_hd__o22ai_1 g340745(.A1 (n_8855), .A2 (n_10030), .B1
-       (n_8853), .B2 (n_9842), .Y (n_10253));
-  sky130_fd_sc_hd__o22ai_1 g340746(.A1 (n_8855), .A2 (n_10029), .B1
-       (n_8853), .B2 (n_9841), .Y (n_10252));
-  sky130_fd_sc_hd__o22ai_1 g340747(.A1 (n_8855), .A2 (n_10028), .B1
-       (n_8853), .B2 (n_9840), .Y (n_10251));
-  sky130_fd_sc_hd__o22ai_1 g340748(.A1 (n_8855), .A2 (n_10026), .B1
-       (n_8853), .B2 (n_9838), .Y (n_10250));
-  sky130_fd_sc_hd__o22ai_1 g340749(.A1 (n_8855), .A2 (n_10025), .B1
-       (n_8853), .B2 (n_9836), .Y (n_10249));
-  sky130_fd_sc_hd__or2_1 g340750(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B (n_13479), .X
-       (n_13478));
-  sky130_fd_sc_hd__a21boi_1 g340751(.A1 (n_9025), .A2 (n_9163), .B1_N
-       (n_13385), .Y (n_10337));
-  sky130_fd_sc_hd__a21boi_1 g340752(.A1 (n_9113), .A2 (n_9159), .B1_N
-       (n_13384), .Y (n_10336));
-  sky130_fd_sc_hd__a21boi_1 g340753(.A1 (n_9280), .A2 (n_9277), .B1_N
-       (n_13385), .Y (n_10335));
-  sky130_fd_sc_hd__a21boi_1 g340754(.A1 (n_9299), .A2 (n_9296), .B1_N
-       (n_13384), .Y (n_10334));
-  sky130_fd_sc_hd__nand3b_1 g340755(.A_N (io_in[30]), .B (n_10139), .C
-       (io_in[31]), .Y (n_10333));
-  sky130_fd_sc_hd__or2_2 g340756(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B (n_13474), .X
-       (n_13483));
-  sky130_fd_sc_hd__nor2_1 g340758(.A (\u_soc_lsu_to_xbar[a_address]
-       [30]), .B (n_10148), .Y (n_10330));
-  sky130_fd_sc_hd__nand2_1 g340759(.A (n_13310), .B
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_13309));
-  sky130_fd_sc_hd__nor2_1 g340760(.A
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (n_10147), .Y (n_10328));
-  sky130_fd_sc_hd__o22ai_1 g340761(.A1 (n_8855), .A2 (n_9793), .B1
-       (n_8853), .B2 (n_9985), .Y (n_10247));
-  sky130_fd_sc_hd__o22ai_1 g340762(.A1 (n_8855), .A2 (n_10001), .B1
-       (n_8853), .B2 (n_9810), .Y (n_10246));
-  sky130_fd_sc_hd__o22ai_1 g340763(.A1 (n_8855), .A2 (n_10000), .B1
-       (n_8853), .B2 (n_9809), .Y (n_10245));
-  sky130_fd_sc_hd__o22ai_1 g340764(.A1 (n_8855), .A2 (n_9997), .B1
-       (n_8853), .B2 (n_9806), .Y (n_10244));
-  sky130_fd_sc_hd__o22ai_1 g340765(.A1 (n_8855), .A2 (n_9996), .B1
-       (n_8853), .B2 (n_9805), .Y (n_10243));
-  sky130_fd_sc_hd__o22ai_1 g340766(.A1 (n_8855), .A2 (n_9994), .B1
-       (n_8853), .B2 (n_9803), .Y (n_10242));
-  sky130_fd_sc_hd__o22ai_1 g340767(.A1 (n_8855), .A2 (n_9984), .B1
-       (n_8853), .B2 (n_9792), .Y (n_10241));
-  sky130_fd_sc_hd__o22ai_1 g340768(.A1 (n_8855), .A2 (n_9983), .B1
-       (n_8853), .B2 (n_9791), .Y (n_10240));
-  sky130_fd_sc_hd__o22ai_1 g340769(.A1 (n_8855), .A2 (n_9982), .B1
-       (n_8853), .B2 (n_9790), .Y (n_10239));
-  sky130_fd_sc_hd__o22ai_1 g340770(.A1 (n_8855), .A2 (n_9981), .B1
-       (n_8853), .B2 (n_9789), .Y (n_10238));
-  sky130_fd_sc_hd__o22ai_1 g340771(.A1 (n_8855), .A2 (n_9937), .B1
-       (n_8853), .B2 (n_10128), .Y (n_10237));
-  sky130_fd_sc_hd__o22ai_1 g340772(.A1 (n_8855), .A2 (n_9935), .B1
-       (n_8853), .B2 (n_10125), .Y (n_10236));
-  sky130_fd_sc_hd__o22ai_1 g340773(.A1 (n_8855), .A2 (n_9933), .B1
-       (n_8853), .B2 (n_10123), .Y (n_10235));
-  sky130_fd_sc_hd__o22ai_1 g340774(.A1 (n_8855), .A2 (n_9932), .B1
-       (n_8853), .B2 (n_10122), .Y (n_10234));
-  sky130_fd_sc_hd__o22ai_1 g340775(.A1 (n_8855), .A2 (n_9931), .B1
-       (n_8853), .B2 (n_10121), .Y (n_10233));
-  sky130_fd_sc_hd__o22ai_1 g340776(.A1 (n_8855), .A2 (n_9869), .B1
-       (n_8853), .B2 (n_10055), .Y (n_10232));
-  sky130_fd_sc_hd__o22ai_1 g340777(.A1 (n_8855), .A2 (n_9867), .B1
-       (n_8853), .B2 (n_10053), .Y (n_10231));
-  sky130_fd_sc_hd__o22ai_1 g340778(.A1 (n_8855), .A2 (n_9866), .B1
-       (n_8853), .B2 (n_10052), .Y (n_10230));
-  sky130_fd_sc_hd__o22ai_1 g340779(.A1 (n_8855), .A2 (n_9863), .B1
-       (n_8853), .B2 (n_10050), .Y (n_10229));
-  sky130_fd_sc_hd__o22ai_1 g340780(.A1 (n_8855), .A2 (n_9859), .B1
-       (n_8853), .B2 (n_10046), .Y (n_10228));
-  sky130_fd_sc_hd__o22ai_1 g340781(.A1 (n_8855), .A2 (n_9858), .B1
-       (n_8853), .B2 (n_10133), .Y (n_10227));
-  sky130_fd_sc_hd__o22ai_1 g340782(.A1 (n_8855), .A2 (n_9855), .B1
-       (n_8853), .B2 (n_10136), .Y (n_10226));
-  sky130_fd_sc_hd__o22ai_1 g340783(.A1 (n_8855), .A2 (n_9851), .B1
-       (n_8853), .B2 (n_10040), .Y (n_10225));
-  sky130_fd_sc_hd__o22ai_1 g340784(.A1 (n_8855), .A2 (n_9850), .B1
-       (n_8853), .B2 (n_10038), .Y (n_10224));
-  sky130_fd_sc_hd__o22ai_1 g340785(.A1 (n_8855), .A2 (n_9848), .B1
-       (n_8853), .B2 (n_10036), .Y (n_10223));
-  sky130_fd_sc_hd__o22ai_1 g340786(.A1 (n_8855), .A2 (n_9845), .B1
-       (n_8853), .B2 (n_10033), .Y (n_10222));
-  sky130_fd_sc_hd__o22ai_1 g340787(.A1 (n_8855), .A2 (n_9843), .B1
-       (n_8853), .B2 (n_10031), .Y (n_10221));
-  sky130_fd_sc_hd__o22ai_1 g340788(.A1 (n_8855), .A2 (n_9839), .B1
-       (n_8853), .B2 (n_10027), .Y (n_10220));
-  sky130_fd_sc_hd__o22ai_1 g340789(.A1 (n_8855), .A2 (n_9831), .B1
-       (n_8853), .B2 (n_10020), .Y (n_10219));
-  sky130_fd_sc_hd__o22ai_1 g340790(.A1 (n_8855), .A2 (n_9828), .B1
-       (n_8853), .B2 (n_10017), .Y (n_10218));
-  sky130_fd_sc_hd__o22ai_1 g340791(.A1 (n_8855), .A2 (n_9826), .B1
-       (n_8853), .B2 (n_10015), .Y (n_10217));
-  sky130_fd_sc_hd__o22ai_1 g340792(.A1 (n_8855), .A2 (n_9823), .B1
-       (n_8853), .B2 (n_10012), .Y (n_10216));
-  sky130_fd_sc_hd__o22ai_1 g340793(.A1 (n_8855), .A2 (n_9822), .B1
-       (n_8853), .B2 (n_10011), .Y (n_10215));
-  sky130_fd_sc_hd__o22ai_1 g340794(.A1 (n_8855), .A2 (n_9821), .B1
-       (n_8853), .B2 (n_10010), .Y (n_10214));
-  sky130_fd_sc_hd__o22ai_1 g340795(.A1 (n_8855), .A2 (n_9817), .B1
-       (n_8853), .B2 (n_10007), .Y (n_10213));
-  sky130_fd_sc_hd__o22ai_1 g340796(.A1 (n_8855), .A2 (n_9814), .B1
-       (n_8853), .B2 (n_10005), .Y (n_10212));
-  sky130_fd_sc_hd__o22ai_1 g340797(.A1 (n_8855), .A2 (n_9813), .B1
-       (n_8853), .B2 (n_10004), .Y (n_10211));
-  sky130_fd_sc_hd__o22ai_1 g340798(.A1 (n_8855), .A2 (n_9812), .B1
-       (n_8853), .B2 (n_10003), .Y (n_10210));
-  sky130_fd_sc_hd__o22ai_1 g340799(.A1 (n_8855), .A2 (n_9811), .B1
-       (n_8853), .B2 (n_10002), .Y (n_10209));
-  sky130_fd_sc_hd__o22ai_1 g340800(.A1 (n_8855), .A2 (n_9808), .B1
-       (n_8853), .B2 (n_9999), .Y (n_10208));
-  sky130_fd_sc_hd__o22ai_1 g340801(.A1 (n_8855), .A2 (n_9949), .B1
-       (n_8853), .B2 (n_9998), .Y (n_10207));
-  sky130_fd_sc_hd__o22ai_1 g340802(.A1 (n_8855), .A2 (n_9804), .B1
-       (n_8853), .B2 (n_9995), .Y (n_10206));
-  sky130_fd_sc_hd__o22ai_1 g340803(.A1 (n_8855), .A2 (n_9802), .B1
-       (n_8853), .B2 (n_9993), .Y (n_10205));
-  sky130_fd_sc_hd__o22ai_1 g340804(.A1 (n_8855), .A2 (n_9797), .B1
-       (n_8853), .B2 (n_9988), .Y (n_10204));
-  sky130_fd_sc_hd__o22ai_1 g340805(.A1 (n_8855), .A2 (n_9796), .B1
-       (n_8853), .B2 (n_9987), .Y (n_10203));
-  sky130_fd_sc_hd__o22ai_1 g340806(.A1 (n_8855), .A2 (n_9794), .B1
-       (n_8853), .B2 (n_9986), .Y (n_10202));
-  sky130_fd_sc_hd__o22ai_1 g340807(.A1 (n_8855), .A2 (n_10006), .B1
-       (n_8853), .B2 (n_9815), .Y (n_10201));
-  sky130_fd_sc_hd__o22ai_1 g340808(.A1 (n_8855), .A2 (n_9788), .B1
-       (n_8853), .B2 (n_9980), .Y (n_10200));
-  sky130_fd_sc_hd__o22ai_1 g340809(.A1 (n_8855), .A2 (n_9786), .B1
-       (n_8853), .B2 (n_9978), .Y (n_10199));
-  sky130_fd_sc_hd__o22ai_1 g340810(.A1 (n_8855), .A2 (n_9785), .B1
-       (n_8853), .B2 (n_9977), .Y (n_10198));
-  sky130_fd_sc_hd__o22ai_1 g340811(.A1 (n_8855), .A2 (n_9784), .B1
-       (n_8853), .B2 (n_9976), .Y (n_10197));
-  sky130_fd_sc_hd__o22ai_1 g340812(.A1 (n_8855), .A2 (n_9939), .B1
-       (n_8853), .B2 (n_10132), .Y (n_10196));
-  sky130_fd_sc_hd__o22ai_1 g340813(.A1 (n_8866), .A2 (n_10108), .B1
-       (n_8933), .B2 (n_9919), .Y (n_10195));
-  sky130_fd_sc_hd__o22ai_1 g340814(.A1 (n_8866), .A2 (n_10105), .B1
-       (n_8933), .B2 (n_9915), .Y (n_10194));
-  sky130_fd_sc_hd__o22ai_1 g340815(.A1 (n_8866), .A2 (n_10100), .B1
-       (n_8933), .B2 (n_9910), .Y (n_10193));
-  sky130_fd_sc_hd__o22ai_1 g340816(.A1 (n_8866), .A2 (n_10093), .B1
-       (n_8933), .B2 (n_9818), .Y (n_10192));
-  sky130_fd_sc_hd__o22ai_1 g340817(.A1 (n_8866), .A2 (n_10092), .B1
-       (n_8933), .B2 (n_9903), .Y (n_10191));
-  sky130_fd_sc_hd__o22ai_1 g340818(.A1 (n_8866), .A2 (n_10089), .B1
-       (n_8933), .B2 (n_9901), .Y (n_10190));
-  sky130_fd_sc_hd__o22ai_1 g340819(.A1 (n_8866), .A2 (n_10086), .B1
-       (n_8933), .B2 (n_9898), .Y (n_10189));
-  sky130_fd_sc_hd__o22ai_1 g340820(.A1 (n_8866), .A2 (n_10082), .B1
-       (n_8933), .B2 (n_9893), .Y (n_10188));
-  sky130_fd_sc_hd__o22ai_1 g340821(.A1 (n_8866), .A2 (n_10078), .B1
-       (n_8933), .B2 (n_9816), .Y (n_10187));
-  sky130_fd_sc_hd__o22ai_1 g340822(.A1 (n_8866), .A2 (n_10077), .B1
-       (n_8933), .B2 (n_9888), .Y (n_10186));
-  sky130_fd_sc_hd__o22ai_1 g340823(.A1 (n_8866), .A2 (n_10074), .B1
-       (n_8933), .B2 (n_9886), .Y (n_10185));
-  sky130_fd_sc_hd__o22ai_1 g340824(.A1 (n_8866), .A2 (n_10071), .B1
-       (n_8933), .B2 (n_9884), .Y (n_10184));
-  sky130_fd_sc_hd__o22ai_1 g340825(.A1 (n_8866), .A2 (n_9920), .B1
-       (n_8933), .B2 (n_10110), .Y (n_10183));
-  sky130_fd_sc_hd__o22ai_1 g340826(.A1 (n_8866), .A2 (n_9917), .B1
-       (n_8933), .B2 (n_10107), .Y (n_10182));
-  sky130_fd_sc_hd__o22ai_1 g340827(.A1 (n_8866), .A2 (n_9974), .B1
-       (n_8933), .B2 (n_10127), .Y (n_10181));
-  sky130_fd_sc_hd__o22ai_1 g340828(.A1 (n_8866), .A2 (n_9795), .B1
-       (n_8933), .B2 (n_9979), .Y (n_10180));
-  sky130_fd_sc_hd__o22ai_1 g340829(.A1 (n_8866), .A2 (n_9913), .B1
-       (n_8933), .B2 (n_10103), .Y (n_10179));
-  sky130_fd_sc_hd__o22ai_1 g340830(.A1 (n_8866), .A2 (n_9973), .B1
-       (n_8933), .B2 (n_10102), .Y (n_10178));
-  sky130_fd_sc_hd__o22ai_1 g340831(.A1 (n_8866), .A2 (n_9908), .B1
-       (n_8933), .B2 (n_10099), .Y (n_10177));
-  sky130_fd_sc_hd__o22ai_1 g340832(.A1 (n_8866), .A2 (n_9907), .B1
-       (n_8933), .B2 (n_10130), .Y (n_10176));
-  sky130_fd_sc_hd__o22ai_1 g340833(.A1 (n_8866), .A2 (n_9967), .B1
-       (n_8933), .B2 (n_10097), .Y (n_10175));
-  sky130_fd_sc_hd__o22ai_1 g340834(.A1 (n_8866), .A2 (n_9905), .B1
-       (n_8933), .B2 (n_10095), .Y (n_10174));
-  sky130_fd_sc_hd__o22ai_1 g340835(.A1 (n_8866), .A2 (n_9972), .B1
-       (n_8933), .B2 (n_10091), .Y (n_10173));
-  sky130_fd_sc_hd__o22ai_1 g340836(.A1 (n_8866), .A2 (n_9899), .B1
-       (n_8933), .B2 (n_10088), .Y (n_10172));
-  sky130_fd_sc_hd__o22ai_1 g340837(.A1 (n_8866), .A2 (n_9971), .B1
-       (n_8933), .B2 (n_10131), .Y (n_10171));
-  sky130_fd_sc_hd__o22ai_1 g340838(.A1 (n_8866), .A2 (n_9865), .B1
-       (n_8933), .B2 (n_10039), .Y (n_10170));
-  sky130_fd_sc_hd__o22ai_1 g340839(.A1 (n_8866), .A2 (n_9894), .B1
-       (n_8933), .B2 (n_10084), .Y (n_10169));
-  sky130_fd_sc_hd__o22ai_1 g340840(.A1 (n_8866), .A2 (n_9970), .B1
-       (n_8933), .B2 (n_10081), .Y (n_10168));
-  sky130_fd_sc_hd__o22ai_1 g340841(.A1 (n_8866), .A2 (n_9969), .B1
-       (n_8933), .B2 (n_10076), .Y (n_10167));
-  sky130_fd_sc_hd__o22ai_1 g340842(.A1 (n_8866), .A2 (n_9885), .B1
-       (n_8933), .B2 (n_10073), .Y (n_10166));
-  sky130_fd_sc_hd__o22ai_1 g340843(.A1 (n_8866), .A2 (n_9975), .B1
-       (n_8933), .B2 (n_10070), .Y (n_10165));
-  sky130_fd_sc_hd__o22ai_1 g340844(.A1 (n_9952), .A2 (n_9968), .B1
-       (n_8832), .B2 (n_10045), .Y (n_10164));
-  sky130_fd_sc_hd__o211ai_1 g340845(.A1 (n_13337), .A2 (n_9352), .B1
-       (n_13884), .C1 (n_15901), .Y (n_10163));
-  sky130_fd_sc_hd__a222oi_1 g340846(.A1 (n_13347), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [7]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [7]), .Y (n_10162));
-  sky130_fd_sc_hd__a222oi_1 g340847(.A1 (n_13531), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [2]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [2]), .Y (n_10161));
-  sky130_fd_sc_hd__a222oi_1 g340848(.A1 (n_13348), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [3]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [3]), .Y (n_10160));
-  sky130_fd_sc_hd__a222oi_1 g340849(.A1 (n_13532), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [4]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [4]), .Y (n_10159));
-  sky130_fd_sc_hd__a222oi_1 g340850(.A1 (n_13349), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [5]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [5]), .Y (n_10158));
-  sky130_fd_sc_hd__a222oi_1 g340851(.A1 (n_13533), .A2 (n_8868), .B1
-       (n_8934), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [6]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [6]), .Y (n_10157));
-  sky130_fd_sc_hd__nor3b_1 g340852(.A (io_in[31]), .B (io_in[30]), .C_N
-       (n_10139), .Y (n_10248));
-  sky130_fd_sc_hd__inv_2 g340853(.A (n_10153), .Y (n_10152));
-  sky130_fd_sc_hd__o211ai_1 g340854(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_8881), .C1
-       (n_9951), .Y (n_10151));
-  sky130_fd_sc_hd__nand2b_1 g340855(.A_N
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B
-       (u_soc_prog_rst_ni), .Y (n_10150));
-  sky130_fd_sc_hd__nor2_1 g340856(.A (n_640), .B (n_10044), .Y
-       (n_10156));
-  sky130_fd_sc_hd__nand2_1 g340857(.A (n_9341), .B (n_9962), .Y
-       (n_13528));
-  sky130_fd_sc_hd__nand2_1 g340858(.A (n_9342), .B (n_9961), .Y
-       (n_13527));
-  sky130_fd_sc_hd__nand2_1 g340859(.A (n_9343), .B (n_9959), .Y
-       (n_13530));
-  sky130_fd_sc_hd__or3_1 g340860(.A (n_577), .B (n_9351), .C (n_13480),
-       .X (n_13485));
-  sky130_fd_sc_hd__nand2_1 g340861(.A (n_9344), .B (n_9960), .Y
-       (n_13529));
-  sky130_fd_sc_hd__o2111ai_1 g340862(.A1 (n_9010), .A2 (n_8862), .B1
-       (n_8997), .C1 (n_13340), .D1 (n_9324), .Y (n_10155));
-  sky130_fd_sc_hd__nand2_1 g340863(.A (n_10139), .B (io_in[30]), .Y
-       (n_10154));
-  sky130_fd_sc_hd__a21oi_1 g340864(.A1 (n_15904), .A2
-       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .B1 (n_9353), .Y
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309));
-  sky130_fd_sc_hd__nand2_1 g340865(.A
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B
-       (u_soc_prog_rst_ni), .Y (n_10153));
-  sky130_fd_sc_hd__inv_1 g340867(.A (n_10147), .Y (n_13310));
-  sky130_fd_sc_hd__a21oi_1 g340868(.A1 (n_8882), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B1 (n_10045), .Y
-       (n_10144));
-  sky130_fd_sc_hd__or4_1 g340869(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
-       (n_13461), .C (n_8960), .D
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .X (n_10143));
-  sky130_fd_sc_hd__o2bb2ai_1 g340870(.A1_N
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]), .A2_N
-       (n_8980), .B1 (n_8874), .B2 (n_9890), .Y (n_10142));
-  sky130_fd_sc_hd__o32ai_1 g340871(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .A2 (n_8986), .A3
-       (n_9351), .B1 (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B2
-       (n_9940), .Y (n_10141));
-  sky130_fd_sc_hd__or4_1 g340872(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B (n_577), .C (n_12),
-       .D (n_13473), .X (n_10140));
-  sky130_fd_sc_hd__a32oi_1 g340873(.A1 (n_9782), .A2 (n_8951), .A3
-       (n_8952), .B1 (n_8955), .B2 (n_8947), .Y (n_10148));
-  sky130_fd_sc_hd__or3_1 g340874(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C (n_13481), .X
-       (n_13479));
-  sky130_fd_sc_hd__o21ai_1 g340875(.A1 (n_8817), .A2 (n_13314), .B1
-       (n_10137), .Y (n_13384));
-  sky130_fd_sc_hd__o21ai_1 g340876(.A1 (n_8818), .A2 (n_13314), .B1
-       (n_10138), .Y (n_13385));
-  sky130_fd_sc_hd__a31oi_1 g340877(.A1 (n_13311), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A3
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .B1 (n_9965), .Y (n_10147));
-  sky130_fd_sc_hd__or3_1 g340878(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C (n_9783), .X
-       (n_13474));
-  sky130_fd_sc_hd__o221ai_1 g340879(.A1 (n_8863), .A2 (n_9837), .B1
-       (n_9010), .B2 (n_8864), .C1 (n_8998), .Y (n_10146));
-  sky130_fd_sc_hd__a21oi_1 g340880(.A1 (n_8865), .A2
-       (u_soc_u_top_u_core_lsu_type[1]), .B1 (n_9966), .Y (n_10145));
-  sky130_fd_sc_hd__nand2b_1 g340881(.A_N (n_13317), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [3]), .Y (n_10138));
-  sky130_fd_sc_hd__nand2b_1 g340882(.A_N (n_13317), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_10137));
-  sky130_fd_sc_hd__a221oi_1 g340883(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]), .C1
-       (n_9176), .Y (n_10136));
-  sky130_fd_sc_hd__a221oi_1 g340884(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [4]), .C1 (n_9177), .Y (n_10135));
-  sky130_fd_sc_hd__a221oi_1 g340885(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [3]), .C1 (n_9180), .Y (n_10134));
-  sky130_fd_sc_hd__a221oi_1 g340886(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]), .C1
-       (n_9184), .Y (n_10133));
-  sky130_fd_sc_hd__a221oi_1 g340887(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]), .C1
-       (n_9319), .Y (n_10132));
-  sky130_fd_sc_hd__a21boi_0 g340888(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]), .B1_N
-       (n_9762), .Y (n_10131));
-  sky130_fd_sc_hd__a21boi_0 g340889(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]), .B1_N
-       (n_9771), .Y (n_10130));
-  sky130_fd_sc_hd__a221oi_1 g340890(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [5]), .C1 (n_9315), .Y (n_10129));
-  sky130_fd_sc_hd__a221oi_1 g340891(.A1 (n_8912), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]), .C1
-       (n_9314), .Y (n_10128));
-  sky130_fd_sc_hd__a21boi_0 g340892(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]), .B1_N
-       (n_9778), .Y (n_10127));
-  sky130_fd_sc_hd__a221oi_1 g340893(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [5]), .C1 (n_9312), .Y (n_10126));
-  sky130_fd_sc_hd__a221oi_1 g340894(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]), .C1
-       (n_9311), .Y (n_10125));
-  sky130_fd_sc_hd__a221oi_1 g340895(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]), .C1
-       (n_9308), .Y (n_10124));
-  sky130_fd_sc_hd__a221oi_1 g340896(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]), .C1
-       (n_9307), .Y (n_10123));
-  sky130_fd_sc_hd__a221oi_1 g340897(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]), .C1
-       (n_9305), .Y (n_10122));
-  sky130_fd_sc_hd__a221oi_1 g340898(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]), .C1
-       (n_9303), .Y (n_10121));
-  sky130_fd_sc_hd__a221oi_1 g340899(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]), .C1
-       (n_9300), .Y (n_10120));
-  sky130_fd_sc_hd__a221oi_1 g340900(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [7]), .C1 (n_9297), .Y (n_10119));
-  sky130_fd_sc_hd__a221oi_1 g340901(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]), .C1
-       (n_9293), .Y (n_10118));
-  sky130_fd_sc_hd__a221oi_1 g340902(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]), .C1
-       (n_9292), .Y (n_10117));
-  sky130_fd_sc_hd__a221oi_1 g340903(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]), .C1
-       (n_9274), .Y (n_10116));
-  sky130_fd_sc_hd__a221oi_1 g340904(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]), .C1
-       (n_9289), .Y (n_10115));
-  sky130_fd_sc_hd__a221oi_1 g340905(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [8]), .C1 (n_9288), .Y (n_10114));
-  sky130_fd_sc_hd__a221oi_1 g340906(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]), .C1
-       (n_9285), .Y (n_10113));
-  sky130_fd_sc_hd__a221oi_1 g340907(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]), .C1
-       (n_9282), .Y (n_10112));
-  sky130_fd_sc_hd__a221oi_1 g340908(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]), .C1
-       (n_9283), .Y (n_10111));
-  sky130_fd_sc_hd__a21boi_0 g340909(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]), .B1_N
-       (n_9781), .Y (n_10110));
-  sky130_fd_sc_hd__a221oi_1 g340910(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]), .C1
-       (n_9276), .Y (n_10109));
-  sky130_fd_sc_hd__a21boi_0 g340911(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]), .B1_N
-       (n_9780), .Y (n_10108));
-  sky130_fd_sc_hd__a21boi_0 g340912(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]), .B1_N
-       (n_9779), .Y (n_10107));
-  sky130_fd_sc_hd__a221oi_1 g340913(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]), .C1
-       (n_9273), .Y (n_10106));
-  sky130_fd_sc_hd__a21boi_0 g340914(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]), .B1_N
-       (n_9777), .Y (n_10105));
-  sky130_fd_sc_hd__a221oi_1 g340915(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]), .C1
-       (n_9269), .Y (n_10104));
-  sky130_fd_sc_hd__a21boi_0 g340916(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]), .B1_N
-       (n_9775), .Y (n_10103));
-  sky130_fd_sc_hd__a21boi_0 g340917(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]), .B1_N
-       (n_9774), .Y (n_10102));
-  sky130_fd_sc_hd__a221oi_1 g340918(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]), .C1
-       (n_9267), .Y (n_10101));
-  sky130_fd_sc_hd__a21boi_0 g340919(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]), .B1_N
-       (n_9773), .Y (n_10100));
-  sky130_fd_sc_hd__a21boi_0 g340920(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]), .B1_N
-       (n_9772), .Y (n_10099));
-  sky130_fd_sc_hd__a221oi_1 g340921(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [2]), .C1 (n_9253), .Y (n_10098));
-  sky130_fd_sc_hd__a21boi_0 g340922(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]), .B1_N
-       (n_9770), .Y (n_10097));
-  sky130_fd_sc_hd__a221oi_1 g340923(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]), .C1
-       (n_9260), .Y (n_10096));
-  sky130_fd_sc_hd__a21boi_0 g340924(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]), .B1_N
-       (n_9769), .Y (n_10095));
-  sky130_fd_sc_hd__a221oi_1 g340925(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]), .C1
-       (n_9258), .Y (n_10094));
-  sky130_fd_sc_hd__a21boi_0 g340926(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]), .B1_N
-       (n_9768), .Y (n_10093));
-  sky130_fd_sc_hd__a21boi_0 g340927(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]), .B1_N
-       (n_9767), .Y (n_10092));
-  sky130_fd_sc_hd__a21boi_0 g340928(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]), .B1_N
-       (n_9766), .Y (n_10091));
-  sky130_fd_sc_hd__a221oi_1 g340929(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [3]), .C1 (n_9254), .Y (n_10090));
-  sky130_fd_sc_hd__a21boi_0 g340930(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]), .B1_N
-       (n_9765), .Y (n_10089));
-  sky130_fd_sc_hd__a21boi_0 g340931(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]), .B1_N
-       (n_9764), .Y (n_10088));
-  sky130_fd_sc_hd__a221oi_1 g340932(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [3]), .C1 (n_9052), .Y (n_10087));
-  sky130_fd_sc_hd__a21boi_0 g340933(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]), .B1_N
-       (n_9763), .Y (n_10086));
-  sky130_fd_sc_hd__a221oi_1 g340934(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [4]), .C1 (n_9170), .Y (n_10085));
-  sky130_fd_sc_hd__a21boi_0 g340935(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]), .B1_N
-       (n_9760), .Y (n_10084));
-  sky130_fd_sc_hd__a221oi_1 g340936(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]), .C1
-       (n_9244), .Y (n_10083));
-  sky130_fd_sc_hd__a21boi_0 g340937(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]), .B1_N
-       (n_9759), .Y (n_10082));
-  sky130_fd_sc_hd__a21boi_0 g340938(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]), .B1_N
-       (n_9758), .Y (n_10081));
-  sky130_fd_sc_hd__a221oi_1 g340939(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [4]), .C1 (n_9241), .Y (n_10080));
-  sky130_fd_sc_hd__a221oi_1 g340940(.A1 (n_8912), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]), .C1
-       (n_9238), .Y (n_10079));
-  sky130_fd_sc_hd__a21boi_0 g340941(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]), .B1_N
-       (n_9757), .Y (n_10078));
-  sky130_fd_sc_hd__a21boi_0 g340942(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]), .B1_N
-       (n_9756), .Y (n_10077));
-  sky130_fd_sc_hd__a21boi_0 g340943(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]), .B1_N
-       (n_9755), .Y (n_10076));
-  sky130_fd_sc_hd__a221oi_1 g340944(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [5]), .C1 (n_9232), .Y (n_10075));
-  sky130_fd_sc_hd__a21boi_0 g340945(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]), .B1_N
-       (n_9754), .Y (n_10074));
-  sky130_fd_sc_hd__a21boi_0 g340946(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]), .B1_N
-       (n_9753), .Y (n_10073));
-  sky130_fd_sc_hd__a221oi_1 g340947(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [5]), .C1 (n_9216), .Y (n_10072));
-  sky130_fd_sc_hd__a21boi_0 g340948(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]), .B1_N
-       (n_9752), .Y (n_10071));
-  sky130_fd_sc_hd__a21boi_0 g340949(.A1 (n_8856), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]), .B1_N
-       (n_9751), .Y (n_10070));
-  sky130_fd_sc_hd__a221oi_1 g340950(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]), .C1
-       (n_9226), .Y (n_10069));
-  sky130_fd_sc_hd__a221oi_1 g340951(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [5]), .C1 (n_9223), .Y (n_10068));
-  sky130_fd_sc_hd__a221oi_1 g340952(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]), .C1
-       (n_9221), .Y (n_10067));
-  sky130_fd_sc_hd__a221oi_1 g340953(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [6]), .C1 (n_9218), .Y (n_10066));
-  sky130_fd_sc_hd__a221oi_1 g340954(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]), .C1
-       (n_9217), .Y (n_10065));
-  sky130_fd_sc_hd__a221oi_1 g340955(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [6]), .C1 (n_9212), .Y (n_10064));
-  sky130_fd_sc_hd__a221oi_1 g340956(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]), .C1
-       (n_9211), .Y (n_10063));
-  sky130_fd_sc_hd__a221oi_1 g340957(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]), .C1
-       (n_9208), .Y (n_10062));
-  sky130_fd_sc_hd__a221oi_1 g340958(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [7]), .C1 (n_9205), .Y (n_10061));
-  sky130_fd_sc_hd__a221oi_1 g340959(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [7]), .C1 (n_9203), .Y (n_10060));
-  sky130_fd_sc_hd__a221oi_1 g340960(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [8]), .C1 (n_9199), .Y (n_10059));
-  sky130_fd_sc_hd__a221oi_1 g340961(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]), .C1
-       (n_9198), .Y (n_10058));
-  sky130_fd_sc_hd__a221oi_1 g340962(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]), .C1
-       (n_9196), .Y (n_10057));
-  sky130_fd_sc_hd__a221oi_1 g340963(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]), .C1
-       (n_9193), .Y (n_10056));
-  sky130_fd_sc_hd__a221oi_1 g340964(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]), .C1
-       (n_9261), .Y (n_10055));
-  sky130_fd_sc_hd__a221oi_1 g340965(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [1]), .C1 (n_9328), .Y (n_10054));
-  sky130_fd_sc_hd__a221oi_1 g340966(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]), .C1
-       (n_9329), .Y (n_10053));
-  sky130_fd_sc_hd__a221oi_1 g340967(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [1]), .C1 (n_9333), .Y (n_10052));
-  sky130_fd_sc_hd__a221oi_1 g340968(.A1 (n_8912), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]), .C1
-       (n_9336), .Y (n_10051));
-  sky130_fd_sc_hd__a221oi_1 g340969(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]), .C1
-       (n_9338), .Y (n_10050));
-  sky130_fd_sc_hd__a221oi_1 g340970(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [2]), .C1 (n_9346), .Y (n_10049));
-  sky130_fd_sc_hd__a221oi_1 g340971(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [2]), .C1 (n_9102), .Y (n_10048));
-  sky130_fd_sc_hd__a221oi_1 g340972(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [3]), .C1 (n_9188), .Y (n_10047));
-  sky130_fd_sc_hd__a221oi_1 g340973(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]), .C1
-       (n_9186), .Y (n_10046));
-  sky130_fd_sc_hd__nand2b_1 g340974(.A_N (n_13321), .B (n_641), .Y
-       (n_13316));
-  sky130_fd_sc_hd__nand2b_1 g340975(.A_N (n_13344), .B (n_641), .Y
-       (n_13319));
-  sky130_fd_sc_hd__nor2b_1 g340976(.A (io_in[29]), .B_N (n_9950), .Y
-       (n_10139));
-  sky130_fd_sc_hd__nand2_1 g340977(.A (n_13362), .B (n_8819), .Y
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]));
-  sky130_fd_sc_hd__inv_2 g340978(.A (n_9958), .Y (n_13348));
-  sky130_fd_sc_hd__inv_2 g340979(.A (n_9957), .Y (n_13533));
-  sky130_fd_sc_hd__inv_2 g340980(.A (n_9956), .Y (n_13532));
-  sky130_fd_sc_hd__inv_2 g340981(.A (n_9955), .Y (n_13531));
-  sky130_fd_sc_hd__inv_2 g340982(.A (n_9954), .Y (n_13347));
-  sky130_fd_sc_hd__inv_2 g340983(.A (n_9953), .Y (n_13349));
-  sky130_fd_sc_hd__a221oi_1 g340984(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]), .C1
-       (n_9172), .Y (n_10043));
-  sky130_fd_sc_hd__a221oi_1 g340985(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]), .C1
-       (n_9169), .Y (n_10042));
-  sky130_fd_sc_hd__a221oi_1 g340986(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]), .C1
-       (n_9166), .Y (n_10041));
-  sky130_fd_sc_hd__a221oi_1 g340987(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]), .C1
-       (n_9165), .Y (n_10040));
-  sky130_fd_sc_hd__a21boi_0 g340988(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]), .B1_N
-       (n_9761), .Y (n_10039));
-  sky130_fd_sc_hd__a221oi_1 g340989(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [5]), .C1 (n_9161), .Y (n_10038));
-  sky130_fd_sc_hd__a221oi_1 g340990(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]), .C1
-       (n_9157), .Y (n_10037));
-  sky130_fd_sc_hd__a221oi_1 g340991(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]), .C1
-       (n_9155), .Y (n_10036));
-  sky130_fd_sc_hd__a221oi_1 g340992(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [6]), .C1 (n_9152), .Y (n_10035));
-  sky130_fd_sc_hd__a221oi_1 g340993(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [6]), .C1 (n_9149), .Y (n_10034));
-  sky130_fd_sc_hd__a221oi_1 g340994(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [6]), .C1 (n_9148), .Y (n_10033));
-  sky130_fd_sc_hd__a221oi_1 g340995(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]), .C1
-       (n_9144), .Y (n_10032));
-  sky130_fd_sc_hd__a221oi_1 g340996(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]), .C1
-       (n_9143), .Y (n_10031));
-  sky130_fd_sc_hd__a221oi_1 g340997(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]), .C1
-       (n_9138), .Y (n_10030));
-  sky130_fd_sc_hd__a221oi_1 g340998(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]), .C1
-       (n_9135), .Y (n_10029));
-  sky130_fd_sc_hd__a221oi_1 g340999(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]), .C1
-       (n_9133), .Y (n_10028));
-  sky130_fd_sc_hd__a221oi_1 g341000(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]), .C1
-       (n_9131), .Y (n_10027));
-  sky130_fd_sc_hd__a221oi_1 g341001(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]), .C1
-       (n_9128), .Y (n_10026));
-  sky130_fd_sc_hd__a221oi_1 g341002(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]), .C1
-       (n_9125), .Y (n_10025));
-  sky130_fd_sc_hd__a221oi_1 g341003(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [1]), .C1 (n_9123), .Y (n_10024));
-  sky130_fd_sc_hd__a221oi_1 g341004(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [1]), .C1 (n_9120), .Y (n_10023));
-  sky130_fd_sc_hd__a221oi_1 g341005(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [1]), .C1 (n_9118), .Y (n_10022));
-  sky130_fd_sc_hd__a221oi_1 g341006(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [1]), .C1 (n_9115), .Y (n_10021));
-  sky130_fd_sc_hd__a221oi_1 g341007(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]), .C1
-       (n_9114), .Y (n_10020));
-  sky130_fd_sc_hd__a221oi_1 g341008(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]), .C1
-       (n_9108), .Y (n_10019));
-  sky130_fd_sc_hd__a221oi_1 g341009(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]), .C1
-       (n_9106), .Y (n_10018));
-  sky130_fd_sc_hd__a221oi_1 g341010(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]), .C1
-       (n_9104), .Y (n_10017));
-  sky130_fd_sc_hd__a221oi_1 g341011(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [3]), .C1 (n_9101), .Y (n_10016));
-  sky130_fd_sc_hd__a221oi_1 g341012(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]), .C1
-       (n_9099), .Y (n_10015));
-  sky130_fd_sc_hd__a221oi_1 g341013(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [3]), .C1 (n_9096), .Y (n_10014));
-  sky130_fd_sc_hd__a221oi_1 g341014(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [3]), .C1 (n_9093), .Y (n_10013));
-  sky130_fd_sc_hd__a221oi_1 g341015(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]), .C1
-       (n_9092), .Y (n_10012));
-  sky130_fd_sc_hd__a221oi_1 g341016(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]), .C1
-       (n_9090), .Y (n_10011));
-  sky130_fd_sc_hd__a221oi_1 g341017(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]), .C1
-       (n_9088), .Y (n_10010));
-  sky130_fd_sc_hd__a221oi_1 g341018(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [4]), .C1 (n_9085), .Y (n_10009));
-  sky130_fd_sc_hd__a221oi_1 g341019(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [5]), .C1 (n_9083), .Y (n_10008));
-  sky130_fd_sc_hd__a221oi_1 g341020(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]), .C1
-       (n_9082), .Y (n_10007));
-  sky130_fd_sc_hd__a221oi_1 g341021(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]), .C1
-       (n_9079), .Y (n_10006));
-  sky130_fd_sc_hd__a221oi_1 g341022(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [5]), .C1 (n_9078), .Y (n_10005));
-  sky130_fd_sc_hd__a221oi_1 g341023(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]), .C1
-       (n_9076), .Y (n_10004));
-  sky130_fd_sc_hd__a221oi_1 g341024(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]), .C1
-       (n_9074), .Y (n_10003));
-  sky130_fd_sc_hd__a221oi_1 g341025(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]), .C1
-       (n_9072), .Y (n_10002));
-  sky130_fd_sc_hd__a221oi_1 g341026(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]), .C1
-       (n_9069), .Y (n_10001));
-  sky130_fd_sc_hd__a221oi_1 g341027(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]), .C1
-       (n_9066), .Y (n_10000));
-  sky130_fd_sc_hd__a221oi_1 g341028(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]), .C1
-       (n_9065), .Y (n_9999));
-  sky130_fd_sc_hd__a221oi_1 g341029(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]), .C1
-       (n_9063), .Y (n_9998));
-  sky130_fd_sc_hd__a221oi_1 g341030(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]), .C1
-       (n_9059), .Y (n_9997));
-  sky130_fd_sc_hd__a221oi_1 g341031(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [8]), .C1 (n_9057), .Y (n_9996));
-  sky130_fd_sc_hd__a221oi_1 g341032(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]), .C1
-       (n_9056), .Y (n_9995));
-  sky130_fd_sc_hd__a221oi_1 g341033(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [8]), .C1 (n_9053), .Y (n_9994));
-  sky130_fd_sc_hd__a221oi_1 g341034(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [8]), .C1 (n_9051), .Y (n_9993));
-  sky130_fd_sc_hd__a221oi_1 g341035(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95]
-       [1]), .C1 (n_9048), .Y (n_9992));
-  sky130_fd_sc_hd__a221oi_1 g341036(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87]
-       [1]), .C1 (n_9046), .Y (n_9991));
-  sky130_fd_sc_hd__a221oi_1 g341037(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]), .C1
-       (n_9045), .Y (n_9990));
-  sky130_fd_sc_hd__a221oi_1 g341038(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]), .C1
-       (n_9042), .Y (n_9989));
-  sky130_fd_sc_hd__a221oi_1 g341039(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]), .C1
-       (n_9041), .Y (n_9988));
-  sky130_fd_sc_hd__a221oi_1 g341040(.A1 (n_8912), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]), .C1
-       (n_9039), .Y (n_9987));
-  sky130_fd_sc_hd__a221oi_1 g341041(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]), .C1
-       (n_9037), .Y (n_9986));
-  sky130_fd_sc_hd__a221oi_1 g341042(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [2]), .C1 (n_9035), .Y (n_9985));
-  sky130_fd_sc_hd__a221oi_1 g341043(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [3]), .C1 (n_9032), .Y (n_9984));
-  sky130_fd_sc_hd__a221oi_1 g341044(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [3]), .C1 (n_9029), .Y (n_9983));
-  sky130_fd_sc_hd__a221oi_1 g341045(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [3]), .C1 (n_9027), .Y (n_9982));
-  sky130_fd_sc_hd__a221oi_1 g341046(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]), .C1
-       (n_9024), .Y (n_9981));
-  sky130_fd_sc_hd__a221oi_1 g341047(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]), .C1
-       (n_9023), .Y (n_9980));
-  sky130_fd_sc_hd__a21boi_0 g341048(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]), .B1_N
-       (n_9776), .Y (n_9979));
-  sky130_fd_sc_hd__a221oi_1 g341049(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]), .B1
-       (n_8845), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]), .C1
-       (n_9021), .Y (n_9978));
-  sky130_fd_sc_hd__a221oi_1 g341050(.A1 (n_8912), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]), .C1
-       (n_9019), .Y (n_9977));
-  sky130_fd_sc_hd__a221oi_1 g341051(.A1 (n_8911), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]), .B1
-       (n_8844), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]), .C1
-       (n_9017), .Y (n_9976));
-  sky130_fd_sc_hd__a221oi_1 g341052(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]), .C1
-       (n_9600), .Y (n_9975));
-  sky130_fd_sc_hd__a221oi_1 g341053(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]), .C1
-       (n_9690), .Y (n_9974));
-  sky130_fd_sc_hd__a221oi_1 g341054(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]), .C1
-       (n_9941), .Y (n_9973));
-  sky130_fd_sc_hd__a221oi_1 g341055(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]), .C1
-       (n_9651), .Y (n_9972));
-  sky130_fd_sc_hd__a221oi_1 g341056(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]), .C1
-       (n_9636), .Y (n_9971));
-  sky130_fd_sc_hd__a221oi_1 g341057(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]), .C1
-       (n_9623), .Y (n_9970));
-  sky130_fd_sc_hd__a221oi_1 g341058(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]), .C1
-       (n_9612), .Y (n_9969));
-  sky130_fd_sc_hd__a2bb2oi_1 g341059(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2_N (n_577), .B1
-       (n_8954), .B2 (n_8881), .Y (n_9968));
-  sky130_fd_sc_hd__a221oi_1 g341060(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]), .C1
-       (n_9666), .Y (n_9967));
-  sky130_fd_sc_hd__o22ai_1 g341061(.A1 (n_9331), .A2
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_9010), .B2
-       (n_8810), .Y (n_9966));
-  sky130_fd_sc_hd__and3b_1 g341062(.A_N
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (n_13313), .C
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .X (n_9965));
-  sky130_fd_sc_hd__o21bai_1 g341063(.A1 (n_13466), .A2 (n_9191), .B1_N
-       (n_13491), .Y (n_9964));
-  sky130_fd_sc_hd__o21ai_0 g341064(.A1 (io_in[29]), .A2 (n_8823), .B1
-       (n_9950), .Y (n_9963));
-  sky130_fd_sc_hd__a222oi_1 g341065(.A1
-       (u_soc_u_top_u_core_csr_mtvec[9]), .A2 (n_13489), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[9]), .C1 (n_13534), .C2
-       (u_soc_u_top_u_core_csr_depc[9]), .Y (n_9962));
-  sky130_fd_sc_hd__a222oi_1 g341066(.A1
-       (u_soc_u_top_u_core_csr_mtvec[8]), .A2 (n_13489), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[8]), .C1 (n_13534), .C2
-       (u_soc_u_top_u_core_csr_depc[8]), .Y (n_9961));
-  sky130_fd_sc_hd__a222oi_1 g341067(.A1
-       (u_soc_u_top_u_core_csr_mtvec[10]), .A2 (n_13489), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[10]), .C1 (n_13534), .C2
-       (u_soc_u_top_u_core_csr_depc[10]), .Y (n_9960));
-  sky130_fd_sc_hd__a222oi_1 g341068(.A1
-       (u_soc_u_top_u_core_csr_mtvec[11]), .A2 (n_13489), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[11]), .C1 (n_13534), .C2
-       (u_soc_u_top_u_core_csr_depc[11]), .Y (n_9959));
-  sky130_fd_sc_hd__or4_1 g341069(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .C (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .D
-       (n_8989), .X (n_13882));
-  sky130_fd_sc_hd__a222oi_1 g341070(.A1
-       (u_soc_u_top_u_core_csr_depc[3]), .A2 (n_13534), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[3]), .C1 (n_13745), .C2
-       (n_8983), .Y (n_9958));
-  sky130_fd_sc_hd__a222oi_1 g341071(.A1
-       (u_soc_u_top_u_core_csr_depc[6]), .A2 (n_13534), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[6]), .C1 (n_13748), .C2
-       (n_8983), .Y (n_9957));
-  sky130_fd_sc_hd__a222oi_1 g341072(.A1
-       (u_soc_u_top_u_core_csr_depc[4]), .A2 (n_13534), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[4]), .C1 (n_13746), .C2
-       (n_8983), .Y (n_9956));
-  sky130_fd_sc_hd__a222oi_1 g341073(.A1
-       (u_soc_u_top_u_core_csr_depc[2]), .A2 (n_13534), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[2]), .C1 (n_13744), .C2
-       (n_8983), .Y (n_9955));
-  sky130_fd_sc_hd__a222oi_1 g341074(.A1
-       (u_soc_u_top_u_core_csr_depc[7]), .A2 (n_13534), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[7]), .C1 (n_13749), .C2
-       (n_8983), .Y (n_9954));
-  sky130_fd_sc_hd__a222oi_1 g341075(.A1
-       (u_soc_u_top_u_core_csr_depc[5]), .A2 (n_13534), .B1 (n_9007),
-       .B2 (u_soc_u_top_u_core_csr_mepc[5]), .C1 (n_13747), .C2
-       (n_8983), .Y (n_9953));
-  sky130_fd_sc_hd__or4_1 g341076(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .C (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .D
-       (n_8994), .X (n_13884));
-  sky130_fd_sc_hd__or3_1 g341077(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B (n_633), .C
-       (n_13482), .X (n_10045));
-  sky130_fd_sc_hd__o22ai_1 g341080(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .A2
-       (n_9323), .B1 (n_8814), .B2 (n_8864), .Y (n_10044));
-  sky130_fd_sc_hd__inv_1 g341081(.A (n_9951), .Y (n_9952));
-  sky130_fd_sc_hd__a221oi_1 g341082(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]), .C1
-       (n_9062), .Y (n_9949));
-  sky130_fd_sc_hd__a22oi_1 g341083(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]), .B1
-       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [2]), .Y (n_9948));
-  sky130_fd_sc_hd__a22oi_1 g341084(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]), .Y
-       (n_9947));
-  sky130_fd_sc_hd__a22oi_1 g341085(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]), .Y
-       (n_9946));
-  sky130_fd_sc_hd__a22oi_1 g341086(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]), .Y
-       (n_9945));
-  sky130_fd_sc_hd__a22oi_1 g341087(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]), .Y
-       (n_9944));
-  sky130_fd_sc_hd__a22oi_1 g341088(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]), .Y
-       (n_9943));
-  sky130_fd_sc_hd__a22oi_1 g341089(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]), .Y
-       (n_9942));
-  sky130_fd_sc_hd__a22o_1 g341090(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [2]), .X (n_9941));
-  sky130_fd_sc_hd__nand3_1 g341091(.A (n_9013), .B (n_8882), .C
-       (n_8988), .Y (n_9940));
-  sky130_fd_sc_hd__a221oi_1 g341092(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]), .C1
-       (n_9317), .Y (n_9939));
-  sky130_fd_sc_hd__a221oi_1 g341093(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]), .C1
-       (n_9316), .Y (n_9938));
-  sky130_fd_sc_hd__a221oi_1 g341094(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]), .C1
-       (n_9348), .Y (n_9937));
-  sky130_fd_sc_hd__a221oi_1 g341095(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]), .C1
-       (n_9313), .Y (n_9936));
-  sky130_fd_sc_hd__a221oi_1 g341096(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]), .C1
-       (n_9310), .Y (n_9935));
-  sky130_fd_sc_hd__a221oi_1 g341097(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]), .C1
-       (n_9309), .Y (n_9934));
-  sky130_fd_sc_hd__a221oi_1 g341098(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]), .C1
-       (n_9306), .Y (n_9933));
-  sky130_fd_sc_hd__a221oi_1 g341099(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]), .C1
-       (n_9304), .Y (n_9932));
-  sky130_fd_sc_hd__a221oi_1 g341100(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]), .C1
-       (n_9302), .Y (n_9931));
-  sky130_fd_sc_hd__a221oi_1 g341101(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]), .C1
-       (n_9301), .Y (n_9930));
-  sky130_fd_sc_hd__a221oi_1 g341102(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]), .C1
-       (n_9298), .Y (n_9929));
-  sky130_fd_sc_hd__a221oi_1 g341103(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]), .C1
-       (n_9294), .Y (n_9928));
-  sky130_fd_sc_hd__a221oi_1 g341104(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]), .C1
-       (n_9295), .Y (n_9927));
-  sky130_fd_sc_hd__a221oi_1 g341105(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]), .C1
-       (n_9291), .Y (n_9926));
-  sky130_fd_sc_hd__a221oi_1 g341106(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]), .C1
-       (n_9290), .Y (n_9925));
-  sky130_fd_sc_hd__a221oi_1 g341107(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]), .C1
-       (n_9287), .Y (n_9924));
-  sky130_fd_sc_hd__a221oi_1 g341108(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]), .C1
-       (n_9286), .Y (n_9923));
-  sky130_fd_sc_hd__a221oi_1 g341109(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]), .C1
-       (n_9284), .Y (n_9922));
-  sky130_fd_sc_hd__a221oi_1 g341110(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]), .C1
-       (n_9281), .Y (n_9921));
-  sky130_fd_sc_hd__a221oi_1 g341111(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]), .C1
-       (n_9279), .Y (n_9920));
-  sky130_fd_sc_hd__a221oi_1 g341112(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]), .C1
-       (n_9278), .Y (n_9919));
-  sky130_fd_sc_hd__a221oi_1 g341113(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]), .C1
-       (n_9236), .Y (n_9918));
-  sky130_fd_sc_hd__a221oi_1 g341114(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]), .C1
-       (n_9275), .Y (n_9917));
-  sky130_fd_sc_hd__a221oi_1 g341115(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]), .C1
-       (n_9249), .Y (n_9916));
-  sky130_fd_sc_hd__a221oi_1 g341116(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]), .C1
-       (n_9272), .Y (n_9915));
-  sky130_fd_sc_hd__a221oi_1 g341117(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]), .C1
-       (n_9270), .Y (n_9914));
-  sky130_fd_sc_hd__a221oi_1 g341118(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]), .C1
-       (n_9268), .Y (n_9913));
-  sky130_fd_sc_hd__a221oi_1 g341119(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]), .C1
-       (n_9229), .Y (n_9912));
-  sky130_fd_sc_hd__a221oi_1 g341120(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]), .C1
-       (n_9266), .Y (n_9911));
-  sky130_fd_sc_hd__a221oi_1 g341121(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]), .C1
-       (n_9265), .Y (n_9910));
-  sky130_fd_sc_hd__a221oi_1 g341122(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]), .C1
-       (n_9262), .Y (n_9909));
-  sky130_fd_sc_hd__a221oi_1 g341123(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]), .C1
-       (n_9263), .Y (n_9908));
-  sky130_fd_sc_hd__a221oi_1 g341124(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]), .C1
-       (n_9031), .Y (n_9907));
-  sky130_fd_sc_hd__a221oi_1 g341125(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]), .C1
-       (n_9318), .Y (n_9906));
-  sky130_fd_sc_hd__a221oi_1 g341126(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]), .C1
-       (n_9259), .Y (n_9905));
-  sky130_fd_sc_hd__a221oi_1 g341127(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]), .C1
-       (n_9257), .Y (n_9904));
-  sky130_fd_sc_hd__a221oi_1 g341128(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]), .C1
-       (n_9256), .Y (n_9903));
-  sky130_fd_sc_hd__a221oi_1 g341129(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]), .C1
-       (n_9235), .Y (n_9902));
-  sky130_fd_sc_hd__a221oi_1 g341130(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]), .C1
-       (n_9255), .Y (n_9901));
-  sky130_fd_sc_hd__a221oi_1 g341131(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]), .C1
-       (n_9250), .Y (n_9900));
-  sky130_fd_sc_hd__a221oi_1 g341132(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]), .C1
-       (n_9251), .Y (n_9899));
-  sky130_fd_sc_hd__a221oi_1 g341133(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]), .C1
-       (n_9247), .Y (n_9898));
-  sky130_fd_sc_hd__a221oi_1 g341134(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]), .C1
-       (n_9264), .Y (n_9897));
-  sky130_fd_sc_hd__a221oi_1 g341135(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]), .C1
-       (n_9061), .Y (n_9896));
-  sky130_fd_sc_hd__a221oi_1 g341136(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]), .C1
-       (n_9139), .Y (n_9895));
-  sky130_fd_sc_hd__a221oi_1 g341137(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]), .C1
-       (n_9245), .Y (n_9894));
-  sky130_fd_sc_hd__a221oi_1 g341138(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]), .C1
-       (n_9243), .Y (n_9893));
-  sky130_fd_sc_hd__a221oi_1 g341139(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]), .C1
-       (n_9242), .Y (n_9892));
-  sky130_fd_sc_hd__a221oi_1 g341140(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]), .C1
-       (n_9240), .Y (n_9891));
-  sky130_fd_sc_hd__a221oi_1 g341141(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]), .C1
-       (n_9068), .Y (n_9890));
-  sky130_fd_sc_hd__a221oi_1 g341142(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]), .C1
-       (n_9237), .Y (n_9889));
-  sky130_fd_sc_hd__a221oi_1 g341143(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]), .C1
-       (n_9234), .Y (n_9888));
-  sky130_fd_sc_hd__a221oi_1 g341144(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]), .C1
-       (n_9233), .Y (n_9887));
-  sky130_fd_sc_hd__a221oi_1 g341145(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]), .C1
-       (n_9230), .Y (n_9886));
-  sky130_fd_sc_hd__a221oi_1 g341146(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]), .C1
-       (n_9228), .Y (n_9885));
-  sky130_fd_sc_hd__a221oi_1 g341147(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]), .C1
-       (n_9227), .Y (n_9884));
-  sky130_fd_sc_hd__a221oi_1 g341148(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]), .C1
-       (n_9225), .Y (n_9883));
-  sky130_fd_sc_hd__a221oi_1 g341149(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]), .C1
-       (n_9224), .Y (n_9882));
-  sky130_fd_sc_hd__a221oi_1 g341150(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]), .C1
-       (n_9220), .Y (n_9881));
-  sky130_fd_sc_hd__a221oi_1 g341151(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]), .C1
-       (n_9219), .Y (n_9880));
-  sky130_fd_sc_hd__a221oi_1 g341152(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]), .C1
-       (n_9215), .Y (n_9879));
-  sky130_fd_sc_hd__a221oi_1 g341153(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]), .C1
-       (n_9213), .Y (n_9878));
-  sky130_fd_sc_hd__a221oi_1 g341154(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]), .C1
-       (n_9210), .Y (n_9877));
-  sky130_fd_sc_hd__a221oi_1 g341155(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]), .C1
-       (n_9209), .Y (n_9876));
-  sky130_fd_sc_hd__a221oi_1 g341156(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]), .C1
-       (n_9206), .Y (n_9875));
-  sky130_fd_sc_hd__a221oi_1 g341157(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]), .C1
-       (n_9204), .Y (n_9874));
-  sky130_fd_sc_hd__a221oi_1 g341158(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]), .C1
-       (n_9200), .Y (n_9873));
-  sky130_fd_sc_hd__a221oi_1 g341159(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]), .C1
-       (n_9197), .Y (n_9872));
-  sky130_fd_sc_hd__a221oi_1 g341160(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]), .C1
-       (n_9195), .Y (n_9871));
-  sky130_fd_sc_hd__a221oi_1 g341161(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]), .C1
-       (n_9192), .Y (n_9870));
-  sky130_fd_sc_hd__a221oi_1 g341162(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]), .C1
-       (n_9320), .Y (n_9869));
-  sky130_fd_sc_hd__a221oi_1 g341163(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]), .C1
-       (n_9327), .Y (n_9868));
-  sky130_fd_sc_hd__a221oi_1 g341164(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]), .C1
-       (n_9330), .Y (n_9867));
-  sky130_fd_sc_hd__a221oi_1 g341165(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]), .C1
-       (n_9334), .Y (n_9866));
-  sky130_fd_sc_hd__a221oi_1 g341166(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]), .C1
-       (n_9246), .Y (n_9865));
-  sky130_fd_sc_hd__a221oi_1 g341167(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]), .C1
-       (n_9335), .Y (n_9864));
-  sky130_fd_sc_hd__a221oi_1 g341168(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]), .C1
-       (n_9340), .Y (n_9863));
-  sky130_fd_sc_hd__a221oi_1 g341169(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]), .C1
-       (n_9345), .Y (n_9862));
-  sky130_fd_sc_hd__a221oi_1 g341170(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]), .C1
-       (n_9015), .Y (n_9861));
-  sky130_fd_sc_hd__a221oi_1 g341171(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]), .C1
-       (n_9189), .Y (n_9860));
-  sky130_fd_sc_hd__a221oi_1 g341172(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]), .C1
-       (n_9185), .Y (n_9859));
-  sky130_fd_sc_hd__a221oi_1 g341173(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]), .C1
-       (n_9183), .Y (n_9858));
-  sky130_fd_sc_hd__a221oi_1 g341174(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]), .C1
-       (n_9181), .Y (n_9857));
-  sky130_fd_sc_hd__a221oi_1 g341175(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]), .C1
-       (n_9178), .Y (n_9856));
-  sky130_fd_sc_hd__a221oi_1 g341176(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]), .C1
-       (n_9175), .Y (n_9855));
-  sky130_fd_sc_hd__a221oi_1 g341177(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]), .C1
-       (n_9173), .Y (n_9854));
-  sky130_fd_sc_hd__a221oi_1 g341178(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]), .C1
-       (n_9171), .Y (n_9853));
-  sky130_fd_sc_hd__a221oi_1 g341179(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]), .C1
-       (n_9167), .Y (n_9852));
-  sky130_fd_sc_hd__a221oi_1 g341180(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]), .C1
-       (n_9164), .Y (n_9851));
-  sky130_fd_sc_hd__a221oi_1 g341181(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]), .C1
-       (n_9160), .Y (n_9850));
-  sky130_fd_sc_hd__a221oi_1 g341182(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]), .C1
-       (n_9158), .Y (n_9849));
-  sky130_fd_sc_hd__a221oi_1 g341183(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]), .C1
-       (n_9154), .Y (n_9848));
-  sky130_fd_sc_hd__a221oi_1 g341184(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]), .C1
-       (n_9153), .Y (n_9847));
-  sky130_fd_sc_hd__a221oi_1 g341185(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]), .C1
-       (n_9150), .Y (n_9846));
-  sky130_fd_sc_hd__a221oi_1 g341186(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]), .C1
-       (n_9147), .Y (n_9845));
-  sky130_fd_sc_hd__a221oi_1 g341187(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]), .C1
-       (n_9145), .Y (n_9844));
-  sky130_fd_sc_hd__a221oi_1 g341188(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]), .C1
-       (n_9141), .Y (n_9843));
-  sky130_fd_sc_hd__a221oi_1 g341189(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]), .C1
-       (n_9140), .Y (n_9842));
-  sky130_fd_sc_hd__a221oi_1 g341190(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]), .C1
-       (n_9136), .Y (n_9841));
-  sky130_fd_sc_hd__a221oi_1 g341191(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]), .C1
-       (n_9134), .Y (n_9840));
-  sky130_fd_sc_hd__a221oi_1 g341192(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]), .C1
-       (n_9130), .Y (n_9839));
-  sky130_fd_sc_hd__a221oi_1 g341193(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]), .C1
-       (n_9129), .Y (n_9838));
-  sky130_fd_sc_hd__a21oi_1 g341194(.A1 (n_8861), .A2 (n_9012), .B1
-       (n_9011), .Y (n_9837));
-  sky130_fd_sc_hd__a221oi_1 g341195(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]), .C1
-       (n_9126), .Y (n_9836));
-  sky130_fd_sc_hd__a221oi_1 g341196(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]), .C1
-       (n_9124), .Y (n_9835));
-  sky130_fd_sc_hd__a221oi_1 g341197(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]), .C1
-       (n_9122), .Y (n_9834));
-  sky130_fd_sc_hd__a221oi_1 g341198(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]), .C1
-       (n_9119), .Y (n_9833));
-  sky130_fd_sc_hd__a221oi_1 g341199(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]), .C1
-       (n_9116), .Y (n_9832));
-  sky130_fd_sc_hd__a221oi_1 g341200(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]), .C1
-       (n_9112), .Y (n_9831));
-  sky130_fd_sc_hd__a221oi_1 g341201(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]), .C1
-       (n_9109), .Y (n_9830));
-  sky130_fd_sc_hd__a221oi_1 g341202(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]), .C1
-       (n_9107), .Y (n_9829));
-  sky130_fd_sc_hd__a221oi_1 g341203(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]), .C1
-       (n_9103), .Y (n_9828));
-  sky130_fd_sc_hd__a221oi_1 g341204(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]), .C1
-       (n_9190), .Y (n_9827));
-  sky130_fd_sc_hd__a221oi_1 g341205(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]), .C1
-       (n_9098), .Y (n_9826));
-  sky130_fd_sc_hd__a221oi_1 g341206(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]), .C1
-       (n_9097), .Y (n_9825));
-  sky130_fd_sc_hd__a221oi_1 g341207(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]), .C1
-       (n_9094), .Y (n_9824));
-  sky130_fd_sc_hd__a221oi_1 g341208(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]), .C1
-       (n_9091), .Y (n_9823));
-  sky130_fd_sc_hd__a221oi_1 g341209(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]), .C1
-       (n_9089), .Y (n_9822));
-  sky130_fd_sc_hd__a221oi_1 g341210(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]), .C1
-       (n_9087), .Y (n_9821));
-  sky130_fd_sc_hd__a221oi_1 g341211(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]), .C1
-       (n_9086), .Y (n_9820));
-  sky130_fd_sc_hd__a221oi_1 g341212(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]), .C1
-       (n_9084), .Y (n_9819));
-  sky130_fd_sc_hd__a221oi_1 g341213(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]), .C1
-       (n_9111), .Y (n_9818));
-  sky130_fd_sc_hd__a221oi_1 g341214(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]), .C1
-       (n_9081), .Y (n_9817));
-  sky130_fd_sc_hd__a221oi_1 g341215(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]), .C1
-       (n_9239), .Y (n_9816));
-  sky130_fd_sc_hd__a221oi_1 g341216(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]), .C1
-       (n_9080), .Y (n_9815));
-  sky130_fd_sc_hd__a221oi_1 g341217(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]), .C1
-       (n_9077), .Y (n_9814));
-  sky130_fd_sc_hd__a221oi_1 g341218(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]), .C1
-       (n_9075), .Y (n_9813));
-  sky130_fd_sc_hd__a221oi_1 g341219(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]), .C1
-       (n_9073), .Y (n_9812));
-  sky130_fd_sc_hd__a221oi_1 g341220(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]), .C1
-       (n_9071), .Y (n_9811));
-  sky130_fd_sc_hd__a221oi_1 g341221(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]), .C1
-       (n_9070), .Y (n_9810));
-  sky130_fd_sc_hd__a221oi_1 g341222(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]), .C1
-       (n_9067), .Y (n_9809));
-  sky130_fd_sc_hd__a221oi_1 g341223(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]), .C1
-       (n_9064), .Y (n_9808));
-  sky130_fd_sc_hd__a22oi_1 g341224(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]), .Y
-       (n_9807));
-  sky130_fd_sc_hd__a221oi_1 g341225(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]), .C1
-       (n_9060), .Y (n_9806));
-  sky130_fd_sc_hd__a221oi_1 g341226(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]), .C1
-       (n_9058), .Y (n_9805));
-  sky130_fd_sc_hd__a221oi_1 g341227(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]), .C1
-       (n_9055), .Y (n_9804));
-  sky130_fd_sc_hd__a221oi_1 g341228(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]), .C1
-       (n_9054), .Y (n_9803));
-  sky130_fd_sc_hd__a221oi_1 g341229(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]), .C1
-       (n_9050), .Y (n_9802));
-  sky130_fd_sc_hd__a221oi_1 g341230(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]), .C1
-       (n_9049), .Y (n_9801));
-  sky130_fd_sc_hd__a221oi_1 g341231(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]), .C1
-       (n_9047), .Y (n_9800));
-  sky130_fd_sc_hd__a221oi_1 g341232(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]), .C1
-       (n_9044), .Y (n_9799));
-  sky130_fd_sc_hd__a221oi_1 g341233(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]), .C1
-       (n_9043), .Y (n_9798));
-  sky130_fd_sc_hd__a221oi_1 g341234(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]), .C1
-       (n_9040), .Y (n_9797));
-  sky130_fd_sc_hd__a221oi_1 g341235(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]), .C1
-       (n_9038), .Y (n_9796));
-  sky130_fd_sc_hd__a221oi_1 g341236(.A1 (n_8857), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]), .B1
-       (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]), .C1
-       (n_9271), .Y (n_9795));
-  sky130_fd_sc_hd__a221oi_1 g341237(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]), .C1
-       (n_9036), .Y (n_9794));
-  sky130_fd_sc_hd__a221oi_1 g341238(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]), .C1
-       (n_9034), .Y (n_9793));
-  sky130_fd_sc_hd__a221oi_1 g341239(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]), .C1
-       (n_9033), .Y (n_9792));
-  sky130_fd_sc_hd__a221oi_1 g341240(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]), .C1
-       (n_9030), .Y (n_9791));
-  sky130_fd_sc_hd__a221oi_1 g341241(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]), .C1
-       (n_9028), .Y (n_9790));
-  sky130_fd_sc_hd__a221oi_1 g341242(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]), .C1
-       (n_9026), .Y (n_9789));
-  sky130_fd_sc_hd__a221oi_1 g341243(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]), .C1
-       (n_9022), .Y (n_9788));
-  sky130_fd_sc_hd__o21ai_0 g341244(.A1 (io_in[28]), .A2 (io_in[29]),
-       .B1 (n_9350), .Y (n_9787));
-  sky130_fd_sc_hd__a221oi_1 g341245(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]), .C1
-       (n_9020), .Y (n_9786));
-  sky130_fd_sc_hd__a221oi_1 g341246(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]), .C1
-       (n_9018), .Y (n_9785));
-  sky130_fd_sc_hd__a221oi_1 g341247(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]), .C1
-       (n_9016), .Y (n_9784));
-  sky130_fd_sc_hd__nand3_1 g341248(.A (n_8881), .B (n_8880), .C
-       (n_8988), .Y (n_9783));
-  sky130_fd_sc_hd__nor4_1 g341249(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .C
-       (n_8946), .D (n_8953), .Y (n_9782));
-  sky130_fd_sc_hd__a222oi_1 g341250(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]), .Y
-       (n_9781));
-  sky130_fd_sc_hd__a222oi_1 g341251(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]), .C1
-       (n_8857), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9]
-       [1]), .Y (n_9780));
-  sky130_fd_sc_hd__a222oi_1 g341252(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]), .Y
-       (n_9779));
-  sky130_fd_sc_hd__a222oi_1 g341253(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]), .Y
-       (n_9778));
-  sky130_fd_sc_hd__a222oi_1 g341254(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21]
-       [2]), .Y (n_9777));
-  sky130_fd_sc_hd__a222oi_1 g341255(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [2]), .Y (n_9776));
-  sky130_fd_sc_hd__a222oi_1 g341256(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]), .Y
-       (n_9775));
-  sky130_fd_sc_hd__a222oi_1 g341257(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]), .Y
-       (n_9774));
-  sky130_fd_sc_hd__a222oi_1 g341258(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]), .Y
-       (n_9773));
-  sky130_fd_sc_hd__a222oi_1 g341259(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [3]), .Y (n_9772));
-  sky130_fd_sc_hd__a222oi_1 g341260(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
-       [3]), .Y (n_9771));
-  sky130_fd_sc_hd__a222oi_1 g341261(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]), .Y
-       (n_9770));
-  sky130_fd_sc_hd__a222oi_1 g341262(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]), .Y
-       (n_9769));
-  sky130_fd_sc_hd__a222oi_1 g341263(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]), .C1
-       (n_8857), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9]
-       [4]), .Y (n_9768));
-  sky130_fd_sc_hd__a222oi_1 g341264(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]), .Y
-       (n_9767));
-  sky130_fd_sc_hd__a222oi_1 g341265(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]), .Y
-       (n_9766));
-  sky130_fd_sc_hd__a222oi_1 g341266(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]), .Y
-       (n_9765));
-  sky130_fd_sc_hd__a222oi_1 g341267(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]), .Y
-       (n_9764));
-  sky130_fd_sc_hd__a222oi_1 g341268(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]), .Y
-       (n_9763));
-  sky130_fd_sc_hd__a222oi_1 g341269(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]), .Y
-       (n_9762));
-  sky130_fd_sc_hd__a222oi_1 g341270(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [6]), .Y (n_9761));
-  sky130_fd_sc_hd__a222oi_1 g341271(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
-       [6]), .Y (n_9760));
-  sky130_fd_sc_hd__a222oi_1 g341272(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]), .Y
-       (n_9759));
-  sky130_fd_sc_hd__a222oi_1 g341273(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]), .Y
-       (n_9758));
-  sky130_fd_sc_hd__a222oi_1 g341274(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]), .Y
-       (n_9757));
-  sky130_fd_sc_hd__a222oi_1 g341275(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [7]), .Y (n_9756));
-  sky130_fd_sc_hd__a222oi_1 g341276(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]), .Y
-       (n_9755));
-  sky130_fd_sc_hd__a222oi_1 g341277(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]), .Y
-       (n_9754));
-  sky130_fd_sc_hd__a222oi_1 g341278(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]), .A2
-       (n_8857), .B1 (n_8856), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]), .C1
-       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [8]), .Y (n_9753));
-  sky130_fd_sc_hd__a222oi_1 g341279(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]), .Y
-       (n_9752));
-  sky130_fd_sc_hd__a222oi_1 g341280(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]), .A2
-       (n_831), .B1 (n_690), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]), .C1
-       (n_8857), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]), .Y
-       (n_9751));
-  sky130_fd_sc_hd__and4b_1 g341281(.A_N
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]), .B
-       (n_8992), .C
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-       .D (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q
-       ), .X (n_13676));
-  sky130_fd_sc_hd__a22oi_1 g341283(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]), .Y
-       (n_9749));
-  sky130_fd_sc_hd__a22oi_1 g341284(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]), .Y
-       (n_9748));
-  sky130_fd_sc_hd__a22oi_1 g341285(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]), .Y
-       (n_9747));
-  sky130_fd_sc_hd__a22oi_1 g341286(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]), .Y
-       (n_9746));
-  sky130_fd_sc_hd__a22oi_1 g341287(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]), .Y
-       (n_9745));
-  sky130_fd_sc_hd__a22oi_1 g341288(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]), .Y
-       (n_9744));
-  sky130_fd_sc_hd__a22oi_1 g341289(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]), .Y
-       (n_9743));
-  sky130_fd_sc_hd__a22oi_1 g341290(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]), .Y
-       (n_9742));
-  sky130_fd_sc_hd__a22oi_1 g341291(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]), .Y
-       (n_9741));
-  sky130_fd_sc_hd__a22oi_1 g341292(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]), .Y
-       (n_9740));
-  sky130_fd_sc_hd__a22oi_1 g341293(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]), .Y
-       (n_9739));
-  sky130_fd_sc_hd__a22oi_1 g341294(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]), .Y
-       (n_9738));
-  sky130_fd_sc_hd__a22oi_1 g341295(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]), .Y
-       (n_9737));
-  sky130_fd_sc_hd__a22oi_1 g341296(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]), .Y
-       (n_9736));
-  sky130_fd_sc_hd__a22oi_1 g341297(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]), .Y
-       (n_9735));
-  sky130_fd_sc_hd__a22oi_1 g341298(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]), .Y
-       (n_9734));
-  sky130_fd_sc_hd__a22oi_1 g341299(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]), .Y
-       (n_9733));
-  sky130_fd_sc_hd__a22oi_1 g341300(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]), .Y
-       (n_9732));
-  sky130_fd_sc_hd__a22oi_1 g341301(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]), .Y
-       (n_9731));
-  sky130_fd_sc_hd__a22oi_1 g341302(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]), .Y
-       (n_9730));
-  sky130_fd_sc_hd__a22oi_1 g341303(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]), .Y
-       (n_9729));
-  sky130_fd_sc_hd__a22oi_1 g341304(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]), .Y
-       (n_9728));
-  sky130_fd_sc_hd__a22oi_1 g341305(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]), .Y
-       (n_9727));
-  sky130_fd_sc_hd__a22oi_1 g341306(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]), .Y
-       (n_9726));
-  sky130_fd_sc_hd__a22oi_1 g341307(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]), .Y
-       (n_9725));
-  sky130_fd_sc_hd__a22oi_1 g341308(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]), .Y
-       (n_9724));
-  sky130_fd_sc_hd__a22oi_1 g341309(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]), .Y
-       (n_9723));
-  sky130_fd_sc_hd__a22oi_1 g341310(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]), .Y
-       (n_9722));
-  sky130_fd_sc_hd__a22oi_1 g341311(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]), .Y
-       (n_9721));
-  sky130_fd_sc_hd__a22oi_1 g341312(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]), .Y
-       (n_9720));
-  sky130_fd_sc_hd__a22oi_1 g341313(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]), .Y
-       (n_9719));
-  sky130_fd_sc_hd__a22oi_1 g341314(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]), .Y
-       (n_9718));
-  sky130_fd_sc_hd__a22oi_1 g341315(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]), .Y
-       (n_9717));
-  sky130_fd_sc_hd__a22oi_1 g341316(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]), .Y
-       (n_9716));
-  sky130_fd_sc_hd__a22oi_1 g341317(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]), .Y
-       (n_9715));
-  sky130_fd_sc_hd__a22oi_1 g341318(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]), .Y
-       (n_9714));
-  sky130_fd_sc_hd__a22oi_1 g341319(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]), .Y
-       (n_9713));
-  sky130_fd_sc_hd__a22oi_1 g341320(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]), .Y
-       (n_9712));
-  sky130_fd_sc_hd__a22oi_1 g341321(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]), .Y
-       (n_9711));
-  sky130_fd_sc_hd__a22oi_1 g341322(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]), .Y
-       (n_9710));
-  sky130_fd_sc_hd__a22oi_1 g341323(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]), .Y
-       (n_9709));
-  sky130_fd_sc_hd__a22oi_1 g341324(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]), .Y
-       (n_9708));
-  sky130_fd_sc_hd__a22oi_1 g341325(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]), .Y
-       (n_9707));
-  sky130_fd_sc_hd__a22oi_1 g341326(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]), .Y
-       (n_9706));
-  sky130_fd_sc_hd__a22oi_1 g341327(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]), .Y
-       (n_9705));
-  sky130_fd_sc_hd__a22oi_1 g341328(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]), .Y
-       (n_9704));
-  sky130_fd_sc_hd__a22oi_1 g341329(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]), .Y
-       (n_9703));
-  sky130_fd_sc_hd__a22oi_1 g341330(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]), .Y
-       (n_9702));
-  sky130_fd_sc_hd__a22oi_1 g341331(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]), .Y
-       (n_9701));
-  sky130_fd_sc_hd__a22oi_1 g341332(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]), .Y
-       (n_9700));
-  sky130_fd_sc_hd__a22oi_1 g341333(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [1]), .Y (n_9699));
-  sky130_fd_sc_hd__a22oi_1 g341334(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]), .Y
-       (n_9698));
-  sky130_fd_sc_hd__a22oi_1 g341335(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]), .Y
-       (n_9697));
-  sky130_fd_sc_hd__a22oi_1 g341336(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]), .Y
-       (n_9696));
-  sky130_fd_sc_hd__a22oi_1 g341337(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]), .Y
-       (n_9695));
-  sky130_fd_sc_hd__a22oi_1 g341338(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]), .Y
-       (n_9694));
-  sky130_fd_sc_hd__a22oi_1 g341339(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [1]), .Y (n_9693));
-  sky130_fd_sc_hd__a22oi_1 g341340(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]), .Y
-       (n_9692));
-  sky130_fd_sc_hd__a22oi_1 g341341(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]), .Y
-       (n_9691));
-  sky130_fd_sc_hd__a22o_1 g341342(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [1]), .X (n_9690));
-  sky130_fd_sc_hd__a22oi_1 g341343(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]), .Y
-       (n_9689));
-  sky130_fd_sc_hd__a22oi_1 g341344(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]), .Y
-       (n_9688));
-  sky130_fd_sc_hd__a22oi_1 g341345(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]), .Y
-       (n_9687));
-  sky130_fd_sc_hd__a22oi_1 g341346(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [2]), .Y (n_9686));
-  sky130_fd_sc_hd__a22oi_1 g341347(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]), .Y
-       (n_9685));
-  sky130_fd_sc_hd__a22oi_1 g341348(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]), .Y
-       (n_9684));
-  sky130_fd_sc_hd__a22oi_1 g341349(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]), .Y
-       (n_9683));
-  sky130_fd_sc_hd__a22oi_1 g341350(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]), .Y
-       (n_9682));
-  sky130_fd_sc_hd__a22oi_1 g341351(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]), .Y
-       (n_9681));
-  sky130_fd_sc_hd__a22oi_1 g341352(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]), .Y
-       (n_9680));
-  sky130_fd_sc_hd__a22oi_1 g341353(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]), .Y
-       (n_9679));
-  sky130_fd_sc_hd__a22oi_1 g341354(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [2]), .Y (n_9678));
-  sky130_fd_sc_hd__a22oi_1 g341355(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]), .Y
-       (n_9677));
-  sky130_fd_sc_hd__a22oi_1 g341356(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]), .Y
-       (n_9676));
-  sky130_fd_sc_hd__o21ai_1 g341357(.A1 (n_8950), .A2
-       (u_soc_u_top_u_core_pc_set), .B1 (n_8884), .Y (n_13362));
-  sky130_fd_sc_hd__nand2b_1 g341358(.A_N (n_13473), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_13480));
-  sky130_fd_sc_hd__nor3_1 g341359(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_30), .C
-       (n_8986), .Y (n_9951));
-  sky130_fd_sc_hd__or2_1 g341360(.A (n_8883), .B (n_13875), .X
-       (n_13874));
-  sky130_fd_sc_hd__nor2_1 g341361(.A (n_8948), .B (n_9352), .Y
-       (n_13344));
-  sky130_fd_sc_hd__nor2_1 g341362(.A (n_8949), .B (n_9354), .Y
-       (n_13321));
-  sky130_fd_sc_hd__nand2_1 g341363(.A (n_9191), .B (n_9009), .Y
-       (n_13367));
-  sky130_fd_sc_hd__nand2_1 g341364(.A (n_13325), .B (n_641), .Y
-       (n_13318));
-  sky130_fd_sc_hd__or2_0 g341365(.A (n_13475), .B (n_13482), .X
-       (n_13481));
-  sky130_fd_sc_hd__nor2b_1 g341366(.A (u_soc_u_top_u_core_ready_wb),
-       .B_N (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Y (n_13488));
-  sky130_fd_sc_hd__a221o_1 g341367(.A1 (n_8867), .A2 (n_13405), .B1
-       (n_8863), .B2 (n_13397), .C1 (n_9117), .X
-       (\u_soc_xbar_to_dccm[a_data] [5]));
-  sky130_fd_sc_hd__a221o_1 g341368(.A1 (n_8867), .A2 (n_13415), .B1
-       (n_8863), .B2 (n_13407), .C1 (n_9168), .X
-       (\u_soc_xbar_to_dccm[a_data] [15]));
-  sky130_fd_sc_hd__a221o_1 g341369(.A1 (n_8867), .A2 (n_13393), .B1
-       (n_8863), .B2 (n_13416), .C1 (n_9202), .X
-       (\u_soc_xbar_to_dccm[a_data] [25]));
-  sky130_fd_sc_hd__a221o_1 g341370(.A1 (n_8867), .A2 (n_13404), .B1
-       (n_8863), .B2 (n_13396), .C1 (n_9110), .X
-       (\u_soc_xbar_to_dccm[a_data] [4]));
-  sky130_fd_sc_hd__a221o_1 g341371(.A1 (n_8867), .A2 (n_13414), .B1
-       (n_8863), .B2 (n_13406), .C1 (n_9162), .X
-       (\u_soc_xbar_to_dccm[a_data] [14]));
-  sky130_fd_sc_hd__a221o_1 g341372(.A1 (n_8867), .A2 (n_13389), .B1
-       (n_8863), .B2 (n_13413), .C1 (n_9337), .X
-       (\u_soc_xbar_to_dccm[a_data] [21]));
-  sky130_fd_sc_hd__a221o_1 g341373(.A1 (n_8867), .A2 (n_13403), .B1
-       (n_8863), .B2 (n_13395), .C1 (n_9105), .X
-       (\u_soc_xbar_to_dccm[a_data] [3]));
-  sky130_fd_sc_hd__or2_1 g341374(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (n_9339), .X (n_13317));
-  sky130_fd_sc_hd__a221o_1 g341375(.A1 (n_8867), .A2 (n_13398), .B1
-       (n_8863), .B2 (n_13390), .C1 (n_9201), .X
-       (\u_soc_xbar_to_dccm[a_data] [30]));
-  sky130_fd_sc_hd__a221o_1 g341376(.A1 (n_8867), .A2 (n_13413), .B1
-       (n_8863), .B2 (n_13405), .C1 (n_9156), .X
-       (\u_soc_xbar_to_dccm[a_data] [13]));
-  sky130_fd_sc_hd__a221o_1 g341377(.A1 (n_8867), .A2 (n_13402), .B1
-       (n_8863), .B2 (n_13394), .C1 (n_9100), .X
-       (\u_soc_xbar_to_dccm[a_data] [2]));
-  sky130_fd_sc_hd__a221o_1 g341378(.A1 (n_8867), .A2 (n_13388), .B1
-       (n_8863), .B2 (n_13412), .C1 (n_9347), .X
-       (\u_soc_xbar_to_dccm[a_data] [20]));
-  sky130_fd_sc_hd__a221o_1 g341379(.A1 (n_8867), .A2 (n_13401), .B1
-       (n_8863), .B2 (n_13393), .C1 (n_9095), .X
-       (\u_soc_xbar_to_dccm[a_data] [1]));
-  sky130_fd_sc_hd__a221o_1 g341380(.A1 (n_8867), .A2 (n_13412), .B1
-       (n_8863), .B2 (n_13404), .C1 (n_9151), .X
-       (\u_soc_xbar_to_dccm[a_data] [12]));
-  sky130_fd_sc_hd__a221o_1 g341381(.A1 (n_8867), .A2 (n_13395), .B1
-       (n_8863), .B2 (n_13387), .C1 (n_9214), .X
-       (\u_soc_xbar_to_dccm[a_data] [27]));
-  sky130_fd_sc_hd__nand2_1 g341382(.A (n_13315), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_13314));
-  sky130_fd_sc_hd__a221o_1 g341383(.A1 (n_8867), .A2 (n_13392), .B1
-       (n_8863), .B2 (n_15946), .C1 (n_9194), .X
-       (\u_soc_xbar_to_dccm[a_data] [24]));
-  sky130_fd_sc_hd__a221o_1 g341384(.A1 (n_8867), .A2 (n_13411), .B1
-       (n_8863), .B2 (n_13403), .C1 (n_9146), .X
-       (\u_soc_xbar_to_dccm[a_data] [11]));
-  sky130_fd_sc_hd__a221o_1 g341385(.A1 (n_8867), .A2 (n_13387), .B1
-       (n_8863), .B2 (n_13411), .C1 (n_9187), .X
-       (\u_soc_xbar_to_dccm[a_data] [19]));
-  sky130_fd_sc_hd__a221o_1 g341386(.A1 (n_8867), .A2 (n_13400), .B1
-       (n_8863), .B2 (n_13392), .C1 (n_9252), .X
-       (\u_soc_xbar_to_dccm[a_data] [0]));
-  sky130_fd_sc_hd__a221o_1 g341387(.A1 (n_8867), .A2 (n_13410), .B1
-       (n_8863), .B2 (n_13402), .C1 (n_9142), .X
-       (\u_soc_xbar_to_dccm[a_data] [10]));
-  sky130_fd_sc_hd__a221o_1 g341388(.A1 (n_8867), .A2 (n_13399), .B1
-       (n_8863), .B2 (n_13391), .C1 (n_9248), .X
-       (\u_soc_xbar_to_dccm[a_data] [31]));
-  sky130_fd_sc_hd__a221o_1 g341389(.A1 (n_8867), .A2 (n_13386), .B1
-       (n_8863), .B2 (n_13410), .C1 (n_9182), .X
-       (\u_soc_xbar_to_dccm[a_data] [18]));
-  sky130_fd_sc_hd__a221o_1 g341390(.A1 (n_8867), .A2 (n_13409), .B1
-       (n_8863), .B2 (n_13401), .C1 (n_9137), .X
-       (\u_soc_xbar_to_dccm[a_data] [9]));
-  sky130_fd_sc_hd__a221o_1 g341391(.A1 (n_8867), .A2 (n_13391), .B1
-       (n_8863), .B2 (n_13415), .C1 (n_9326), .X
-       (\u_soc_xbar_to_dccm[a_data] [23]));
-  sky130_fd_sc_hd__a221o_1 g341392(.A1 (n_8867), .A2 (n_13408), .B1
-       (n_8863), .B2 (n_13400), .C1 (n_9132), .X
-       (\u_soc_xbar_to_dccm[a_data] [8]));
-  sky130_fd_sc_hd__a221o_1 g341393(.A1 (n_8867), .A2 (n_13394), .B1
-       (n_8863), .B2 (n_13386), .C1 (n_9207), .X
-       (\u_soc_xbar_to_dccm[a_data] [26]));
-  sky130_fd_sc_hd__a221o_1 g341394(.A1 (n_8867), .A2 (n_13416), .B1
-       (n_8863), .B2 (n_13409), .C1 (n_9179), .X
-       (\u_soc_xbar_to_dccm[a_data] [17]));
-  sky130_fd_sc_hd__nor2b_1 g341395(.A (io_in[28]), .B_N (n_9350), .Y
-       (n_9950));
-  sky130_fd_sc_hd__a221o_1 g341396(.A1 (n_8867), .A2 (n_13407), .B1
-       (n_8863), .B2 (n_13399), .C1 (n_9127), .X
-       (\u_soc_xbar_to_dccm[a_data] [7]));
-  sky130_fd_sc_hd__a221o_1 g341397(.A1 (n_8867), .A2 (n_15946), .B1
-       (n_8863), .B2 (n_13408), .C1 (n_9174), .X
-       (\u_soc_xbar_to_dccm[a_data] [16]));
-  sky130_fd_sc_hd__a221o_1 g341398(.A1 (n_8867), .A2 (n_13390), .B1
-       (n_8863), .B2 (n_13414), .C1 (n_9332), .X
-       (\u_soc_xbar_to_dccm[a_data] [22]));
-  sky130_fd_sc_hd__a221o_1 g341399(.A1 (n_8867), .A2 (n_13396), .B1
-       (n_8863), .B2 (n_13388), .C1 (n_9222), .X
-       (\u_soc_xbar_to_dccm[a_data] [28]));
-  sky130_fd_sc_hd__a221o_1 g341400(.A1 (n_8867), .A2 (n_13406), .B1
-       (n_8863), .B2 (n_13398), .C1 (n_9121), .X
-       (\u_soc_xbar_to_dccm[a_data] [6]));
-  sky130_fd_sc_hd__a221o_1 g341401(.A1 (n_8867), .A2 (n_13397), .B1
-       (n_8863), .B2 (n_13389), .C1 (n_9231), .X
-       (\u_soc_xbar_to_dccm[a_data] [29]));
-  sky130_fd_sc_hd__a22oi_1 g341402(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [3]), .Y (n_9675));
-  sky130_fd_sc_hd__a22oi_1 g341403(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]), .Y
-       (n_9674));
-  sky130_fd_sc_hd__a22oi_1 g341404(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]), .Y
-       (n_9673));
-  sky130_fd_sc_hd__a22oi_1 g341405(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]), .Y
-       (n_9672));
-  sky130_fd_sc_hd__a22oi_1 g341406(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]), .Y
-       (n_9671));
-  sky130_fd_sc_hd__a22oi_1 g341407(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]), .Y
-       (n_9670));
-  sky130_fd_sc_hd__a22oi_1 g341408(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]), .Y
-       (n_9669));
-  sky130_fd_sc_hd__a22oi_1 g341409(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [3]), .Y (n_9668));
-  sky130_fd_sc_hd__a22oi_1 g341410(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]), .Y
-       (n_9667));
-  sky130_fd_sc_hd__a22o_1 g341411(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [3]), .X (n_9666));
-  sky130_fd_sc_hd__a22oi_1 g341412(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]), .Y
-       (n_9665));
-  sky130_fd_sc_hd__a22oi_1 g341413(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]), .Y
-       (n_9664));
-  sky130_fd_sc_hd__a22oi_1 g341414(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]), .Y
-       (n_9663));
-  sky130_fd_sc_hd__a22oi_1 g341415(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]), .Y
-       (n_9662));
-  sky130_fd_sc_hd__a22oi_1 g341416(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
-       [3]), .Y (n_9661));
-  sky130_fd_sc_hd__a22oi_1 g341417(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [4]), .Y (n_9660));
-  sky130_fd_sc_hd__a22oi_1 g341418(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]), .Y
-       (n_9659));
-  sky130_fd_sc_hd__a22oi_1 g341419(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]), .Y
-       (n_9658));
-  sky130_fd_sc_hd__a22oi_1 g341420(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]), .Y
-       (n_9657));
-  sky130_fd_sc_hd__a22oi_1 g341421(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]), .Y
-       (n_9656));
-  sky130_fd_sc_hd__a22oi_1 g341422(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]), .Y
-       (n_9655));
-  sky130_fd_sc_hd__a22oi_1 g341423(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]), .Y
-       (n_9654));
-  sky130_fd_sc_hd__a22oi_1 g341424(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]), .Y
-       (n_9653));
-  sky130_fd_sc_hd__a22oi_1 g341425(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [4]), .Y (n_9652));
-  sky130_fd_sc_hd__a22o_1 g341426(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [4]), .X (n_9651));
-  sky130_fd_sc_hd__a22oi_1 g341427(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]), .Y
-       (n_9650));
-  sky130_fd_sc_hd__a22oi_1 g341428(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]), .Y
-       (n_9649));
-  sky130_fd_sc_hd__a22oi_1 g341429(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]), .B1
-       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [3]), .Y (n_9648));
-  sky130_fd_sc_hd__a22oi_1 g341430(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]), .Y
-       (n_9647));
-  sky130_fd_sc_hd__a22oi_1 g341431(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]), .Y
-       (n_9646));
-  sky130_fd_sc_hd__a22oi_1 g341432(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]), .Y
-       (n_9645));
-  sky130_fd_sc_hd__a22oi_1 g341433(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]), .Y
-       (n_9644));
-  sky130_fd_sc_hd__a22oi_1 g341434(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]), .Y
-       (n_9643));
-  sky130_fd_sc_hd__a22oi_1 g341435(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]), .Y
-       (n_9642));
-  sky130_fd_sc_hd__a22oi_1 g341436(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]), .Y
-       (n_9641));
-  sky130_fd_sc_hd__a22oi_1 g341437(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]), .Y
-       (n_9640));
-  sky130_fd_sc_hd__a22oi_1 g341438(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [5]), .Y (n_9639));
-  sky130_fd_sc_hd__a22oi_1 g341439(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]), .Y
-       (n_9638));
-  sky130_fd_sc_hd__a22oi_1 g341440(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]), .Y
-       (n_9637));
-  sky130_fd_sc_hd__a22o_1 g341441(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [5]), .X (n_9636));
-  sky130_fd_sc_hd__a22oi_1 g341442(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]), .Y
-       (n_9635));
-  sky130_fd_sc_hd__a22oi_1 g341443(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [6]), .Y (n_9634));
-  sky130_fd_sc_hd__a22oi_1 g341444(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]), .Y
-       (n_9633));
-  sky130_fd_sc_hd__a22oi_1 g341445(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]), .Y
-       (n_9632));
-  sky130_fd_sc_hd__a22oi_1 g341446(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]), .Y
-       (n_9631));
-  sky130_fd_sc_hd__a22oi_1 g341447(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]), .Y
-       (n_9630));
-  sky130_fd_sc_hd__a22oi_1 g341448(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]), .Y
-       (n_9629));
-  sky130_fd_sc_hd__a22oi_1 g341449(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]), .Y
-       (n_9628));
-  sky130_fd_sc_hd__a22oi_1 g341450(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]), .Y
-       (n_9627));
-  sky130_fd_sc_hd__a22oi_1 g341451(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [6]), .Y (n_9626));
-  sky130_fd_sc_hd__a22oi_1 g341452(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]), .Y
-       (n_9625));
-  sky130_fd_sc_hd__a22oi_1 g341453(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]), .Y
-       (n_9624));
-  sky130_fd_sc_hd__a22o_1 g341454(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [6]), .X (n_9623));
-  sky130_fd_sc_hd__a22oi_1 g341455(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]), .Y
-       (n_9622));
-  sky130_fd_sc_hd__a22oi_1 g341456(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]), .Y
-       (n_9621));
-  sky130_fd_sc_hd__a22oi_1 g341457(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]), .Y
-       (n_9620));
-  sky130_fd_sc_hd__a22oi_1 g341458(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]), .B1
-       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [4]), .Y (n_9619));
-  sky130_fd_sc_hd__a22oi_1 g341459(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]), .Y
-       (n_9618));
-  sky130_fd_sc_hd__a22oi_1 g341460(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]), .Y
-       (n_9617));
-  sky130_fd_sc_hd__a22oi_1 g341461(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]), .Y
-       (n_9616));
-  sky130_fd_sc_hd__a22oi_1 g341462(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]), .Y
-       (n_9615));
-  sky130_fd_sc_hd__a22oi_1 g341463(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [7]), .Y (n_9614));
-  sky130_fd_sc_hd__a22oi_1 g341464(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]), .Y
-       (n_9613));
-  sky130_fd_sc_hd__a22o_1 g341465(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [7]), .X (n_9612));
-  sky130_fd_sc_hd__a22oi_1 g341466(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]), .Y
-       (n_9611));
-  sky130_fd_sc_hd__a22oi_1 g341467(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]), .Y
-       (n_9610));
-  sky130_fd_sc_hd__a22oi_1 g341468(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
-       [8]), .Y (n_9609));
-  sky130_fd_sc_hd__a22oi_1 g341469(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]), .Y
-       (n_9608));
-  sky130_fd_sc_hd__a22oi_1 g341470(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]), .Y
-       (n_9607));
-  sky130_fd_sc_hd__a22oi_1 g341471(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]), .Y
-       (n_9606));
-  sky130_fd_sc_hd__a22oi_1 g341472(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]), .Y
-       (n_9605));
-  sky130_fd_sc_hd__a22oi_1 g341473(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]), .B1
-       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
-       [8]), .Y (n_9604));
-  sky130_fd_sc_hd__a22oi_1 g341474(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]), .Y
-       (n_9603));
-  sky130_fd_sc_hd__a22oi_1 g341475(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]), .Y
-       (n_9602));
-  sky130_fd_sc_hd__a22oi_1 g341476(.A1 (n_8981), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]), .B1
-       (n_8979), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]), .Y
-       (n_9601));
-  sky130_fd_sc_hd__a22o_1 g341477(.A1 (n_9008), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
-       [8]), .X (n_9600));
-  sky130_fd_sc_hd__a22oi_1 g341478(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]), .B1
-       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [5]), .Y (n_9599));
-  sky130_fd_sc_hd__a22oi_1 g341479(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]), .Y
-       (n_9598));
-  sky130_fd_sc_hd__a22oi_1 g341480(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]), .Y
-       (n_9597));
-  sky130_fd_sc_hd__a22oi_1 g341481(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]), .Y
-       (n_9596));
-  sky130_fd_sc_hd__a22oi_1 g341482(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]), .Y
-       (n_9595));
-  sky130_fd_sc_hd__a22oi_1 g341483(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]), .Y
-       (n_9594));
-  sky130_fd_sc_hd__a22oi_1 g341484(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]), .Y
-       (n_9593));
-  sky130_fd_sc_hd__a22oi_1 g341485(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]), .Y
-       (n_9592));
-  sky130_fd_sc_hd__a22oi_1 g341486(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]), .Y
-       (n_9591));
-  sky130_fd_sc_hd__a22oi_1 g341487(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]), .Y
-       (n_9590));
-  sky130_fd_sc_hd__a22oi_1 g341488(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]), .Y
-       (n_9589));
-  sky130_fd_sc_hd__a22oi_1 g341489(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]), .Y
-       (n_9588));
-  sky130_fd_sc_hd__a22oi_1 g341490(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]), .B1
-       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [6]), .Y (n_9587));
-  sky130_fd_sc_hd__a22oi_1 g341491(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]), .Y
-       (n_9586));
-  sky130_fd_sc_hd__a22oi_1 g341492(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]), .Y
-       (n_9585));
-  sky130_fd_sc_hd__a22oi_1 g341493(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]), .Y
-       (n_9584));
-  sky130_fd_sc_hd__a22oi_1 g341494(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]), .Y
-       (n_9583));
-  sky130_fd_sc_hd__a22oi_1 g341495(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]), .Y
-       (n_9582));
-  sky130_fd_sc_hd__a22oi_1 g341496(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]), .Y
-       (n_9581));
-  sky130_fd_sc_hd__a22oi_1 g341497(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]), .Y
-       (n_9580));
-  sky130_fd_sc_hd__a22oi_1 g341498(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]), .Y
-       (n_9579));
-  sky130_fd_sc_hd__a22oi_1 g341499(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]), .B1
-       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [7]), .Y (n_9578));
-  sky130_fd_sc_hd__a22oi_1 g341500(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]), .Y
-       (n_9577));
-  sky130_fd_sc_hd__a22oi_1 g341501(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]), .Y
-       (n_9576));
-  sky130_fd_sc_hd__a22oi_1 g341502(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]), .Y
-       (n_9575));
-  sky130_fd_sc_hd__a22oi_1 g341503(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]), .Y
-       (n_9574));
-  sky130_fd_sc_hd__a22oi_1 g341504(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]), .Y
-       (n_9573));
-  sky130_fd_sc_hd__a22oi_1 g341505(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]), .Y
-       (n_9572));
-  sky130_fd_sc_hd__a22oi_1 g341506(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]), .Y
-       (n_9571));
-  sky130_fd_sc_hd__a22oi_1 g341507(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]), .Y
-       (n_9570));
-  sky130_fd_sc_hd__a22oi_1 g341508(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
-       [8]), .Y (n_9569));
-  sky130_fd_sc_hd__a22oi_1 g341509(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]), .Y
-       (n_9568));
-  sky130_fd_sc_hd__a22oi_1 g341510(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]), .Y
-       (n_9567));
-  sky130_fd_sc_hd__a22oi_1 g341511(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]), .Y
-       (n_9566));
-  sky130_fd_sc_hd__a22oi_1 g341512(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]), .Y
-       (n_9565));
-  sky130_fd_sc_hd__a22oi_1 g341513(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]), .Y
-       (n_9564));
-  sky130_fd_sc_hd__a22oi_1 g341514(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]), .Y
-       (n_9563));
-  sky130_fd_sc_hd__a22oi_1 g341515(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]), .Y
-       (n_9562));
-  sky130_fd_sc_hd__a22oi_1 g341516(.A1 (n_8968), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]), .Y
-       (n_9561));
-  sky130_fd_sc_hd__a22oi_1 g341517(.A1 (n_8964), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]), .Y
-       (n_9560));
-  sky130_fd_sc_hd__a22oi_1 g341518(.A1 (n_8963), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]), .B1
-       (n_8965), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]), .Y
-       (n_9559));
-  sky130_fd_sc_hd__a22oi_1 g341519(.A1 (n_8982), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]), .Y
-       (n_9558));
-  sky130_fd_sc_hd__a22oi_1 g341520(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]), .Y
-       (n_9557));
-  sky130_fd_sc_hd__a22oi_1 g341521(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]), .Y
-       (n_9556));
-  sky130_fd_sc_hd__a22oi_1 g341522(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]), .Y
-       (n_9555));
-  sky130_fd_sc_hd__a22oi_1 g341523(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]), .Y
-       (n_9554));
-  sky130_fd_sc_hd__a22oi_1 g341524(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]), .Y
-       (n_9553));
-  sky130_fd_sc_hd__a22oi_1 g341525(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]), .Y
-       (n_9552));
-  sky130_fd_sc_hd__a22oi_1 g341526(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]), .Y
-       (n_9551));
-  sky130_fd_sc_hd__a22oi_1 g341527(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]), .Y
-       (n_9550));
-  sky130_fd_sc_hd__a22oi_1 g341528(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]), .Y
-       (n_9549));
-  sky130_fd_sc_hd__a22oi_1 g341529(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]), .Y
-       (n_9548));
-  sky130_fd_sc_hd__a22oi_1 g341530(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]), .Y
-       (n_9547));
-  sky130_fd_sc_hd__a22oi_1 g341531(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]), .Y
-       (n_9546));
-  sky130_fd_sc_hd__a22oi_1 g341532(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]), .Y
-       (n_9545));
-  sky130_fd_sc_hd__a22oi_1 g341533(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]), .Y
-       (n_9544));
-  sky130_fd_sc_hd__a22oi_1 g341534(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]), .Y
-       (n_9543));
-  sky130_fd_sc_hd__a22oi_1 g341535(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]), .Y
-       (n_9542));
-  sky130_fd_sc_hd__a22oi_1 g341536(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]), .Y
-       (n_9541));
-  sky130_fd_sc_hd__a22oi_1 g341537(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]), .Y
-       (n_9540));
-  sky130_fd_sc_hd__a22oi_1 g341538(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]), .Y
-       (n_9539));
-  sky130_fd_sc_hd__a22oi_1 g341539(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]), .Y
-       (n_9538));
-  sky130_fd_sc_hd__a22oi_1 g341540(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]), .Y
-       (n_9537));
-  sky130_fd_sc_hd__a22oi_1 g341541(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]), .Y
-       (n_9536));
-  sky130_fd_sc_hd__a22oi_1 g341542(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]), .Y
-       (n_9535));
-  sky130_fd_sc_hd__a22oi_1 g341543(.A1 (n_8963), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]), .B1
-       (n_8965), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]), .Y
-       (n_9534));
-  sky130_fd_sc_hd__a22oi_1 g341544(.A1 (n_8968), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]), .Y
-       (n_9533));
-  sky130_fd_sc_hd__a22oi_1 g341545(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]), .Y
-       (n_9532));
-  sky130_fd_sc_hd__a22oi_1 g341546(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]), .Y
-       (n_9531));
-  sky130_fd_sc_hd__a22oi_1 g341547(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]), .Y
-       (n_9530));
-  sky130_fd_sc_hd__a22oi_1 g341548(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]), .Y
-       (n_9529));
-  sky130_fd_sc_hd__a22oi_1 g341549(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]), .Y
-       (n_9528));
-  sky130_fd_sc_hd__a22oi_1 g341550(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]), .Y
-       (n_9527));
-  sky130_fd_sc_hd__a22oi_1 g341551(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]), .Y
-       (n_9526));
-  sky130_fd_sc_hd__a22oi_1 g341552(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]), .Y
-       (n_9525));
-  sky130_fd_sc_hd__a22oi_1 g341553(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]), .Y
-       (n_9524));
-  sky130_fd_sc_hd__a22oi_1 g341554(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]), .Y
-       (n_9523));
-  sky130_fd_sc_hd__a22oi_1 g341555(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]), .Y
-       (n_9522));
-  sky130_fd_sc_hd__a22oi_1 g341556(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]), .Y
-       (n_9521));
-  sky130_fd_sc_hd__a22oi_1 g341557(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]), .Y
-       (n_9520));
-  sky130_fd_sc_hd__a22oi_1 g341558(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]), .Y
-       (n_9519));
-  sky130_fd_sc_hd__a22oi_1 g341559(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]), .Y
-       (n_9518));
-  sky130_fd_sc_hd__a22oi_1 g341560(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]), .Y
-       (n_9517));
-  sky130_fd_sc_hd__a22oi_1 g341561(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]), .Y
-       (n_9516));
-  sky130_fd_sc_hd__a22oi_1 g341562(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]), .Y
-       (n_9515));
-  sky130_fd_sc_hd__a22oi_1 g341563(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]), .Y
-       (n_9514));
-  sky130_fd_sc_hd__a22oi_1 g341564(.A1 (n_8970), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]), .B1
-       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [5]), .Y (n_9513));
-  sky130_fd_sc_hd__a22oi_1 g341565(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]), .Y
-       (n_9512));
-  sky130_fd_sc_hd__a22oi_1 g341566(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]), .Y
-       (n_9511));
-  sky130_fd_sc_hd__a22oi_1 g341567(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]), .Y
-       (n_9510));
-  sky130_fd_sc_hd__a22oi_1 g341568(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]), .Y
-       (n_9509));
-  sky130_fd_sc_hd__a22oi_1 g341569(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]), .Y
-       (n_9508));
-  sky130_fd_sc_hd__a22oi_1 g341570(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]), .Y
-       (n_9507));
-  sky130_fd_sc_hd__a22oi_1 g341571(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]), .Y
-       (n_9506));
-  sky130_fd_sc_hd__a22oi_1 g341572(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]), .Y
-       (n_9505));
-  sky130_fd_sc_hd__a22oi_1 g341573(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]), .Y
-       (n_9504));
-  sky130_fd_sc_hd__a22oi_1 g341574(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]), .Y
-       (n_9503));
-  sky130_fd_sc_hd__a22oi_1 g341575(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]), .Y
-       (n_9502));
-  sky130_fd_sc_hd__a22oi_1 g341576(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]), .Y
-       (n_9501));
-  sky130_fd_sc_hd__a22oi_1 g341577(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]), .Y
-       (n_9500));
-  sky130_fd_sc_hd__a22oi_1 g341578(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]), .Y
-       (n_9499));
-  sky130_fd_sc_hd__a22oi_1 g341579(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]), .Y
-       (n_9498));
-  sky130_fd_sc_hd__a22oi_1 g341580(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]), .Y
-       (n_9497));
-  sky130_fd_sc_hd__a22oi_1 g341581(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]), .Y
-       (n_9496));
-  sky130_fd_sc_hd__a22oi_1 g341582(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]), .Y
-       (n_9495));
-  sky130_fd_sc_hd__a22oi_1 g341583(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]), .Y
-       (n_9494));
-  sky130_fd_sc_hd__a22oi_1 g341584(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]), .Y
-       (n_9493));
-  sky130_fd_sc_hd__a22oi_1 g341585(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]), .Y
-       (n_9492));
-  sky130_fd_sc_hd__a22oi_1 g341586(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]), .Y
-       (n_9491));
-  sky130_fd_sc_hd__a22oi_1 g341587(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]), .Y
-       (n_9490));
-  sky130_fd_sc_hd__a22oi_1 g341588(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]), .Y
-       (n_9489));
-  sky130_fd_sc_hd__a22oi_1 g341589(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]), .Y
-       (n_9488));
-  sky130_fd_sc_hd__a22oi_1 g341590(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]), .Y
-       (n_9487));
-  sky130_fd_sc_hd__a22oi_1 g341591(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]), .Y
-       (n_9486));
-  sky130_fd_sc_hd__a22oi_1 g341592(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]), .Y
-       (n_9485));
-  sky130_fd_sc_hd__a22oi_1 g341593(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]), .Y
-       (n_9484));
-  sky130_fd_sc_hd__a22oi_1 g341594(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]), .Y
-       (n_9483));
-  sky130_fd_sc_hd__a22oi_1 g341595(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]), .Y
-       (n_9482));
-  sky130_fd_sc_hd__a22oi_1 g341596(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]), .Y
-       (n_9481));
-  sky130_fd_sc_hd__a22oi_1 g341597(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]), .Y
-       (n_9480));
-  sky130_fd_sc_hd__a22oi_1 g341598(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]), .Y
-       (n_9479));
-  sky130_fd_sc_hd__a22oi_1 g341599(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]), .Y
-       (n_9478));
-  sky130_fd_sc_hd__a22oi_1 g341600(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]), .Y
-       (n_9477));
-  sky130_fd_sc_hd__a22oi_1 g341601(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]), .Y
-       (n_9476));
-  sky130_fd_sc_hd__a22oi_1 g341602(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]), .Y
-       (n_9475));
-  sky130_fd_sc_hd__a22oi_1 g341603(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]), .Y
-       (n_9474));
-  sky130_fd_sc_hd__a22oi_1 g341604(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]), .Y
-       (n_9473));
-  sky130_fd_sc_hd__a22oi_1 g341605(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]), .Y
-       (n_9472));
-  sky130_fd_sc_hd__a22oi_1 g341606(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]), .Y
-       (n_9471));
-  sky130_fd_sc_hd__a22oi_1 g341607(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]), .Y
-       (n_9470));
-  sky130_fd_sc_hd__a22oi_1 g341608(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]), .Y
-       (n_9469));
-  sky130_fd_sc_hd__a22oi_1 g341609(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]), .Y
-       (n_9468));
-  sky130_fd_sc_hd__a22oi_1 g341610(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]), .Y
-       (n_9467));
-  sky130_fd_sc_hd__a22oi_1 g341611(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]), .Y
-       (n_9466));
-  sky130_fd_sc_hd__a22oi_1 g341612(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]), .Y
-       (n_9465));
-  sky130_fd_sc_hd__a22oi_1 g341613(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]), .Y
-       (n_9464));
-  sky130_fd_sc_hd__a22oi_1 g341614(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]), .Y
-       (n_9463));
-  sky130_fd_sc_hd__a22oi_1 g341615(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]), .Y
-       (n_9462));
-  sky130_fd_sc_hd__a22oi_1 g341616(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]), .Y
-       (n_9461));
-  sky130_fd_sc_hd__a22oi_1 g341617(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]), .Y
-       (n_9460));
-  sky130_fd_sc_hd__a22oi_1 g341618(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]), .Y
-       (n_9459));
-  sky130_fd_sc_hd__a22oi_1 g341619(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]), .Y
-       (n_9458));
-  sky130_fd_sc_hd__a22oi_1 g341620(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]), .Y
-       (n_9457));
-  sky130_fd_sc_hd__a22oi_1 g341621(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]), .Y
-       (n_9456));
-  sky130_fd_sc_hd__a22oi_1 g341622(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]), .Y
-       (n_9455));
-  sky130_fd_sc_hd__a22oi_1 g341623(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]), .Y
-       (n_9454));
-  sky130_fd_sc_hd__a22oi_1 g341624(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]), .Y
-       (n_9453));
-  sky130_fd_sc_hd__a22oi_1 g341625(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]), .Y
-       (n_9452));
-  sky130_fd_sc_hd__a22oi_1 g341626(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]), .Y
-       (n_9451));
-  sky130_fd_sc_hd__a22oi_1 g341627(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]), .Y
-       (n_9450));
-  sky130_fd_sc_hd__a22oi_1 g341628(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]), .Y
-       (n_9449));
-  sky130_fd_sc_hd__a22oi_1 g341629(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]), .Y
-       (n_9448));
-  sky130_fd_sc_hd__a22oi_1 g341630(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]), .Y
-       (n_9447));
-  sky130_fd_sc_hd__a22oi_1 g341631(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]), .Y
-       (n_9446));
-  sky130_fd_sc_hd__a22oi_1 g341632(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]), .Y
-       (n_9445));
-  sky130_fd_sc_hd__a22oi_1 g341633(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]), .Y
-       (n_9444));
-  sky130_fd_sc_hd__a22oi_1 g341634(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]), .Y
-       (n_9443));
-  sky130_fd_sc_hd__a22oi_1 g341635(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]), .Y
-       (n_9442));
-  sky130_fd_sc_hd__a22oi_1 g341636(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]), .Y
-       (n_9441));
-  sky130_fd_sc_hd__a22oi_1 g341637(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]), .Y
-       (n_9440));
-  sky130_fd_sc_hd__a22oi_1 g341638(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]), .Y
-       (n_9439));
-  sky130_fd_sc_hd__a22oi_1 g341639(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]), .Y
-       (n_9438));
-  sky130_fd_sc_hd__a22oi_1 g341640(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]), .Y
-       (n_9437));
-  sky130_fd_sc_hd__a22oi_1 g341641(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]), .Y
-       (n_9436));
-  sky130_fd_sc_hd__a22oi_1 g341642(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]), .Y
-       (n_9435));
-  sky130_fd_sc_hd__a22oi_1 g341643(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]), .Y
-       (n_9434));
-  sky130_fd_sc_hd__a22oi_1 g341644(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]), .Y
-       (n_9433));
-  sky130_fd_sc_hd__a22oi_1 g341645(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]), .Y
-       (n_9432));
-  sky130_fd_sc_hd__a22oi_1 g341646(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]), .Y
-       (n_9431));
-  sky130_fd_sc_hd__a22oi_1 g341647(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]), .Y
-       (n_9430));
-  sky130_fd_sc_hd__a22oi_1 g341648(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]), .Y
-       (n_9429));
-  sky130_fd_sc_hd__a22oi_1 g341649(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]), .Y
-       (n_9428));
-  sky130_fd_sc_hd__a22oi_1 g341650(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]), .Y
-       (n_9427));
-  sky130_fd_sc_hd__a22oi_1 g341651(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]), .Y
-       (n_9426));
-  sky130_fd_sc_hd__a22oi_1 g341652(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]), .Y
-       (n_9425));
-  sky130_fd_sc_hd__a22oi_1 g341653(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]), .Y
-       (n_9424));
-  sky130_fd_sc_hd__a22oi_1 g341654(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]), .Y
-       (n_9423));
-  sky130_fd_sc_hd__a22oi_1 g341655(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]), .Y
-       (n_9422));
-  sky130_fd_sc_hd__a22oi_1 g341656(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]), .Y
-       (n_9421));
-  sky130_fd_sc_hd__a22oi_1 g341657(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]), .Y
-       (n_9420));
-  sky130_fd_sc_hd__a22oi_1 g341658(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]), .Y
-       (n_9419));
-  sky130_fd_sc_hd__a22oi_1 g341659(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]), .Y
-       (n_9418));
-  sky130_fd_sc_hd__a22oi_1 g341660(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]), .Y
-       (n_9417));
-  sky130_fd_sc_hd__a22oi_1 g341661(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]), .Y
-       (n_9416));
-  sky130_fd_sc_hd__a22oi_1 g341662(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]), .Y
-       (n_9415));
-  sky130_fd_sc_hd__a22oi_1 g341663(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]), .Y
-       (n_9414));
-  sky130_fd_sc_hd__a22oi_1 g341664(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]), .Y
-       (n_9413));
-  sky130_fd_sc_hd__a22oi_1 g341665(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]), .Y
-       (n_9412));
-  sky130_fd_sc_hd__a22oi_1 g341666(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]), .Y
-       (n_9411));
-  sky130_fd_sc_hd__a22oi_1 g341667(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]), .Y
-       (n_9410));
-  sky130_fd_sc_hd__a22oi_1 g341668(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]), .B1
-       (n_9004), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]), .Y
-       (n_9409));
-  sky130_fd_sc_hd__a22oi_1 g341669(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]), .Y
-       (n_9408));
-  sky130_fd_sc_hd__a22oi_1 g341670(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]), .Y
-       (n_9407));
-  sky130_fd_sc_hd__a22oi_1 g341671(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]), .Y
-       (n_9406));
-  sky130_fd_sc_hd__a22oi_1 g341672(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]), .Y
-       (n_9405));
-  sky130_fd_sc_hd__a22oi_1 g341673(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]), .Y
-       (n_9404));
-  sky130_fd_sc_hd__a22oi_1 g341674(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]), .Y
-       (n_9403));
-  sky130_fd_sc_hd__a22oi_1 g341675(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]), .Y
-       (n_9402));
-  sky130_fd_sc_hd__a22oi_1 g341676(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]), .Y
-       (n_9401));
-  sky130_fd_sc_hd__a22oi_1 g341677(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]), .Y
-       (n_9400));
-  sky130_fd_sc_hd__a22oi_1 g341678(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]), .Y
-       (n_9399));
-  sky130_fd_sc_hd__a22oi_1 g341679(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]), .Y
-       (n_9398));
-  sky130_fd_sc_hd__a22oi_1 g341680(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]), .Y
-       (n_9397));
-  sky130_fd_sc_hd__a22oi_1 g341681(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]), .Y
-       (n_9396));
-  sky130_fd_sc_hd__a22oi_1 g341682(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]), .Y
-       (n_9395));
-  sky130_fd_sc_hd__a22oi_1 g341683(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]), .Y
-       (n_9394));
-  sky130_fd_sc_hd__a22oi_1 g341684(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]), .Y
-       (n_9393));
-  sky130_fd_sc_hd__a22oi_1 g341685(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]), .Y
-       (n_9392));
-  sky130_fd_sc_hd__a22oi_1 g341686(.A1 (n_8975), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]), .B1
-       (n_8976), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]), .Y
-       (n_9391));
-  sky130_fd_sc_hd__a22oi_1 g341687(.A1 (n_8980), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]), .B1
-       (n_8978), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]), .Y
-       (n_9390));
-  sky130_fd_sc_hd__a22oi_1 g341688(.A1 (n_8977), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]), .B1
-       (n_8974), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]), .Y
-       (n_9389));
-  sky130_fd_sc_hd__a22oi_1 g341689(.A1 (n_8973), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]), .B1
-       (n_8971), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]), .Y
-       (n_9388));
-  sky130_fd_sc_hd__a22oi_1 g341690(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]), .Y
-       (n_9387));
-  sky130_fd_sc_hd__a22oi_1 g341691(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]), .Y
-       (n_9386));
-  sky130_fd_sc_hd__a22oi_1 g341692(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]), .Y
-       (n_9385));
-  sky130_fd_sc_hd__a22oi_1 g341693(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]), .Y
-       (n_9384));
-  sky130_fd_sc_hd__a22oi_1 g341694(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]), .Y
-       (n_9383));
-  sky130_fd_sc_hd__a22oi_1 g341695(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]), .Y
-       (n_9382));
-  sky130_fd_sc_hd__a22oi_1 g341696(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]), .Y
-       (n_9381));
-  sky130_fd_sc_hd__a22oi_1 g341697(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]), .Y
-       (n_9380));
-  sky130_fd_sc_hd__a22oi_1 g341698(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]), .Y
-       (n_9379));
-  sky130_fd_sc_hd__a22oi_1 g341699(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]), .Y
-       (n_9378));
-  sky130_fd_sc_hd__a22oi_1 g341700(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]), .Y
-       (n_9377));
-  sky130_fd_sc_hd__a22oi_1 g341701(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]), .Y
-       (n_9376));
-  sky130_fd_sc_hd__a22oi_1 g341702(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]), .Y
-       (n_9375));
-  sky130_fd_sc_hd__a22oi_1 g341703(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]), .Y
-       (n_9374));
-  sky130_fd_sc_hd__a22oi_1 g341704(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]), .Y
-       (n_9373));
-  sky130_fd_sc_hd__a22oi_1 g341705(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]), .Y
-       (n_9372));
-  sky130_fd_sc_hd__a22oi_1 g341706(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]), .Y
-       (n_9371));
-  sky130_fd_sc_hd__a22oi_1 g341707(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]), .Y
-       (n_9370));
-  sky130_fd_sc_hd__a22oi_1 g341708(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]), .Y
-       (n_9369));
-  sky130_fd_sc_hd__a22oi_1 g341709(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]), .Y
-       (n_9368));
-  sky130_fd_sc_hd__a22oi_1 g341710(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]), .Y
-       (n_9367));
-  sky130_fd_sc_hd__a22oi_1 g341711(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]), .Y
-       (n_9366));
-  sky130_fd_sc_hd__a22oi_1 g341712(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]), .Y
-       (n_9365));
-  sky130_fd_sc_hd__a22oi_1 g341713(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]), .Y
-       (n_9364));
-  sky130_fd_sc_hd__a22oi_1 g341714(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]), .Y
-       (n_9363));
-  sky130_fd_sc_hd__a22oi_1 g341715(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]), .Y
-       (n_9362));
-  sky130_fd_sc_hd__a22oi_1 g341716(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]), .Y
-       (n_9361));
-  sky130_fd_sc_hd__a22oi_1 g341717(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]), .Y
-       (n_9360));
-  sky130_fd_sc_hd__a22oi_1 g341718(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]), .Y
-       (n_9359));
-  sky130_fd_sc_hd__a22oi_1 g341719(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]), .Y
-       (n_9358));
-  sky130_fd_sc_hd__a22oi_1 g341720(.A1 (n_8961), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]), .B1
-       (n_8968), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]), .Y
-       (n_9357));
-  sky130_fd_sc_hd__a22oi_1 g341721(.A1 (n_8967), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]), .B1
-       (n_8966), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]), .Y
-       (n_9356));
-  sky130_fd_sc_hd__a22oi_1 g341722(.A1 (n_8965), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]), .B1
-       (n_8962), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]), .Y
-       (n_9355));
-  sky130_fd_sc_hd__clkinv_1 g341723(.A (n_9353), .Y
-       (u_soc_u_uart_u_uart_core_tx_fifo_re));
-  sky130_fd_sc_hd__a22o_1 g341724(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [5]), .X (n_9348));
-  sky130_fd_sc_hd__a22o_1 g341725(.A1 (n_8862), .A2 (n_13404), .B1
-       (n_8865), .B2 (n_13396), .X (n_9347));
-  sky130_fd_sc_hd__a22o_1 g341726(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]), .X
-       (n_9346));
-  sky130_fd_sc_hd__a22o_1 g341727(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]), .X
-       (n_9345));
-  sky130_fd_sc_hd__nand2_1 g341728(.A (n_13752), .B (n_8983), .Y
-       (n_9344));
-  sky130_fd_sc_hd__nand2_1 g341729(.A (n_13753), .B (n_8983), .Y
-       (n_9343));
-  sky130_fd_sc_hd__nand2_1 g341730(.A (n_13750), .B (n_8983), .Y
-       (n_9342));
-  sky130_fd_sc_hd__nand2_1 g341731(.A (n_13751), .B (n_8983), .Y
-       (n_9341));
-  sky130_fd_sc_hd__a22o_1 g341732(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [2]), .X (n_9340));
-  sky130_fd_sc_hd__nor2b_1 g341733(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B_N (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .Y
-       (n_9339));
-  sky130_fd_sc_hd__nand2b_1 g341734(.A_N (rx), .B
-       (u_soc_u_uart_u_uart_core_rx_en), .Y
-       (u_soc_u_uart_u_uart_core_n_188));
-  sky130_fd_sc_hd__a22o_1 g341735(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]), .X
-       (n_9338));
-  sky130_fd_sc_hd__a22o_1 g341736(.A1 (n_8862), .A2 (n_13405), .B1
-       (n_8865), .B2 (n_13397), .X (n_9337));
-  sky130_fd_sc_hd__a22o_1 g341737(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [2]), .X (n_9336));
-  sky130_fd_sc_hd__a22o_1 g341738(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]), .X
-       (n_9335));
-  sky130_fd_sc_hd__a22o_1 g341739(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [1]), .X (n_9334));
-  sky130_fd_sc_hd__a22o_1 g341740(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]), .X
-       (n_9333));
-  sky130_fd_sc_hd__a22o_1 g341741(.A1 (n_8862), .A2 (n_13406), .B1
-       (n_8865), .B2 (n_13398), .X (n_9332));
-  sky130_fd_sc_hd__nor2_1 g341742(.A (n_9012), .B (n_9011), .Y
-       (n_9331));
-  sky130_fd_sc_hd__a22o_1 g341743(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [1]), .X (n_9330));
-  sky130_fd_sc_hd__a22o_1 g341744(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]), .X
-       (n_9329));
-  sky130_fd_sc_hd__a22o_1 g341745(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]), .X
-       (n_9328));
-  sky130_fd_sc_hd__a22o_1 g341746(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]), .X
-       (n_9327));
-  sky130_fd_sc_hd__a22o_1 g341747(.A1 (n_8862), .A2 (n_13407), .B1
-       (n_8865), .B2 (n_13399), .X (n_9326));
-  sky130_fd_sc_hd__o21ai_0 g341748(.A1 (io_in[27]), .A2 (io_in[28]),
-       .B1 (n_8987), .Y (n_9325));
-  sky130_fd_sc_hd__o21ai_1 g341749(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .A2
-       (n_8862), .B1 (n_8875), .Y (n_9324));
-  sky130_fd_sc_hd__a21oi_1 g341750(.A1
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .A2 (n_8875), .B1
-       (n_8877), .Y (n_9323));
-  sky130_fd_sc_hd__a22o_1 g341753(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [1]), .X (n_9320));
-  sky130_fd_sc_hd__a22o_1 g341754(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]), .X
-       (n_9319));
-  sky130_fd_sc_hd__a22o_1 g341755(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]), .X
-       (n_9318));
-  sky130_fd_sc_hd__a22o_1 g341756(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [5]), .X (n_9317));
-  sky130_fd_sc_hd__a22o_1 g341757(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]), .X
-       (n_9316));
-  sky130_fd_sc_hd__a22o_1 g341758(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]), .X
-       (n_9315));
-  sky130_fd_sc_hd__a22o_1 g341759(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]), .X
-       (n_9314));
-  sky130_fd_sc_hd__a22o_1 g341760(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [5]), .X (n_9313));
-  sky130_fd_sc_hd__a22o_1 g341761(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]), .X
-       (n_9312));
-  sky130_fd_sc_hd__a22o_1 g341762(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]), .X
-       (n_9311));
-  sky130_fd_sc_hd__a22o_1 g341763(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [6]), .X (n_9310));
-  sky130_fd_sc_hd__a22o_1 g341764(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]), .X
-       (n_9309));
-  sky130_fd_sc_hd__a22o_1 g341765(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [6]), .X (n_9308));
-  sky130_fd_sc_hd__a22o_1 g341766(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]), .X
-       (n_9307));
-  sky130_fd_sc_hd__a22o_1 g341767(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [6]), .X (n_9306));
-  sky130_fd_sc_hd__a22o_1 g341768(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [6]), .X (n_9305));
-  sky130_fd_sc_hd__a22o_1 g341769(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [6]), .X (n_9304));
-  sky130_fd_sc_hd__a22o_1 g341770(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]), .X
-       (n_9303));
-  sky130_fd_sc_hd__a22o_1 g341771(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [7]), .X (n_9302));
-  sky130_fd_sc_hd__a22o_1 g341772(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]), .X
-       (n_9301));
-  sky130_fd_sc_hd__a22o_1 g341773(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [7]), .X (n_9300));
-  sky130_fd_sc_hd__a22oi_1 g341774(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[1]), .B1 (n_495), .B2
-       (u_soc_u_iccm_rdata4[1]), .Y (n_9299));
-  sky130_fd_sc_hd__a22o_1 g341775(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]), .X
-       (n_9298));
-  sky130_fd_sc_hd__a22o_1 g341776(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]), .X
-       (n_9297));
-  sky130_fd_sc_hd__a22oi_1 g341777(.A1 (n_496), .A2
-       (u_soc_u_iccm_rdata1[1]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[1]), .Y (n_9296));
-  sky130_fd_sc_hd__a22o_1 g341778(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [7]), .X (n_9295));
-  sky130_fd_sc_hd__a22o_1 g341779(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [1]), .X (n_9294));
-  sky130_fd_sc_hd__a22o_1 g341780(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [7]), .X (n_9293));
-  sky130_fd_sc_hd__a22o_1 g341781(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [1]), .X (n_9292));
-  sky130_fd_sc_hd__a22o_1 g341782(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]), .X
-       (n_9291));
-  sky130_fd_sc_hd__a22o_1 g341783(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]), .X
-       (n_9290));
-  sky130_fd_sc_hd__a22o_1 g341784(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]), .X
-       (n_9289));
-  sky130_fd_sc_hd__a22o_1 g341785(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]), .X
-       (n_9288));
-  sky130_fd_sc_hd__a22o_1 g341786(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [1]), .X (n_9287));
-  sky130_fd_sc_hd__a22o_1 g341787(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]), .X
-       (n_9286));
-  sky130_fd_sc_hd__a22o_1 g341788(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [8]), .X (n_9285));
-  sky130_fd_sc_hd__a22o_1 g341789(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [8]), .X (n_9284));
-  sky130_fd_sc_hd__a22o_1 g341790(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [8]), .X (n_9283));
-  sky130_fd_sc_hd__a22o_1 g341791(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]), .X
-       (n_9282));
-  sky130_fd_sc_hd__a22o_1 g341792(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [1]), .X (n_9281));
-  sky130_fd_sc_hd__a22oi_1 g341793(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[16]), .B1 (n_495), .B2
-       (u_soc_u_iccm_rdata4[16]), .Y (n_9280));
-  sky130_fd_sc_hd__a22o_1 g341794(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21]
-       [1]), .X (n_9279));
-  sky130_fd_sc_hd__a22o_1 g341795(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [1]), .X (n_9278));
-  sky130_fd_sc_hd__a22oi_1 g341796(.A1 (n_496), .A2
-       (u_soc_u_iccm_rdata1[16]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[16]), .Y (n_9277));
-  sky130_fd_sc_hd__a22o_1 g341797(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]), .X
-       (n_9276));
-  sky130_fd_sc_hd__a22o_1 g341798(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [1]), .X (n_9275));
-  sky130_fd_sc_hd__a22o_1 g341799(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [8]), .X (n_9274));
-  sky130_fd_sc_hd__a22o_1 g341800(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [2]), .X (n_9273));
-  sky130_fd_sc_hd__a22o_1 g341801(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [2]), .X (n_9272));
-  sky130_fd_sc_hd__a22o_1 g341802(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
-       [2]), .X (n_9271));
-  sky130_fd_sc_hd__a22o_1 g341803(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]), .X
-       (n_9270));
-  sky130_fd_sc_hd__a22o_1 g341804(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [2]), .X (n_9269));
-  sky130_fd_sc_hd__a22o_1 g341805(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [2]), .X (n_9268));
-  sky130_fd_sc_hd__a22o_1 g341806(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]), .X
-       (n_9267));
-  sky130_fd_sc_hd__a22o_1 g341807(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [2]), .X (n_9266));
-  sky130_fd_sc_hd__a22o_1 g341808(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [3]), .X (n_9265));
-  sky130_fd_sc_hd__a22o_1 g341809(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]), .X
-       (n_9264));
-  sky130_fd_sc_hd__a22o_1 g341810(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
-       [3]), .X (n_9263));
-  sky130_fd_sc_hd__a22o_1 g341811(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]), .X
-       (n_9262));
-  sky130_fd_sc_hd__a22o_1 g341812(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]), .X
-       (n_9261));
-  sky130_fd_sc_hd__a22o_1 g341813(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [3]), .X (n_9260));
-  sky130_fd_sc_hd__a22o_1 g341814(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [4]), .X (n_9259));
-  sky130_fd_sc_hd__a22o_1 g341815(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]), .X
-       (n_9258));
-  sky130_fd_sc_hd__a22o_1 g341816(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [3]), .X (n_9257));
-  sky130_fd_sc_hd__a22o_1 g341817(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [4]), .X (n_9256));
-  sky130_fd_sc_hd__a22o_1 g341818(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [5]), .X (n_9255));
-  sky130_fd_sc_hd__a22o_1 g341819(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]), .X
-       (n_9254));
-  sky130_fd_sc_hd__a22o_1 g341820(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]), .X
-       (n_9253));
-  sky130_fd_sc_hd__a22o_1 g341821(.A1 (n_8862), .A2 (n_15946), .B1
-       (n_8865), .B2 (n_13408), .X (n_9252));
-  sky130_fd_sc_hd__a22o_1 g341822(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
-       [5]), .X (n_9251));
-  sky130_fd_sc_hd__a22o_1 g341823(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]), .X
-       (n_9250));
-  sky130_fd_sc_hd__a22o_1 g341824(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]), .X
-       (n_9249));
-  sky130_fd_sc_hd__a22o_1 g341825(.A1 (n_8862), .A2 (n_13415), .B1
-       (n_8865), .B2 (n_13407), .X (n_9248));
-  sky130_fd_sc_hd__a22o_1 g341826(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
-       [5]), .X (n_9247));
-  sky130_fd_sc_hd__a22o_1 g341827(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
-       [6]), .X (n_9246));
-  sky130_fd_sc_hd__a22o_1 g341828(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [6]), .X (n_9245));
-  sky130_fd_sc_hd__a22o_1 g341829(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [4]), .X (n_9244));
-  sky130_fd_sc_hd__a22o_1 g341830(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [6]), .X (n_9243));
-  sky130_fd_sc_hd__a22o_1 g341831(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [7]), .X (n_9242));
-  sky130_fd_sc_hd__a22o_1 g341832(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]), .X
-       (n_9241));
-  sky130_fd_sc_hd__a22o_1 g341833(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
-       [7]), .X (n_9240));
-  sky130_fd_sc_hd__a22o_1 g341834(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [7]), .X (n_9239));
-  sky130_fd_sc_hd__a22o_1 g341835(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]), .X
-       (n_9238));
-  sky130_fd_sc_hd__a22o_1 g341836(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
-       [4]), .X (n_9237));
-  sky130_fd_sc_hd__a22o_1 g341837(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [1]), .X (n_9236));
-  sky130_fd_sc_hd__a22o_1 g341838(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]), .X
-       (n_9235));
-  sky130_fd_sc_hd__a22o_1 g341839(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
-       [7]), .X (n_9234));
-  sky130_fd_sc_hd__a22o_1 g341840(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]), .X
-       (n_9233));
-  sky130_fd_sc_hd__a22o_1 g341841(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]), .X
-       (n_9232));
-  sky130_fd_sc_hd__a22o_1 g341842(.A1 (n_8862), .A2 (n_13413), .B1
-       (n_8865), .B2 (n_13405), .X (n_9231));
-  sky130_fd_sc_hd__a22o_1 g341843(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
-       [8]), .X (n_9230));
-  sky130_fd_sc_hd__a22o_1 g341844(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]), .X
-       (n_9229));
-  sky130_fd_sc_hd__a22o_1 g341845(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
-       [8]), .X (n_9228));
-  sky130_fd_sc_hd__a22o_1 g341846(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
-       [8]), .X (n_9227));
-  sky130_fd_sc_hd__a22o_1 g341847(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]), .X
-       (n_9226));
-  sky130_fd_sc_hd__a22o_1 g341848(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [5]), .X (n_9225));
-  sky130_fd_sc_hd__a22o_1 g341849(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]), .X
-       (n_9224));
-  sky130_fd_sc_hd__a22o_1 g341850(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]), .X
-       (n_9223));
-  sky130_fd_sc_hd__a22o_1 g341851(.A1 (n_8862), .A2 (n_13412), .B1
-       (n_8865), .B2 (n_13404), .X (n_9222));
-  sky130_fd_sc_hd__a22o_1 g341852(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]), .X
-       (n_9221));
-  sky130_fd_sc_hd__a22o_1 g341853(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [6]), .X (n_9220));
-  sky130_fd_sc_hd__a22o_1 g341854(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]), .X
-       (n_9219));
-  sky130_fd_sc_hd__a22o_1 g341855(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]), .X
-       (n_9218));
-  sky130_fd_sc_hd__a22o_1 g341856(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]), .X
-       (n_9217));
-  sky130_fd_sc_hd__a22o_1 g341857(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]), .X
-       (n_9216));
-  sky130_fd_sc_hd__a22o_1 g341858(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [6]), .X (n_9215));
-  sky130_fd_sc_hd__a22o_1 g341859(.A1 (n_8862), .A2 (n_13411), .B1
-       (n_8865), .B2 (n_13403), .X (n_9214));
-  sky130_fd_sc_hd__a22o_1 g341860(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]), .X
-       (n_9213));
-  sky130_fd_sc_hd__a22o_1 g341861(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]), .X
-       (n_9212));
-  sky130_fd_sc_hd__a22o_1 g341862(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]), .X
-       (n_9211));
-  sky130_fd_sc_hd__a22o_1 g341863(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
-       [7]), .X (n_9210));
-  sky130_fd_sc_hd__a22o_1 g341864(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]), .X
-       (n_9209));
-  sky130_fd_sc_hd__a22o_1 g341865(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
-       [7]), .X (n_9208));
-  sky130_fd_sc_hd__a22o_1 g341866(.A1 (n_8862), .A2 (n_13410), .B1
-       (n_8865), .B2 (n_13402), .X (n_9207));
-  sky130_fd_sc_hd__a22o_1 g341867(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]), .X
-       (n_9206));
-  sky130_fd_sc_hd__a22o_1 g341868(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]), .X
-       (n_9205));
-  sky130_fd_sc_hd__a22o_1 g341869(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]), .X
-       (n_9204));
-  sky130_fd_sc_hd__a22o_1 g341870(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]), .X
-       (n_9203));
-  sky130_fd_sc_hd__a22o_1 g341871(.A1 (n_8862), .A2 (n_13409), .B1
-       (n_8865), .B2 (n_13401), .X (n_9202));
-  sky130_fd_sc_hd__a22o_1 g341872(.A1 (n_8862), .A2 (n_13414), .B1
-       (n_8865), .B2 (n_13406), .X (n_9201));
-  sky130_fd_sc_hd__a22o_1 g341873(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]), .X
-       (n_9200));
-  sky130_fd_sc_hd__a22o_1 g341874(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]), .X
-       (n_9199));
-  sky130_fd_sc_hd__a22o_1 g341875(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]), .X
-       (n_9198));
-  sky130_fd_sc_hd__a22o_1 g341876(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
-       [8]), .X (n_9197));
-  sky130_fd_sc_hd__a22o_1 g341877(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]), .X
-       (n_9196));
-  sky130_fd_sc_hd__a22o_1 g341878(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]), .X
-       (n_9195));
-  sky130_fd_sc_hd__a22o_1 g341879(.A1 (n_8862), .A2 (n_13408), .B1
-       (n_8865), .B2 (n_13400), .X (n_9194));
-  sky130_fd_sc_hd__a22o_1 g341880(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]), .X
-       (n_9193));
-  sky130_fd_sc_hd__a22o_1 g341881(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
-       [8]), .X (n_9192));
-  sky130_fd_sc_hd__nand2b_1 g341882(.A_N
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .Y
-       (n_13313));
-  sky130_fd_sc_hd__or2_1 g341883(.A (n_8958), .B
-       (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .X (n_9354));
-  sky130_fd_sc_hd__nand3b_1 g341884(.A_N (n_13885), .B (n_13381), .C
-       (n_13452), .Y (n_13875));
-  sky130_fd_sc_hd__nand2b_1 g341885(.A_N
-       (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .B (n_8957), .Y
-       (n_13325));
-  sky130_fd_sc_hd__nand2_1 g341886(.A (n_8862), .B (n_9011), .Y
-       (n_13340));
-  sky130_fd_sc_hd__a31oi_1 g341887(.A1 (n_8824), .A2
-       (u_soc_u_uart_u_uart_core_tx_done), .A3
-       (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_init), .Y (n_9353));
-  sky130_fd_sc_hd__or2_1 g341888(.A (n_8959), .B
-       (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .X (n_9352));
-  sky130_fd_sc_hd__nand2b_1 g341889(.A_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .Y
-       (n_13320));
-  sky130_fd_sc_hd__nand2_1 g341890(.A
-       (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .B
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_13312));
-  sky130_fd_sc_hd__nand2_1 g341891(.A
-       (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_13315));
-  sky130_fd_sc_hd__nand2_1 g341892(.A
-       (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .B
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_13311));
-  sky130_fd_sc_hd__and3_1 g341893(.A (\u_soc_xbar_to_lsu[d_valid] ), .B
-       (n_8878), .C
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .X
-       (n_13491));
-  sky130_fd_sc_hd__nand2_1 g341894(.A (n_9013), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_9351));
-  sky130_fd_sc_hd__nor2b_1 g341895(.A (io_in[27]), .B_N (n_8987), .Y
-       (n_9350));
-  sky130_fd_sc_hd__or2_0 g341896(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B (n_8986), .X
-       (n_13482));
-  sky130_fd_sc_hd__or2_2 g341897(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B (n_8986), .X
-       (n_13473));
-  sky130_fd_sc_hd__nor2_1 g341898(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
-       (n_9014), .Y (n_9349));
-  sky130_fd_sc_hd__nand2b_1 g341899(.A_N (n_8992), .B
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-       .Y (u_soc_u_top_u_core_ready_wb));
-  sky130_fd_sc_hd__a22o_1 g341900(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]), .X
-       (n_9190));
-  sky130_fd_sc_hd__a22o_1 g341901(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]), .X
-       (n_9189));
-  sky130_fd_sc_hd__a22o_1 g341902(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]), .X
-       (n_9188));
-  sky130_fd_sc_hd__a22o_1 g341903(.A1 (n_8862), .A2 (n_13403), .B1
-       (n_8865), .B2 (n_13395), .X (n_9187));
-  sky130_fd_sc_hd__a22o_1 g341904(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]), .X
-       (n_9186));
-  sky130_fd_sc_hd__a22o_1 g341905(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [3]), .X (n_9185));
-  sky130_fd_sc_hd__a22o_1 g341906(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]), .X
-       (n_9184));
-  sky130_fd_sc_hd__a22o_1 g341907(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [3]), .X (n_9183));
-  sky130_fd_sc_hd__a22o_1 g341908(.A1 (n_8862), .A2 (n_13402), .B1
-       (n_8865), .B2 (n_13394), .X (n_9182));
-  sky130_fd_sc_hd__a22o_1 g341909(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [3]), .X (n_9181));
-  sky130_fd_sc_hd__a22o_1 g341910(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]), .X
-       (n_9180));
-  sky130_fd_sc_hd__a22o_1 g341911(.A1 (n_8862), .A2 (n_13401), .B1
-       (n_8865), .B2 (n_13393), .X (n_9179));
-  sky130_fd_sc_hd__a22o_1 g341912(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]), .X
-       (n_9178));
-  sky130_fd_sc_hd__a22o_1 g341913(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]), .X
-       (n_9177));
-  sky130_fd_sc_hd__a22o_1 g341914(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]), .X
-       (n_9176));
-  sky130_fd_sc_hd__a22o_1 g341915(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [4]), .X (n_9175));
-  sky130_fd_sc_hd__a22o_1 g341916(.A1 (n_8862), .A2 (n_13400), .B1
-       (n_8865), .B2 (n_13392), .X (n_9174));
-  sky130_fd_sc_hd__a22o_1 g341917(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]), .X
-       (n_9173));
-  sky130_fd_sc_hd__a22o_1 g341918(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [4]), .X (n_9172));
-  sky130_fd_sc_hd__a22o_1 g341919(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [4]), .X (n_9171));
-  sky130_fd_sc_hd__a22o_1 g341920(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]), .X
-       (n_9170));
-  sky130_fd_sc_hd__a22o_1 g341921(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [4]), .X (n_9169));
-  sky130_fd_sc_hd__a22o_1 g341922(.A1 (n_8862), .A2 (n_13399), .B1
-       (n_8865), .B2 (n_13391), .X (n_9168));
-  sky130_fd_sc_hd__a22o_1 g341923(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]), .X
-       (n_9167));
-  sky130_fd_sc_hd__a22o_1 g341924(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [5]), .X (n_9166));
-  sky130_fd_sc_hd__a22o_1 g341925(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]), .X
-       (n_9165));
-  sky130_fd_sc_hd__a22o_1 g341926(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [5]), .X (n_9164));
-  sky130_fd_sc_hd__a22oi_1 g341927(.A1 (n_496), .A2
-       (u_soc_u_iccm_rdata1[17]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[17]), .Y (n_9163));
-  sky130_fd_sc_hd__a22o_1 g341928(.A1 (n_8862), .A2 (n_13398), .B1
-       (n_8865), .B2 (n_13390), .X (n_9162));
-  sky130_fd_sc_hd__a22o_1 g341929(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]), .X
-       (n_9161));
-  sky130_fd_sc_hd__a22o_1 g341930(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [5]), .X (n_9160));
-  sky130_fd_sc_hd__a22oi_1 g341931(.A1 (n_496), .A2
-       (u_soc_u_iccm_rdata1[0]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[0]), .Y (n_9159));
-  sky130_fd_sc_hd__a22o_1 g341932(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89]
-       [5]), .X (n_9158));
-  sky130_fd_sc_hd__a22o_1 g341933(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]), .X
-       (n_9157));
-  sky130_fd_sc_hd__a22o_1 g341934(.A1 (n_8862), .A2 (n_13397), .B1
-       (n_8865), .B2 (n_13389), .X (n_9156));
-  sky130_fd_sc_hd__a22o_1 g341935(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]), .X
-       (n_9155));
-  sky130_fd_sc_hd__a22o_1 g341936(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [6]), .X (n_9154));
-  sky130_fd_sc_hd__a22o_1 g341937(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]), .X
-       (n_9153));
-  sky130_fd_sc_hd__a22o_1 g341938(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]), .X
-       (n_9152));
-  sky130_fd_sc_hd__a22o_1 g341939(.A1 (n_8862), .A2 (n_13396), .B1
-       (n_8865), .B2 (n_13388), .X (n_9151));
-  sky130_fd_sc_hd__a22o_1 g341940(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]), .X
-       (n_9150));
-  sky130_fd_sc_hd__a22o_1 g341941(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]), .X
-       (n_9149));
-  sky130_fd_sc_hd__a22o_1 g341942(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]), .X
-       (n_9148));
-  sky130_fd_sc_hd__a22o_1 g341943(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [6]), .X (n_9147));
-  sky130_fd_sc_hd__a22o_1 g341944(.A1 (n_8862), .A2 (n_13395), .B1
-       (n_8865), .B2 (n_13387), .X (n_9146));
-  sky130_fd_sc_hd__a22o_1 g341945(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]), .X
-       (n_9145));
-  sky130_fd_sc_hd__a22o_1 g341946(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [7]), .X (n_9144));
-  sky130_fd_sc_hd__a22o_1 g341947(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]), .X
-       (n_9143));
-  sky130_fd_sc_hd__a22o_1 g341948(.A1 (n_8862), .A2 (n_13394), .B1
-       (n_8865), .B2 (n_13386), .X (n_9142));
-  sky130_fd_sc_hd__a22o_1 g341949(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [7]), .X (n_9141));
-  sky130_fd_sc_hd__a22o_1 g341950(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]), .X
-       (n_9140));
-  sky130_fd_sc_hd__a22o_1 g341951(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]), .X
-       (n_9139));
-  sky130_fd_sc_hd__a22o_1 g341952(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [7]), .X (n_9138));
-  sky130_fd_sc_hd__a22o_1 g341953(.A1 (n_8862), .A2 (n_13393), .B1
-       (n_8865), .B2 (n_13416), .X (n_9137));
-  sky130_fd_sc_hd__a22o_1 g341954(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [7]), .X (n_9136));
-  sky130_fd_sc_hd__a22o_1 g341955(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [7]), .X (n_9135));
-  sky130_fd_sc_hd__a22o_1 g341956(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]), .X
-       (n_9134));
-  sky130_fd_sc_hd__a22o_1 g341957(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
-       [8]), .X (n_9133));
-  sky130_fd_sc_hd__a22o_1 g341958(.A1 (n_8862), .A2 (n_13392), .B1
-       (n_8865), .B2 (n_15946), .X (n_9132));
-  sky130_fd_sc_hd__a22o_1 g341959(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]), .X
-       (n_9131));
-  sky130_fd_sc_hd__a22o_1 g341960(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
-       [8]), .X (n_9130));
-  sky130_fd_sc_hd__a22o_1 g341961(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]), .X
-       (n_9129));
-  sky130_fd_sc_hd__a22o_1 g341962(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
-       [8]), .X (n_9128));
-  sky130_fd_sc_hd__a22o_1 g341963(.A1 (n_8862), .A2 (n_13391), .B1
-       (n_8865), .B2 (n_13415), .X (n_9127));
-  sky130_fd_sc_hd__a22o_1 g341964(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [8]), .X (n_9126));
-  sky130_fd_sc_hd__a22o_1 g341965(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
-       [8]), .X (n_9125));
-  sky130_fd_sc_hd__a22o_1 g341966(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]), .X
-       (n_9124));
-  sky130_fd_sc_hd__a22o_1 g341967(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]), .X
-       (n_9123));
-  sky130_fd_sc_hd__a22o_1 g341968(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]), .X
-       (n_9122));
-  sky130_fd_sc_hd__a22o_1 g341969(.A1 (n_8862), .A2 (n_13390), .B1
-       (n_8865), .B2 (n_13414), .X (n_9121));
-  sky130_fd_sc_hd__a22o_1 g341970(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]), .X
-       (n_9120));
-  sky130_fd_sc_hd__a22o_1 g341971(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]), .X
-       (n_9119));
-  sky130_fd_sc_hd__a22o_1 g341972(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]), .X
-       (n_9118));
-  sky130_fd_sc_hd__a22o_1 g341973(.A1 (n_8862), .A2 (n_13389), .B1
-       (n_8865), .B2 (n_13413), .X (n_9117));
-  sky130_fd_sc_hd__a22o_1 g341974(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [1]), .X (n_9116));
-  sky130_fd_sc_hd__a22o_1 g341975(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]), .X
-       (n_9115));
-  sky130_fd_sc_hd__a22o_1 g341976(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]), .X
-       (n_9114));
-  sky130_fd_sc_hd__a22oi_1 g341977(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[0]), .B1 (n_495), .B2
-       (u_soc_u_iccm_rdata4[0]), .Y (n_9113));
-  sky130_fd_sc_hd__a22o_1 g341978(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [2]), .X (n_9112));
-  sky130_fd_sc_hd__a22o_1 g341979(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
-       [4]), .X (n_9111));
-  sky130_fd_sc_hd__a22o_1 g341980(.A1 (n_8862), .A2 (n_13388), .B1
-       (n_8865), .B2 (n_13412), .X (n_9110));
-  sky130_fd_sc_hd__a22o_1 g341981(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]), .X
-       (n_9109));
-  sky130_fd_sc_hd__a22o_1 g341982(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [2]), .X (n_9108));
-  sky130_fd_sc_hd__a22o_1 g341983(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]), .X
-       (n_9107));
-  sky130_fd_sc_hd__a22o_1 g341984(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [2]), .X (n_9106));
-  sky130_fd_sc_hd__a22o_1 g341985(.A1 (n_8862), .A2 (n_13387), .B1
-       (n_8865), .B2 (n_13411), .X (n_9105));
-  sky130_fd_sc_hd__a22o_1 g341986(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [2]), .X (n_9104));
-  sky130_fd_sc_hd__a22o_1 g341987(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [2]), .X (n_9103));
-  sky130_fd_sc_hd__a22o_1 g341988(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]), .X
-       (n_9102));
-  sky130_fd_sc_hd__a22o_1 g341989(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]), .X
-       (n_9101));
-  sky130_fd_sc_hd__a22o_1 g341990(.A1 (n_8862), .A2 (n_13386), .B1
-       (n_8865), .B2 (n_13410), .X (n_9100));
-  sky130_fd_sc_hd__a22o_1 g341991(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]), .X
-       (n_9099));
-  sky130_fd_sc_hd__a22o_1 g341992(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [3]), .X (n_9098));
-  sky130_fd_sc_hd__a22o_1 g341993(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]), .X
-       (n_9097));
-  sky130_fd_sc_hd__a22o_1 g341994(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]), .X
-       (n_9096));
-  sky130_fd_sc_hd__a22o_1 g341995(.A1 (n_8862), .A2 (n_13416), .B1
-       (n_8865), .B2 (n_13409), .X (n_9095));
-  sky130_fd_sc_hd__a22o_1 g341996(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [3]), .X (n_9094));
-  sky130_fd_sc_hd__a22o_1 g341997(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]), .X
-       (n_9093));
-  sky130_fd_sc_hd__a22o_1 g341998(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]), .X
-       (n_9092));
-  sky130_fd_sc_hd__a22o_1 g341999(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [4]), .X (n_9091));
-  sky130_fd_sc_hd__a22o_1 g342000(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]), .X
-       (n_9090));
-  sky130_fd_sc_hd__a22o_1 g342001(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [4]), .X (n_9089));
-  sky130_fd_sc_hd__a22o_1 g342002(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]), .X
-       (n_9088));
-  sky130_fd_sc_hd__a22o_1 g342003(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [4]), .X (n_9087));
-  sky130_fd_sc_hd__a22o_1 g342004(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [4]), .X (n_9086));
-  sky130_fd_sc_hd__a22o_1 g342005(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]), .X
-       (n_9085));
-  sky130_fd_sc_hd__a22o_1 g342006(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]), .X
-       (n_9084));
-  sky130_fd_sc_hd__a22o_1 g342007(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]), .X
-       (n_9083));
-  sky130_fd_sc_hd__a22o_1 g342008(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]), .X
-       (n_9082));
-  sky130_fd_sc_hd__a22o_1 g342009(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [5]), .X (n_9081));
-  sky130_fd_sc_hd__a22o_1 g342010(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]), .X
-       (n_9080));
-  sky130_fd_sc_hd__a22o_1 g342011(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [5]), .X (n_9079));
-  sky130_fd_sc_hd__a22o_1 g342012(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]), .X
-       (n_9078));
-  sky130_fd_sc_hd__a22o_1 g342013(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [5]), .X (n_9077));
-  sky130_fd_sc_hd__a22o_1 g342014(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]), .X
-       (n_9076));
-  sky130_fd_sc_hd__a22o_1 g342015(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [6]), .X (n_9075));
-  sky130_fd_sc_hd__a22o_1 g342016(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]), .X
-       (n_9074));
-  sky130_fd_sc_hd__a22o_1 g342017(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [6]), .X (n_9073));
-  sky130_fd_sc_hd__a22o_1 g342018(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]), .X
-       (n_9072));
-  sky130_fd_sc_hd__a22o_1 g342019(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [6]), .X (n_9071));
-  sky130_fd_sc_hd__a22o_1 g342020(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [6]), .X (n_9070));
-  sky130_fd_sc_hd__a22o_1 g342021(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [6]), .X (n_9069));
-  sky130_fd_sc_hd__a22o_1 g342022(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39]
-       [7]), .X (n_9068));
-  sky130_fd_sc_hd__a22o_1 g342023(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]), .X
-       (n_9067));
-  sky130_fd_sc_hd__a22o_1 g342024(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
-       [7]), .X (n_9066));
-  sky130_fd_sc_hd__a22o_1 g342025(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]), .X
-       (n_9065));
-  sky130_fd_sc_hd__a22o_1 g342026(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
-       [7]), .X (n_9064));
-  sky130_fd_sc_hd__a22o_1 g342027(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]), .X
-       (n_9063));
-  sky130_fd_sc_hd__a22o_1 g342028(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [7]), .X (n_9062));
-  sky130_fd_sc_hd__a22o_1 g342029(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]), .X
-       (n_9061));
-  sky130_fd_sc_hd__a22o_1 g342030(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
-       [7]), .X (n_9060));
-  sky130_fd_sc_hd__a22o_1 g342031(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [7]), .X (n_9059));
-  sky130_fd_sc_hd__a22o_1 g342032(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]), .X
-       (n_9058));
-  sky130_fd_sc_hd__a22o_1 g342033(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]), .X
-       (n_9057));
-  sky130_fd_sc_hd__a22o_1 g342034(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]), .X
-       (n_9056));
-  sky130_fd_sc_hd__a22o_1 g342035(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
-       [8]), .X (n_9055));
-  sky130_fd_sc_hd__a22o_1 g342036(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]), .X
-       (n_9054));
-  sky130_fd_sc_hd__a22o_1 g342037(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]), .X
-       (n_9053));
-  sky130_fd_sc_hd__a22o_1 g342038(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]), .X
-       (n_9052));
-  sky130_fd_sc_hd__a22o_1 g342039(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]), .X
-       (n_9051));
-  sky130_fd_sc_hd__a22o_1 g342040(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
-       [8]), .X (n_9050));
-  sky130_fd_sc_hd__a22o_1 g342041(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]), .X
-       (n_9049));
-  sky130_fd_sc_hd__a22o_1 g342042(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]), .X
-       (n_9048));
-  sky130_fd_sc_hd__a22o_1 g342043(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]), .X
-       (n_9047));
-  sky130_fd_sc_hd__a22o_1 g342044(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]), .X
-       (n_9046));
-  sky130_fd_sc_hd__a22o_1 g342045(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]), .X
-       (n_9045));
-  sky130_fd_sc_hd__a22o_1 g342046(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91]
-       [1]), .X (n_9044));
-  sky130_fd_sc_hd__a22o_1 g342047(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]), .X
-       (n_9043));
-  sky130_fd_sc_hd__a22o_1 g342048(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83]
-       [1]), .X (n_9042));
-  sky130_fd_sc_hd__a22o_1 g342049(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]), .X
-       (n_9041));
-  sky130_fd_sc_hd__a22o_1 g342050(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [2]), .X (n_9040));
-  sky130_fd_sc_hd__a22o_1 g342051(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]), .X
-       (n_9039));
-  sky130_fd_sc_hd__a22o_1 g342052(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [2]), .X (n_9038));
-  sky130_fd_sc_hd__a22o_1 g342053(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]), .X
-       (n_9037));
-  sky130_fd_sc_hd__a22o_1 g342054(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [2]), .X (n_9036));
-  sky130_fd_sc_hd__a22o_1 g342055(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]), .X
-       (n_9035));
-  sky130_fd_sc_hd__a22o_1 g342056(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [2]), .X (n_9034));
-  sky130_fd_sc_hd__a22o_1 g342057(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]), .X
-       (n_9033));
-  sky130_fd_sc_hd__a22o_1 g342058(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]), .X
-       (n_9032));
-  sky130_fd_sc_hd__a22o_1 g342059(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [3]), .X (n_9031));
-  sky130_fd_sc_hd__a22o_1 g342060(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]), .X
-       (n_9030));
-  sky130_fd_sc_hd__a22o_1 g342061(.A1 (n_8845), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]), .B1
-       (n_8911), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]), .X
-       (n_9029));
-  sky130_fd_sc_hd__a22o_1 g342062(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]), .X
-       (n_9028));
-  sky130_fd_sc_hd__a22o_1 g342063(.A1 (n_8844), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]), .B1
-       (n_8912), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]), .X
-       (n_9027));
-  sky130_fd_sc_hd__a22o_1 g342064(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [3]), .X (n_9026));
-  sky130_fd_sc_hd__a22oi_1 g342065(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[17]), .B1 (n_495), .B2
-       (u_soc_u_iccm_rdata4[17]), .Y (n_9025));
-  sky130_fd_sc_hd__a22o_1 g342066(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [3]), .X (n_9024));
-  sky130_fd_sc_hd__a22o_1 g342067(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]), .X
-       (n_9023));
-  sky130_fd_sc_hd__a22o_1 g342068(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
-       [4]), .X (n_9022));
-  sky130_fd_sc_hd__a22o_1 g342069(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]), .B1
-       (n_8836), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]), .X
-       (n_9021));
-  sky130_fd_sc_hd__a22o_1 g342070(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
-       [4]), .X (n_9020));
-  sky130_fd_sc_hd__a22o_1 g342071(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]), .B1
-       (n_8835), .B2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]), .X
-       (n_9019));
-  sky130_fd_sc_hd__a22o_1 g342072(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
-       [4]), .X (n_9018));
-  sky130_fd_sc_hd__a22o_1 g342073(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
-       [4]), .X (n_9017));
-  sky130_fd_sc_hd__a22o_1 g342074(.A1 (n_8921), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]), .B1
-       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
-       [4]), .X (n_9016));
-  sky130_fd_sc_hd__a22o_1 g342075(.A1 (n_8922), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]), .B1
-       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
-       [2]), .X (n_9015));
-  sky130_fd_sc_hd__a22o_1 g342076(.A1 (n_8861), .A2 (n_8877), .B1
-       (n_8863), .B2 (n_8875), .X (n_9191));
-  sky130_fd_sc_hd__inv_2 g342077(.A (u_soc_iccm_adapter_instr_weD), .Y
-       (u_soc_instr_we));
-  sky130_fd_sc_hd__inv_2 g342079(.A (n_9009), .Y (n_13466));
-  sky130_fd_sc_hd__inv_1 g342080(.A (n_9008), .Y (n_13326));
-  sky130_fd_sc_hd__inv_2 g342081(.A (n_13471), .Y (n_9007));
-  sky130_fd_sc_hd__inv_2 g342083(.A (n_9002), .Y (n_9001));
-  sky130_fd_sc_hd__nand2_1 g342117(.A (n_8867), .B
-       (u_soc_u_top_u_core_lsu_type[1]), .Y (n_8998));
-  sky130_fd_sc_hd__nand2_1 g342118(.A (n_8862), .B
-       (u_soc_u_top_u_core_lsu_type[1]), .Y (n_8997));
-  sky130_fd_sc_hd__nor2b_1 g342119(.A (n_13335), .B_N (n_13877), .Y
-       (n_8996));
-  sky130_fd_sc_hd__nor2b_1 g342120(.A (n_8883), .B_N (n_13452), .Y
-       (n_13486));
-  sky130_fd_sc_hd__nor2b_1 g342121(.A (n_13465), .B_N (n_13877), .Y
-       (n_9014));
-  sky130_fd_sc_hd__nor2_1 g342122(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B (n_13476), .Y
-       (n_9013));
-  sky130_fd_sc_hd__nor2b_1 g342123(.A
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .B_N
-       (n_8875), .Y (n_9012));
-  sky130_fd_sc_hd__nor2b_1 g342124(.A
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .B_N
-       (n_8877), .Y (n_9011));
-  sky130_fd_sc_hd__nand2_1 g342125(.A (n_8877), .B
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .Y
-       (n_9010));
-  sky130_fd_sc_hd__nor2_1 g342126(.A (n_13335), .B (n_13877), .Y
-       (n_9009));
-  sky130_fd_sc_hd__nor2_1 g342127(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .B (n_13329), .Y
-       (n_9008));
-  sky130_fd_sc_hd__nand2_1 g342128(.A (n_8945), .B
-       (u_soc_u_top_u_core_pc_mux_id[0]), .Y (n_13471));
-  sky130_fd_sc_hd__nor2b_1 g342129(.A (n_13472), .B_N
-       (u_soc_u_top_u_core_pc_mux_id[2]), .Y (n_13534));
-  sky130_fd_sc_hd__nor2_1 g342130(.A (n_8874), .B (n_13328), .Y
-       (n_9006));
-  sky130_fd_sc_hd__nor2_1 g342131(.A (n_8874), .B (n_13329), .Y
-       (n_9005));
-  sky130_fd_sc_hd__nor2_1 g342132(.A (n_13449), .B (n_8874), .Y
-       (n_9004));
-  sky130_fd_sc_hd__nand2_1 g342133(.A (n_8940), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .Y (n_9003));
-  sky130_fd_sc_hd__nor2b_1 g342134(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .B_N (n_8941), .Y
-       (n_9002));
-  sky130_fd_sc_hd__nand2_1 g342135(.A (n_8941), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .Y (n_9000));
-  sky130_fd_sc_hd__nor2_1 g342136(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .B (n_8939), .Y
-       (n_8999));
-  sky130_fd_sc_hd__inv_2 g342139(.A (n_8985), .Y (n_8984));
-  sky130_fd_sc_hd__inv_1 g342140(.A (n_8983), .Y (n_13470));
-  sky130_fd_sc_hd__or3_1 g342142(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [1]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]), .C (u_soc_u_top_u_core_ctrl_busy), .X (n_8960));
-  sky130_fd_sc_hd__xor2_1 g342143(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .X (n_8959));
-  sky130_fd_sc_hd__xor2_1 g342144(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .X (n_8958));
-  sky130_fd_sc_hd__xnor2_1 g342145(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_8957));
-  sky130_fd_sc_hd__a21oi_1 g342146(.A1
-       (u_soc_u_top_u_core_priv_mode_id[0]), .A2
-       (u_soc_u_top_u_core_priv_mode_id[1]), .B1 (n_8879), .Y (n_8956));
-  sky130_fd_sc_hd__xor2_1 g342147(.A
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .B
-       (\u_soc_lsu_to_xbar[a_address] [31]), .X (n_8955));
-  sky130_fd_sc_hd__nand2_1 g342148(.A (n_13484), .B (n_8830), .Y
-       (n_8954));
-  sky130_fd_sc_hd__or4_1 g342149(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .C
-       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .D
-       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .X (n_8953));
-  sky130_fd_sc_hd__nor4_1 g342150(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .C
-       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .D
-       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .Y (n_8952));
-  sky130_fd_sc_hd__nor4_1 g342151(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .C
-       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .D
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .Y (n_8951));
-  sky130_fd_sc_hd__o21ai_1 g342152(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [1]), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .Y (n_8950));
-  sky130_fd_sc_hd__xor2_1 g342153(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .X (n_8949));
-  sky130_fd_sc_hd__xor2_1 g342154(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .X (n_8948));
-  sky130_fd_sc_hd__and3_1 g342155(.A (u_soc_u_uart_u_uart_core_tx_en),
-       .B (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), .C
-       (io_in[32]), .X (u_soc_u_uart_u_uart_core_n_186));
-  sky130_fd_sc_hd__o2bb2ai_1 g342156(.A1_N
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .A2_N
-       (\u_soc_lsu_to_xbar[a_address] [30]), .B1
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .B2
-       (\u_soc_lsu_to_xbar[a_address] [30]), .Y (n_8947));
-  sky130_fd_sc_hd__or3_1 g342157(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .C
-       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .X
-       (n_8946));
-  sky130_fd_sc_hd__xor2_1 g342158(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .X (n_8994));
-  sky130_fd_sc_hd__a22o_1 g342159(.A1 (\u_soc_xbar_to_lsu[d_valid] ),
-       .A2 (n_8822), .B1 (n_13465), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .X
-       (n_8993));
-  sky130_fd_sc_hd__mux2_2 g342160(.A0 (io_in[36]), .A1 (io_in[5]), .S
-       (io_in[35]), .X (rx));
-  sky130_fd_sc_hd__o21ai_1 g342161(.A1
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [0]), .A2 (n_8816), .B1 (n_13365), .Y (n_8992));
-  sky130_fd_sc_hd__nand4bb_1 g342162(.A_N
-       (u_soc_u_top_u_core_instr_rdata_id[26]), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[31]), .C
-       (u_soc_u_top_u_core_instr_rdata_id[29]), .D
-       (u_soc_u_top_u_core_instr_rdata_id[28]), .Y (n_8991));
-  sky130_fd_sc_hd__xor2_1 g342163(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .X (n_8989));
-  sky130_fd_sc_hd__nor2_1 g342164(.A (n_8879), .B (n_8827), .Y
-       (n_8988));
-  sky130_fd_sc_hd__xnor2_1 g342165(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .Y (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69));
-  sky130_fd_sc_hd__nor3_1 g342166(.A (io_in[25]), .B (io_in[26]), .C
-       (io_in[24]), .Y (n_8987));
-  sky130_fd_sc_hd__xnor2_1 g342167(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52));
-  sky130_fd_sc_hd__xnor2_1 g342168(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .Y (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69));
-  sky130_fd_sc_hd__or3b_1 g342169(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .B (n_8879), .C_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .X (n_8986));
-  sky130_fd_sc_hd__o2bb2ai_1 g342170(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108));
-  sky130_fd_sc_hd__xnor2_1 g342171(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68));
-  sky130_fd_sc_hd__nand3b_1 g342172(.A_N (io_in[25]), .B (n_8815), .C
-       (io_in[26]), .Y (n_8985));
-  sky130_fd_sc_hd__nor3b_1 g342173(.A
-       (u_soc_u_top_u_core_exc_pc_mux_id[1]), .B
-       (u_soc_u_top_u_core_pc_mux_id[0]), .C_N (n_8945), .Y (n_13489));
-  sky130_fd_sc_hd__nor3b_1 g342174(.A
-       (u_soc_u_top_u_core_pc_mux_id[2]), .B
-       (u_soc_u_top_u_core_pc_mux_id[1]), .C_N
-       (u_soc_u_top_u_core_pc_mux_id[0]), .Y (n_8983));
-  sky130_fd_sc_hd__nor2_1 g342175(.A (n_8874), .B (n_13327), .Y
-       (n_8982));
-  sky130_fd_sc_hd__nor2_1 g342176(.A (n_8876), .B (n_13327), .Y
-       (n_8981));
-  sky130_fd_sc_hd__nor2_1 g342177(.A (n_8876), .B (n_13329), .Y
-       (n_8980));
-  sky130_fd_sc_hd__nor2_1 g342178(.A (n_8876), .B (n_13449), .Y
-       (n_8979));
-  sky130_fd_sc_hd__nor2_1 g342179(.A (n_8876), .B (n_13328), .Y
-       (n_8978));
-  sky130_fd_sc_hd__nor2_1 g342180(.A (n_8853), .B (n_8847), .Y
-       (n_8977));
-  sky130_fd_sc_hd__nor2_1 g342181(.A (n_8853), .B (n_8838), .Y
-       (n_8976));
-  sky130_fd_sc_hd__nor2_1 g342182(.A (n_8853), .B (n_8924), .Y
-       (n_8975));
-  sky130_fd_sc_hd__nor2_1 g342183(.A (n_8853), .B (n_8914), .Y
-       (n_8974));
-  sky130_fd_sc_hd__and2_1 g342184(.A (n_8844), .B (n_8854), .X
-       (n_8973));
-  sky130_fd_sc_hd__and2_1 g342185(.A (n_8835), .B (n_8854), .X
-       (n_8972));
-  sky130_fd_sc_hd__nor2_2 g342186(.A (n_8855), .B (n_8914), .Y
-       (n_8971));
-  sky130_fd_sc_hd__and2_1 g342187(.A (n_8921), .B (n_8854), .X
-       (n_8970));
-  sky130_fd_sc_hd__and2_2 g342188(.A (n_8844), .B (n_8931), .X
-       (n_8968));
-  sky130_fd_sc_hd__and2_2 g342189(.A (n_8921), .B (n_8931), .X
-       (n_8967));
-  sky130_fd_sc_hd__and2_2 g342190(.A (n_8911), .B (n_8931), .X
-       (n_8966));
-  sky130_fd_sc_hd__and2_2 g342191(.A (n_8844), .B (n_8859), .X
-       (n_8965));
-  sky130_fd_sc_hd__and2_2 g342192(.A (n_8922), .B (n_8859), .X
-       (n_8964));
-  sky130_fd_sc_hd__and2_2 g342193(.A (n_8835), .B (n_8859), .X
-       (n_8963));
-  sky130_fd_sc_hd__and2_2 g342194(.A (n_8912), .B (n_8859), .X
-       (n_8962));
-  sky130_fd_sc_hd__and2_2 g342195(.A (n_8835), .B (n_8931), .X
-       (n_8961));
-  sky130_fd_sc_hd__inv_1 g342196(.A (n_8943), .Y (n_8944));
-  sky130_fd_sc_hd__inv_1 g342197(.A (n_8939), .Y (n_8940));
-  sky130_fd_sc_hd__clkinv_1 g342198(.A (n_15), .Y (n_13360));
-  sky130_fd_sc_hd__clkinv_1 g342199(.A (n_496), .Y (n_13361));
-  sky130_fd_sc_hd__clkinv_1 g342200(.A (n_8936), .Y (n_8935));
-  sky130_fd_sc_hd__inv_1 g342201(.A (n_8934), .Y (n_13364));
-  sky130_fd_sc_hd__inv_2 g342202(.A (n_8932), .Y (n_8931));
-  sky130_fd_sc_hd__inv_2 g342203(.A (n_831), .Y (n_13329));
-  sky130_fd_sc_hd__inv_2 g342209(.A (n_8924), .Y (n_8922));
-  sky130_fd_sc_hd__inv_2 g342211(.A (n_8921), .Y (n_8924));
-  sky130_fd_sc_hd__inv_2 g342219(.A (n_8914), .Y (n_8912));
-  sky130_fd_sc_hd__inv_2 g342220(.A (n_8911), .Y (n_8914));
-  sky130_fd_sc_hd__nor2b_1 g342222(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[9]), .Y (n_8910));
-  sky130_fd_sc_hd__nor2b_1 g342223(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[2]), .Y (n_8909));
-  sky130_fd_sc_hd__nor2b_1 g342224(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[25]), .Y (n_8908));
-  sky130_fd_sc_hd__nor2b_1 g342225(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[23]), .Y (n_8907));
-  sky130_fd_sc_hd__nor2b_1 g342226(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[0]), .Y (n_8906));
-  sky130_fd_sc_hd__nor2b_1 g342227(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[6]), .Y (n_8905));
-  sky130_fd_sc_hd__nor2b_1 g342228(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[8]), .Y (n_8904));
-  sky130_fd_sc_hd__nor2b_1 g342229(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[12]), .Y (n_8903));
-  sky130_fd_sc_hd__nor2b_1 g342230(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[16]), .Y (n_8902));
-  sky130_fd_sc_hd__nor2b_1 g342231(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[18]), .Y (n_8901));
-  sky130_fd_sc_hd__nor2b_1 g342232(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[20]), .Y (n_8900));
-  sky130_fd_sc_hd__nor2b_1 g342233(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[7]), .Y (n_8899));
-  sky130_fd_sc_hd__nor2b_1 g342234(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[24]), .Y (n_8898));
-  sky130_fd_sc_hd__nor2b_1 g342235(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[27]), .Y (n_8897));
-  sky130_fd_sc_hd__nor2b_1 g342236(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[29]), .Y (n_8896));
-  sky130_fd_sc_hd__nor2b_1 g342237(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[31]), .Y (n_8895));
-  sky130_fd_sc_hd__nor2b_1 g342238(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[4]), .Y (n_8894));
-  sky130_fd_sc_hd__nor2b_1 g342239(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[30]), .Y (n_8893));
-  sky130_fd_sc_hd__nor2b_1 g342240(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[28]), .Y (n_8892));
-  sky130_fd_sc_hd__nor2b_1 g342241(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[19]), .Y (n_8891));
-  sky130_fd_sc_hd__nor2b_1 g342242(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[22]), .Y (n_8890));
-  sky130_fd_sc_hd__nor2b_1 g342243(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[1]), .Y (n_8889));
-  sky130_fd_sc_hd__nor2b_1 g342244(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[3]), .Y (n_8888));
-  sky130_fd_sc_hd__nor2b_1 g342245(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[21]), .Y (n_8887));
-  sky130_fd_sc_hd__nor2b_1 g342246(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[5]), .Y (n_8886));
-  sky130_fd_sc_hd__nor2_1 g342247(.A (io_in[26]), .B (io_in[27]), .Y
-       (n_8885));
-  sky130_fd_sc_hd__nor2b_1 g342248(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [1]), .B_N (u_soc_u_top_u_core_instr_req_int), .Y (n_8884));
-  sky130_fd_sc_hd__nor2b_1 g342249(.A
-       (u_soc_u_top_u_core_pc_mux_id[2]), .B_N
-       (u_soc_u_top_u_core_pc_mux_id[1]), .Y (n_8945));
-  sky130_fd_sc_hd__nand2_1 g342250(.A (n_8808), .B
-       (u_soc_iccm_ctrl_we), .Y (n_8943));
-  sky130_fd_sc_hd__nand2_1 g342251(.A (n_8815), .B (io_in[25]), .Y
-       (n_8942));
-  sky130_fd_sc_hd__nor2_1 g342252(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .Y (n_8941));
-  sky130_fd_sc_hd__nand2_1 g342253(.A (u_soc_iccm_adapter_rvalid), .B
-       (n_641), .Y (n_13458));
-  sky130_fd_sc_hd__nand2b_1 g342254(.A_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .Y (n_8939));
-  sky130_fd_sc_hd__or2_2 g342255(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_15943), .X
-       (n_13484));
-  sky130_fd_sc_hd__nand2b_1 g342256(.A_N (n_13885), .B
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .Y (n_13877));
-  sky130_fd_sc_hd__nor2b_1 g342257(.A (u_soc_u_iccm_bank_sel[1]), .B_N
-       (u_soc_u_iccm_bank_sel[0]), .Y (n_15));
-  sky130_fd_sc_hd__nor2_1 g342258(.A (u_soc_u_iccm_bank_sel[1]), .B
-       (u_soc_u_iccm_bank_sel[0]), .Y (n_496));
-  sky130_fd_sc_hd__nand2b_1 g342259(.A_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Y (n_8936));
-  sky130_fd_sc_hd__nor2_1 g342260(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .B (u_soc_u_top_u_core_pc_set), .Y (n_8934));
-  sky130_fd_sc_hd__nand2b_1 g342261(.A_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .Y (n_8933));
-  sky130_fd_sc_hd__nand2b_1 g342262(.A_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .Y (n_8932));
-  sky130_fd_sc_hd__nor2_4 g342263(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .Y (n_831));
-  sky130_fd_sc_hd__nor2_8 g342264(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .Y (n_8921));
-  sky130_fd_sc_hd__and2_4 g342265(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .X (n_8911));
-  sky130_fd_sc_hd__inv_2 g342266(.A (n_8880), .Y (n_13475));
-  sky130_fd_sc_hd__inv_1 g342267(.A (n_8878), .Y (n_13461));
-  sky130_fd_sc_hd__clkinv_1 g342268(.A (n_14), .Y (n_13359));
-  sky130_fd_sc_hd__inv_2 g342271(.A (n_13363), .Y (n_8868));
-  sky130_fd_sc_hd__inv_2 g342272(.A (n_8864), .Y (n_8863));
-  sky130_fd_sc_hd__inv_1 g342273(.A (n_8862), .Y (n_8861));
-  sky130_fd_sc_hd__inv_2 g342274(.A (n_8860), .Y (n_8859));
-  sky130_fd_sc_hd__inv_2 g342275(.A (n_690), .Y (n_13328));
-  sky130_fd_sc_hd__inv_2 g342276(.A (n_8857), .Y (n_13327));
-  sky130_fd_sc_hd__inv_2 g342277(.A (n_8856), .Y (n_13449));
-  sky130_fd_sc_hd__inv_2 g342292(.A (n_8838), .Y (n_8836));
-  sky130_fd_sc_hd__inv_2 g342294(.A (n_8835), .Y (n_8838));
-  sky130_fd_sc_hd__nor2b_1 g342295(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[11]), .Y (n_8834));
-  sky130_fd_sc_hd__nor2b_1 g342296(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[26]), .Y (n_8833));
-  sky130_fd_sc_hd__nor2_1 g342298(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_8832));
-  sky130_fd_sc_hd__nor2b_1 g342299(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[10]), .Y (n_8831));
-  sky130_fd_sc_hd__nand2_1 g342300(.A (n_15943), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_8830));
-  sky130_fd_sc_hd__nor2b_1 g342301(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[17]), .Y (n_8829));
-  sky130_fd_sc_hd__and2_1 g342302(.A
-       (u_soc_u_top_u_core_core_clock_gate_i_en_latch), .B (wb_clk_i),
-       .X (u_soc_u_top_u_core_clk));
-  sky130_fd_sc_hd__nor2b_1 g342303(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[13]), .Y (n_8828));
-  sky130_fd_sc_hd__nand2_1 g342304(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .Y (n_8827));
-  sky130_fd_sc_hd__nor2b_1 g342305(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[15]), .Y (n_8826));
-  sky130_fd_sc_hd__nor2b_1 g342306(.A (u_soc_prog_rst_ni), .B_N
-       (u_soc_iccm_ctrl_data[14]), .Y (n_8825));
-  sky130_fd_sc_hd__nand2_1 g342307(.A (n_633), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_13476));
-  sky130_fd_sc_hd__nor2b_1 g342308(.A (n_13366), .B_N (n_13879), .Y
-       (n_8883));
-  sky130_fd_sc_hd__or2_2 g342309(.A (u_soc_u_top_u_core_pc_mux_id[1]),
-       .B (u_soc_u_top_u_core_pc_mux_id[0]), .X (n_13472));
-  sky130_fd_sc_hd__nor2_1 g342310(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
-       (n_13464), .Y (n_13383));
-  sky130_fd_sc_hd__nor2_1 g342311(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B (n_15943), .Y
-       (n_8882));
-  sky130_fd_sc_hd__or2_1 g342312(.A
-       (u_soc_u_top_u_core_id_stage_i_mult_en_dec), .B
-       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .X (n_13381));
-  sky130_fd_sc_hd__nor2_1 g342313(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_8881));
-  sky130_fd_sc_hd__nor2_1 g342314(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .Y (n_8880));
-  sky130_fd_sc_hd__nand2_1 g342315(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .B
-       (u_soc_u_top_u_core_csr_access), .Y (n_8879));
-  sky130_fd_sc_hd__nor2_1 g342316(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
-       (n_8878));
-  sky130_fd_sc_hd__nor2_1 g342317(.A (u_soc_u_top_u_core_lsu_type[0]),
-       .B (u_soc_u_top_u_core_lsu_type[1]), .Y (n_8877));
-  sky130_fd_sc_hd__nand2b_1 g342318(.A_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .Y (n_8876));
-  sky130_fd_sc_hd__nor2b_1 g342319(.A (u_soc_u_top_u_core_lsu_type[1]),
-       .B_N (u_soc_u_top_u_core_lsu_type[0]), .Y (n_8875));
-  sky130_fd_sc_hd__nand2_1 g342320(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .Y (n_8874));
-  sky130_fd_sc_hd__nor2b_1 g342321(.A (u_soc_u_iccm_bank_sel[0]), .B_N
-       (u_soc_u_iccm_bank_sel[1]), .Y (n_14));
-  sky130_fd_sc_hd__nand2_1 g342322(.A (u_soc_u_iccm_bank_sel[0]), .B
-       (u_soc_u_iccm_bank_sel[1]), .Y (n_13358));
-  sky130_fd_sc_hd__nand2b_1 g342323(.A_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .Y (n_8871));
-  sky130_fd_sc_hd__nand2_1 g342324(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Y (n_13346));
-  sky130_fd_sc_hd__nor2_1 g342325(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .Y (n_8870));
-  sky130_fd_sc_hd__nand2_1 g342326(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_8819), .Y (n_13363));
-  sky130_fd_sc_hd__nor2b_1 g342327(.A (n_16012), .B_N
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_8867));
-  sky130_fd_sc_hd__or2_1 g342328(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .X (n_8866));
-  sky130_fd_sc_hd__and2_0 g342329(.A (n_8810), .B (n_16012), .X
-       (n_8865));
-  sky130_fd_sc_hd__nand2_1 g342330(.A
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B (n_16012), .Y
-       (n_8864));
-  sky130_fd_sc_hd__nor2_2 g342331(.A (n_16012), .B
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_8862));
-  sky130_fd_sc_hd__nand2_1 g342332(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .Y (n_8860));
-  sky130_fd_sc_hd__and2b_4 g342333(.A_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .X (n_690));
-  sky130_fd_sc_hd__and2b_1 g342334(.A_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .X (n_8857));
-  sky130_fd_sc_hd__and2_1 g342335(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .X (n_8856));
-  sky130_fd_sc_hd__or2_2 g342336(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .X (n_8855));
-  sky130_fd_sc_hd__or2b_2 g342337(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .B_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .X (n_8853));
-  sky130_fd_sc_hd__and2b_4 g342338(.A_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .X (n_8844));
-  sky130_fd_sc_hd__and2b_4 g342339(.A_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .X (n_8835));
-  sky130_fd_sc_hd__inv_1 g342341(.A (io_in[30]), .Y (n_8823));
-  sky130_fd_sc_hd__inv_1 g342346(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [3]), .Y (n_8818));
-  sky130_fd_sc_hd__inv_1 g342347(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]), .Y (n_8817));
-  sky130_fd_sc_hd__inv_2 g342349(.A (io_in[24]), .Y (n_8815));
-  sky130_fd_sc_hd__inv_1 g342350(.A (u_soc_u_top_u_core_lsu_type[1]),
-       .Y (n_8814));
-  sky130_fd_sc_hd__clkinv_1 g342352(.A (u_soc_u_top_data_we), .Y
-       (n_640));
-  sky130_fd_sc_hd__inv_1 g342354(.A
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_8810));
-  sky130_fd_sc_hd__inv_2 g342355(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .Y (n_633));
-  sky130_fd_sc_hd__inv_2 g342356(.A (wb_rst_i), .Y (n_470));
-  sky130_fd_sc_hd__inv_2 g342357(.A (u_soc_prog_rst_ni), .Y (n_8808));
-  sky130_fd_sc_hd__a221o_1 g276906(.A1 (n_13555), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .B1 (n_8804), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[7]), .C1 (n_8805), .X
-       (n_13546));
-  sky130_fd_sc_hd__inv_2 g276907(.A (n_8807), .Y (n_13535));
-  sky130_fd_sc_hd__a222oi_1 g276908(.A1
-       (u_soc_u_top_u_core_instr_rdata_id[8]), .A2 (n_8804), .B1
-       (n_8802), .B2 (u_soc_u_top_u_core_instr_rdata_id[21]), .C1
-       (n_13556), .C2 (u_soc_u_top_u_core_instr_is_compressed_id), .Y
-       (n_8807));
-  sky130_fd_sc_hd__o21ai_1 g276909(.A1
-       (u_soc_u_top_u_core_instr_is_compressed_id), .A2 (n_8803), .B1
-       (n_8806), .Y (n_13536));
-  sky130_fd_sc_hd__a22o_1 g276910(.A1 (n_8804), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[11]), .B1 (n_8802), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[24]), .X (n_13539));
-  sky130_fd_sc_hd__a22o_1 g276911(.A1 (n_8804), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[10]), .B1 (n_8802), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[23]), .X (n_13538));
-  sky130_fd_sc_hd__a22oi_1 g276912(.A1 (n_8804), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[9]), .B1 (n_8802), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[22]), .Y (n_8806));
-  sky130_fd_sc_hd__nor2b_1 g276913(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[30]), .Y (n_13545));
-  sky130_fd_sc_hd__nor2b_1 g276914(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[29]), .Y (n_13544));
-  sky130_fd_sc_hd__nor2b_1 g276915(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[28]), .Y (n_13543));
-  sky130_fd_sc_hd__nor2b_1 g276916(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[26]), .Y (n_13541));
-  sky130_fd_sc_hd__nor2b_1 g276917(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[27]), .Y (n_13542));
-  sky130_fd_sc_hd__nor2_1 g276918(.A
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .B (n_13353),
-       .Y (n_8805));
-  sky130_fd_sc_hd__nor2b_1 g276919(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[25]), .Y (n_13540));
-  sky130_fd_sc_hd__inv_2 g276920(.A (n_8803), .Y (n_13556));
-  sky130_fd_sc_hd__a22o_1 g276922(.A1 (n_13426), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[9]), .X (n_13783));
-  sky130_fd_sc_hd__a22o_1 g276923(.A1 (n_13425), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[8]), .X (n_13782));
-  sky130_fd_sc_hd__a22o_1 g276924(.A1 (n_13427), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[10]), .X (n_13784));
-  sky130_fd_sc_hd__and2_1 g276925(.A (n_8802), .B
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .X (n_13555));
-  sky130_fd_sc_hd__or3b_1 g276926(.A
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .B
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .C_N
-       (u_soc_u_top_u_core_instr_rdata_id[31]), .X (n_13353));
-  sky130_fd_sc_hd__nor3b_1 g276927(.A
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .B
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .C_N
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .Y (n_8804));
-  sky130_fd_sc_hd__a21oi_1 g276928(.A1
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .A2
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .Y (n_8803));
-  sky130_fd_sc_hd__a22o_1 g276929(.A1 (n_13420), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[3]), .X (n_13777));
-  sky130_fd_sc_hd__a22o_1 g276930(.A1 (n_13423), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[6]), .X (n_13780));
-  sky130_fd_sc_hd__a22o_1 g276931(.A1 (n_13422), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[5]), .X (n_13779));
-  sky130_fd_sc_hd__a22o_1 g276932(.A1 (n_13421), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[4]), .X (n_13778));
-  sky130_fd_sc_hd__a22o_1 g276933(.A1 (n_13424), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[7]), .X (n_13781));
-  sky130_fd_sc_hd__a22o_1 g276934(.A1 (n_13419), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_pc_id[2]), .B2
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13776));
-  sky130_fd_sc_hd__a22o_1 g276935(.A1 (n_13418), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_pc_id[1]), .B2
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13775));
-  sky130_fd_sc_hd__a22o_1 g276936(.A1 (n_13428), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[11]), .X (n_13785));
-  sky130_fd_sc_hd__nor2_1 g276937(.A
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .B
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .Y (n_8802));
-  sky130_fd_sc_hd__a22o_1 g342358(.A1 (n_13438), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[21]), .X (n_13795));
-  sky130_fd_sc_hd__nand2_1 g342359(.A (n_8797), .B (n_13353), .Y
-       (n_13553));
-  sky130_fd_sc_hd__nand2_1 g342360(.A (n_8799), .B (n_13353), .Y
-       (n_13550));
-  sky130_fd_sc_hd__nand2_1 g342361(.A (n_8794), .B (n_13353), .Y
-       (n_13549));
-  sky130_fd_sc_hd__nand2_1 g342362(.A (n_8793), .B (n_13353), .Y
-       (n_13552));
-  sky130_fd_sc_hd__nand2_1 g342363(.A (n_8796), .B (n_13353), .Y
-       (n_13548));
-  sky130_fd_sc_hd__nand2_1 g342364(.A (n_8792), .B (n_13353), .Y
-       (n_13547));
-  sky130_fd_sc_hd__nand2_1 g342365(.A (n_8798), .B (n_13353), .Y
-       (n_13551));
-  sky130_fd_sc_hd__a22o_1 g342366(.A1 (n_13441), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[24]), .X (n_13798));
-  sky130_fd_sc_hd__a22o_1 g342367(.A1 (n_13440), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[23]), .X (n_13797));
-  sky130_fd_sc_hd__a22o_1 g342368(.A1 (n_13447), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[30]), .X (n_13804));
-  sky130_fd_sc_hd__a22o_1 g342369(.A1 (n_13439), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[22]), .X (n_13796));
-  sky130_fd_sc_hd__a22o_1 g342370(.A1 (n_13446), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[29]), .X (n_13803));
-  sky130_fd_sc_hd__nand2_1 g342371(.A (n_8795), .B (n_13353), .Y
-       (n_13554));
-  sky130_fd_sc_hd__a22o_1 g342372(.A1 (n_13429), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_pc_id[12]), .B2
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13786));
-  sky130_fd_sc_hd__a22o_1 g342373(.A1 (n_13445), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[28]), .X (n_13802));
-  sky130_fd_sc_hd__a22o_1 g342374(.A1 (n_13436), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[19]), .X (n_13793));
-  sky130_fd_sc_hd__a22o_1 g342375(.A1 (n_13444), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[27]), .X (n_13801));
-  sky130_fd_sc_hd__a22o_1 g342376(.A1 (n_13435), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[18]), .X (n_13792));
-  sky130_fd_sc_hd__a22o_1 g342377(.A1 (n_13434), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[17]), .X (n_13791));
-  sky130_fd_sc_hd__a22o_1 g342378(.A1 (n_13433), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[16]), .X (n_13790));
-  sky130_fd_sc_hd__a22o_1 g276941(.A1 (n_13432), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[15]), .X (n_13789));
-  sky130_fd_sc_hd__a22o_1 g276942(.A1 (n_13443), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[26]), .X (n_13800));
-  sky130_fd_sc_hd__a22o_1 g276943(.A1 (n_13431), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_pc_id[14]), .B2
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13788));
-  sky130_fd_sc_hd__a22o_1 g276944(.A1 (n_13448), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[31]), .X (n_13805));
-  sky130_fd_sc_hd__a22o_1 g276945(.A1 (n_13442), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[25]), .X (n_13799));
-  sky130_fd_sc_hd__a22o_1 g276946(.A1 (n_13430), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_pc_id[13]), .B2
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13787));
-  sky130_fd_sc_hd__a22o_1 g276947(.A1 (n_13437), .A2 (n_8791), .B1
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
-       (u_soc_u_top_u_core_pc_id[20]), .X (n_13794));
-  sky130_fd_sc_hd__nand2_1 g276948(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .Y (n_8799));
-  sky130_fd_sc_hd__nor2b_1 g276949(.A (n_13556), .B_N
-       (u_soc_u_top_u_core_instr_rdata_id[31]), .Y (n_13557));
-  sky130_fd_sc_hd__nand2_1 g276950(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_8798));
-  sky130_fd_sc_hd__nand2_1 g276951(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[18]), .Y (n_8797));
-  sky130_fd_sc_hd__nand2_1 g276952(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[13]), .Y (n_8796));
-  sky130_fd_sc_hd__nand2_1 g276953(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .Y (n_8795));
-  sky130_fd_sc_hd__nand2_1 g276954(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[14]), .Y (n_8794));
-  sky130_fd_sc_hd__nand2_1 g276955(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .Y (n_8793));
-  sky130_fd_sc_hd__nand2_1 g276956(.A (n_13555), .B
-       (u_soc_u_top_u_core_instr_rdata_id[12]), .Y (n_8792));
-  sky130_fd_sc_hd__inv_2 g276957(.A
-       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .Y (n_8791));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5584), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .SCD (n_19), .SCE (n_2291), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5168), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]
-       (.CLK (wb_clk_i), .D (n_5892), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]
-       (.CLK (wb_clk_i), .D (n_5880), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]
-       (.CLK (wb_clk_i), .D (n_5137), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]
-       (.CLK (wb_clk_i), .D (n_5082), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_511), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .SCE (n_1246), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1991), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5822), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]
-       (.CLK (wb_clk_i), .D (n_7057), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]
-       (.CLK (wb_clk_i), .D (n_7054), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]
-       (.CLK (wb_clk_i), .D (n_7058), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]
-       (.CLK (wb_clk_i), .D (n_7060), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]
-       (.CLK (wb_clk_i), .D (n_7059), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]
-       (.CLK (wb_clk_i), .D (n_7056), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]
-       (.CLK (wb_clk_i), .D (n_7055), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]
-       (.CLK (wb_clk_i), .D (n_7053), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]
-       (.CLK (wb_clk_i), .D (n_7052), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]
-       (.CLK (wb_clk_i), .D (n_5984), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [10]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]
-       (.CLK (wb_clk_i), .D (n_7050), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]
-       (.CLK (wb_clk_i), .D (n_7049), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]
-       (.CLK (wb_clk_i), .D (n_5985), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [13]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]
-       (.CLK (wb_clk_i), .D (n_7048), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]
-       (.CLK (wb_clk_i), .D (n_5986), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [15]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]
-       (.CLK (wb_clk_i), .D (n_7047), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]
-       (.CLK (wb_clk_i), .D (n_5987), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [17]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]
-       (.CLK (wb_clk_i), .D (n_6756), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]
-       (.CLK (wb_clk_i), .D (n_6755), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]
-       (.CLK (wb_clk_i), .D (n_5981), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [20]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]
-       (.CLK (wb_clk_i), .D (n_5978), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [21]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]
-       (.CLK (wb_clk_i), .D (n_5977), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [22]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]
-       (.CLK (wb_clk_i), .D (n_5976), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [23]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]
-       (.CLK (wb_clk_i), .D (n_5979), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [24]), .SCE (n_16007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [25]), .SCD (n_6880), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [26]), .SCD (n_6879), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [27]), .SCD (n_6878), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]
-       (.CLK (wb_clk_i), .D (n_6754), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [29]), .SCD (n_6877), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [30]), .SCD (n_6876), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [31]), .SCD (n_6875), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [32]), .SCD (n_6874), .SCE (n_5935), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [32]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]
-       (.CLK (wb_clk_i), .D (n_7046), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]
-       (.CLK (wb_clk_i), .D (n_7045), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]
-       (.CLK (wb_clk_i), .D (n_7044), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]
-       (.CLK (wb_clk_i), .D (n_7043), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]
-       (.CLK (wb_clk_i), .D (n_7042), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]
-       (.CLK (wb_clk_i), .D (n_7041), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]
-       (.CLK (wb_clk_i), .D (n_7040), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]
-       (.CLK (wb_clk_i), .D (n_7039), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]
-       (.CLK (wb_clk_i), .D (n_7138), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]
-       (.CLK (wb_clk_i), .D (n_5984), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [10]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]
-       (.CLK (wb_clk_i), .D (n_7036), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]
-       (.CLK (wb_clk_i), .D (n_7037), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]
-       (.CLK (wb_clk_i), .D (n_5985), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [13]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]
-       (.CLK (wb_clk_i), .D (n_7035), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]
-       (.CLK (wb_clk_i), .D (n_5986), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [15]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]
-       (.CLK (wb_clk_i), .D (n_7034), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]
-       (.CLK (wb_clk_i), .D (n_5987), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [17]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]
-       (.CLK (wb_clk_i), .D (n_6675), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]
-       (.CLK (wb_clk_i), .D (n_6676), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]
-       (.CLK (wb_clk_i), .D (n_5981), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [20]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]
-       (.CLK (wb_clk_i), .D (n_5978), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [21]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]
-       (.CLK (wb_clk_i), .D (n_5977), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [22]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]
-       (.CLK (wb_clk_i), .D (n_5976), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [23]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]
-       (.CLK (wb_clk_i), .D (n_5979), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [24]), .SCE (n_5642), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [25]), .SCD (n_6880), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [26]), .SCD (n_6879), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [27]), .SCD (n_6878), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]
-       (.CLK (wb_clk_i), .D (n_6677), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [29]), .SCD (n_6877), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [30]), .SCD (n_6876), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [31]), .SCD (n_6875), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [32]), .SCD (n_6874), .SCE (n_5641), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [32]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]
-       (.CLK (wb_clk_i), .D (n_7033), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]
-       (.CLK (wb_clk_i), .D (n_7032), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]
-       (.CLK (wb_clk_i), .D (n_7031), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]
-       (.CLK (wb_clk_i), .D (n_7030), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]
-       (.CLK (wb_clk_i), .D (n_7029), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]
-       (.CLK (wb_clk_i), .D (n_7028), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]
-       (.CLK (wb_clk_i), .D (n_7027), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]
-       (.CLK (wb_clk_i), .D (n_7026), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]
-       (.CLK (wb_clk_i), .D (n_7025), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]
-       (.CLK (wb_clk_i), .D (n_5984), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [10]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]
-       (.CLK (wb_clk_i), .D (n_7024), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]
-       (.CLK (wb_clk_i), .D (n_7023), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]
-       (.CLK (wb_clk_i), .D (n_5985), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [13]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]
-       (.CLK (wb_clk_i), .D (n_7022), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]
-       (.CLK (wb_clk_i), .D (n_5986), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [15]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]
-       (.CLK (wb_clk_i), .D (n_7021), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]
-       (.CLK (wb_clk_i), .D (n_5987), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [17]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]
-       (.CLK (wb_clk_i), .D (n_6683), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]
-       (.CLK (wb_clk_i), .D (n_6684), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]
-       (.CLK (wb_clk_i), .D (n_5981), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [20]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]
-       (.CLK (wb_clk_i), .D (n_5978), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [21]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]
-       (.CLK (wb_clk_i), .D (n_5977), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [22]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]
-       (.CLK (wb_clk_i), .D (n_5976), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [23]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]
-       (.CLK (wb_clk_i), .D (n_5979), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [24]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]
-       (.CLK (wb_clk_i), .D (n_6880), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [25]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]
-       (.CLK (wb_clk_i), .D (n_6879), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [26]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]
-       (.CLK (wb_clk_i), .D (n_6878), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [27]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]
-       (.CLK (wb_clk_i), .D (n_6685), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]
-       (.CLK (wb_clk_i), .D (n_6877), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [29]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]
-       (.CLK (wb_clk_i), .D (n_6876), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [30]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]
-       (.CLK (wb_clk_i), .D (n_6875), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [31]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]
-       (.CLK (wb_clk_i), .D (n_6874), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [32]), .SCE (n_5643), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [32]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]
-       (.CLK (wb_clk_i), .D (n_7020), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]
-       (.CLK (wb_clk_i), .D (n_7019), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]
-       (.CLK (wb_clk_i), .D (n_7018), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]
-       (.CLK (wb_clk_i), .D (n_7017), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]
-       (.CLK (wb_clk_i), .D (n_7016), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]
-       (.CLK (wb_clk_i), .D (n_7015), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]
-       (.CLK (wb_clk_i), .D (n_7012), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]
-       (.CLK (wb_clk_i), .D (n_7013), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]
-       (.CLK (wb_clk_i), .D (n_7011), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]
-       (.CLK (wb_clk_i), .D (n_5984), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [10]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]
-       (.CLK (wb_clk_i), .D (n_7010), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]
-       (.CLK (wb_clk_i), .D (n_7009), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]
-       (.CLK (wb_clk_i), .D (n_5985), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [13]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]
-       (.CLK (wb_clk_i), .D (n_7008), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]
-       (.CLK (wb_clk_i), .D (n_5986), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [15]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]
-       (.CLK (wb_clk_i), .D (n_7007), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]
-       (.CLK (wb_clk_i), .D (n_5987), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [17]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]
-       (.CLK (wb_clk_i), .D (n_6690), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]
-       (.CLK (wb_clk_i), .D (n_6692), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]
-       (.CLK (wb_clk_i), .D (n_5981), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [20]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]
-       (.CLK (wb_clk_i), .D (n_5978), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [21]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]
-       (.CLK (wb_clk_i), .D (n_5977), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [22]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]
-       (.CLK (wb_clk_i), .D (n_5976), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [23]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]
-       (.CLK (wb_clk_i), .D (n_5979), .SCD
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [24]), .SCE (n_5644), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [25]), .SCD (n_6880), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [26]), .SCD (n_6879), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [27]), .SCD (n_6878), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]
-       (.CLK (wb_clk_i), .D (n_6700), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [29]), .SCD (n_6877), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [30]), .SCD (n_6876), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [31]), .SCD (n_6875), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]
-       (.CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [32]), .SCD (n_6874), .SCE (n_5645), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [32]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .SCD (n_62), .SCE (n_3110), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5618), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .SCD (n_487), .SCE (n_1900), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6381), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]
-       (.CLK (wb_clk_i), .D (n_5557), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]
-       (.CLK (wb_clk_i), .D (n_5640), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]
-       (.CLK (wb_clk_i), .D (n_5556), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]
-       (.CLK (wb_clk_i), .D (n_5555), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]
-       (.CLK (wb_clk_i), .D (n_5162), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]
-       (.CLK (wb_clk_i), .D (n_5161), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]
-       (.CLK (wb_clk_i), .D (n_5160), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]
-       (.CLK (wb_clk_i), .D (n_5159), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]
-       (.CLK (wb_clk_i), .D (n_5158), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]
-       (.CLK (wb_clk_i), .D (n_5157), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]
-       (.CLK (wb_clk_i), .D (n_5156), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]
-       (.CLK (wb_clk_i), .D (n_5155), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]
-       (.CLK (wb_clk_i), .D (n_5154), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]
-       (.CLK (wb_clk_i), .D (n_5153), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]
-       (.CLK (wb_clk_i), .D (n_5152), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]
-       (.CLK (wb_clk_i), .D (n_5151), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [4]));
-  sky130_fd_sc_hd__dfrtp_1 u_soc_dccm_adapter_rvalid_o_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1174), .Q
-       (u_soc_dccm_adapter_rvalid_o));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6183), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5723), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]
-       (.CLK (wb_clk_i), .D (n_6380), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]
-       (.CLK (wb_clk_i), .D (n_6363), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6705), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .SCD (n_1486), .SCE (n_1886), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_4184), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]
-       (.CLK (wb_clk_i), .D (n_5722), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]
-       (.CLK (wb_clk_i), .D (n_5721), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]
-       (.CLK (wb_clk_i), .D (n_6182), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]
-       (.CLK (wb_clk_i), .D (n_6181), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]
-       (.CLK (wb_clk_i), .D (n_6180), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]
-       (.CLK (wb_clk_i), .D (n_6179), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]
-       (.CLK (wb_clk_i), .D (n_6178), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]
-       (.CLK (wb_clk_i), .D (n_6177), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]
-       (.CLK (wb_clk_i), .D (n_5720), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]
-       (.CLK (wb_clk_i), .D (n_5719), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]
-       (.CLK (wb_clk_i), .D (n_5718), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]
-       (.CLK (wb_clk_i), .D (n_5714), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]
-       (.CLK (wb_clk_i), .D (n_5717), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]
-       (.CLK (wb_clk_i), .D (n_5716), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]
-       (.CLK (wb_clk_i), .D (n_5715), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]
-       (.CLK (wb_clk_i), .D (n_5752), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [16]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]
-       (.CLK (wb_clk_i), .D (n_5760), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]
-       (.CLK (wb_clk_i), .D (n_5759), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]
-       (.CLK (wb_clk_i), .D (n_6173), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [19]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]
-       (.CLK (wb_clk_i), .D (n_6172), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [20]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]
-       (.CLK (wb_clk_i), .D (n_6169), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [21]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]
-       (.CLK (wb_clk_i), .D (n_6168), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [22]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]
-       (.CLK (wb_clk_i), .D (n_6167), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [23]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]
-       (.CLK (wb_clk_i), .D (n_6166), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [24]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]
-       (.CLK (wb_clk_i), .D (n_5762), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]
-       (.CLK (wb_clk_i), .D (n_5795), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]
-       (.CLK (wb_clk_i), .D (n_5796), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]
-       (.CLK (wb_clk_i), .D (n_5797), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]
-       (.CLK (wb_clk_i), .D (n_5798), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]
-       (.CLK (wb_clk_i), .D (n_5799), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]
-       (.CLK (wb_clk_i), .D (n_5800), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [31]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]
-       (.CLK (wb_clk_i), .D (n_5801), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [32]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]
-       (.CLK (wb_clk_i), .D (n_5802), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]
-       (.CLK (wb_clk_i), .D (n_5803), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]
-       (.CLK (wb_clk_i), .D (n_6155), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]
-       (.CLK (wb_clk_i), .D (n_6156), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]
-       (.CLK (wb_clk_i), .D (n_6154), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]
-       (.CLK (wb_clk_i), .D (n_6152), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]
-       (.CLK (wb_clk_i), .D (n_6153), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]
-       (.CLK (wb_clk_i), .D (n_6151), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]
-       (.CLK (wb_clk_i), .D (n_5804), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]
-       (.CLK (wb_clk_i), .D (n_5805), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]
-       (.CLK (wb_clk_i), .D (n_5806), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]
-       (.CLK (wb_clk_i), .D (n_5807), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]
-       (.CLK (wb_clk_i), .D (n_5808), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]
-       (.CLK (wb_clk_i), .D (n_5810), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]
-       (.CLK (wb_clk_i), .D (n_5811), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]
-       (.CLK (wb_clk_i), .D (n_5809), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [16]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]
-       (.CLK (wb_clk_i), .D (n_5812), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]
-       (.CLK (wb_clk_i), .D (n_5813), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]
-       (.CLK (wb_clk_i), .D (n_6144), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [19]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]
-       (.CLK (wb_clk_i), .D (n_6143), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [20]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]
-       (.CLK (wb_clk_i), .D (n_6142), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [21]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]
-       (.CLK (wb_clk_i), .D (n_6141), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [22]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]
-       (.CLK (wb_clk_i), .D (n_6139), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [23]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]
-       (.CLK (wb_clk_i), .D (n_6136), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [24]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]
-       (.CLK (wb_clk_i), .D (n_5814), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]
-       (.CLK (wb_clk_i), .D (n_5815), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]
-       (.CLK (wb_clk_i), .D (n_5816), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]
-       (.CLK (wb_clk_i), .D (n_5817), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]
-       (.CLK (wb_clk_i), .D (n_5818), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]
-       (.CLK (wb_clk_i), .D (n_5819), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]
-       (.CLK (wb_clk_i), .D (n_5820), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [31]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]
-       (.CLK (wb_clk_i), .D (n_5821), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [32]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3750), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5890), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]
-       (.CLK (wb_clk_i), .D (n_3047), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]
-       (.CLK (wb_clk_i), .D (n_3046), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]
-       (.CLK (wb_clk_i), .D (n_3052), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]
-       (.CLK (wb_clk_i), .D (n_3057), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]
-       (.CLK (wb_clk_i), .D (n_3063), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]
-       (.CLK (wb_clk_i), .D (n_2976), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]
-       (.CLK (wb_clk_i), .D (n_2977), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]
-       (.CLK (wb_clk_i), .D (n_2978), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_597), .SCD
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .SCE
-       (n_13377), .Q
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_lsu_to_xbar[a_address] [30]), .SCD
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .SCE
-       (n_13377), .Q
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1934), .Q
-       (u_soc_main_swith_host_lsu_err_resp_err_opcode[0]));
-  sky130_fd_sc_hd__sdfstp_1
-       \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[2] (.SET_B
-       (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_main_swith_host_lsu_err_resp_err_opcode[2]), .SCD
-       (n_640), .SCE (n_1049), .Q
-       (u_soc_main_swith_host_lsu_err_resp_err_opcode[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       u_soc_main_swith_host_lsu_err_resp_err_req_pending_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1049), .Q
-       (\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3084), .SCD (n_3085), .SCE
-       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5784), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5783), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6790), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7550), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8001), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8454), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8526), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8468), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[9] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8665), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[10] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8666), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[11] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8668), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[12] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8667), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[13] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8626), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[14] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8684), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[15] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8725), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[16] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8728), .Q
-       (u_soc_main_swith_host_lsu_num_req_outstanding[16]));
-  sky130_fd_sc_hd__dfrtp_1 u_soc_reset_manager_rst_q_reg(.RESET_B
-       (n_470), .CLK (wb_clk_i), .D (u_soc_prog_rst_ni), .Q
-       (u_soc_reset_manager_rst_q));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[0] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_data_addr[8]), .Q
-       (u_soc_u_dccm_bank_sel[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[1] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_data_addr[9]), .Q
-       (u_soc_u_dccm_bank_sel[1]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[0] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_instr_addr[8]), .Q
-       (u_soc_u_iccm_bank_sel[0]));
-  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[1] (.RESET_B
-       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_instr_addr[9]), .Q
-       (u_soc_u_iccm_bank_sel[1]));
-  sky130_fd_sc_hd__dfrtp_1 u_soc_u_tcam_rvalid_o_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1204), .Q
-       (u_soc_u_tcam_rvalid_o));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5637), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5150), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[0][11]
-       (.CLK (wb_clk_i), .D (n_5893), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[1][11]
-       (.CLK (wb_clk_i), .D (n_5138), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[2][11]
-       (.CLK (wb_clk_i), .D (n_16006), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[3][11]
-       (.CLK (wb_clk_i), .D (n_5081), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_579), .SCD
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .SCE (n_1256), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1989), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5823), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][1]
-       (.CLK (wb_clk_i), .D (n_6753), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][2]
-       (.CLK (wb_clk_i), .D (n_6752), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][3]
-       (.CLK (wb_clk_i), .D (n_6751), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][4]
-       (.CLK (wb_clk_i), .D (n_6749), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][5]
-       (.CLK (wb_clk_i), .D (n_6748), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][6]
-       (.CLK (wb_clk_i), .D (n_6750), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][1]
-       (.CLK (wb_clk_i), .D (n_6747), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][2]
-       (.CLK (wb_clk_i), .D (n_6745), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][3]
-       (.CLK (wb_clk_i), .D (n_6746), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][4]
-       (.CLK (wb_clk_i), .D (n_6744), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][5]
-       (.CLK (wb_clk_i), .D (n_6743), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][6]
-       (.CLK (wb_clk_i), .D (n_6742), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][1]
-       (.CLK (wb_clk_i), .D (n_6741), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][2]
-       (.CLK (wb_clk_i), .D (n_6740), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][3]
-       (.CLK (wb_clk_i), .D (n_6827), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][4]
-       (.CLK (wb_clk_i), .D (n_6828), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][5]
-       (.CLK (wb_clk_i), .D (n_6829), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][6]
-       (.CLK (wb_clk_i), .D (n_6830), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][1]
-       (.CLK (wb_clk_i), .D (n_6831), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][2]
-       (.CLK (wb_clk_i), .D (n_6832), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][3]
-       (.CLK (wb_clk_i), .D (n_6835), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][4]
-       (.CLK (wb_clk_i), .D (n_6833), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][5]
-       (.CLK (wb_clk_i), .D (n_6834), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][6]
-       (.CLK (wb_clk_i), .D (n_6842), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .SCD (n_536), .SCE (n_3014), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .SCD (n_1386), .SCE (n_3014), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5554), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_547), .SCD
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .SCE (n_1424), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3064), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]
-       (.CLK (wb_clk_i), .D (n_2387), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]
-       (.CLK (wb_clk_i), .D (n_2393), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]
-       (.CLK (wb_clk_i), .D (n_2394), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]
-       (.CLK (wb_clk_i), .D (n_2396), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [1]));
-  sky130_fd_sc_hd__dlxtn_1
-       u_soc_u_top_u_core_core_clock_gate_i_en_latch_reg(.GATE_N
-       (wb_clk_i), .D (n_1375), .Q
-       (u_soc_u_top_u_core_core_clock_gate_i_en_latch));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-       .SCD (n_8286), .SCE (n_2307), .Q
-       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7610), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [0]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7912), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [1]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8286), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [2]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8543), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [3]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7614), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [4]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7794), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [5]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7616), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [6]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7910), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [7]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8084), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [8]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7556), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [9]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7554), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [10]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8446), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [11]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7908), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [12]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8076), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [13]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7612), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [14]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7782), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [15]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7772), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [16]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8282), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [17]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7776), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [18]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7778), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [19]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8078), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [20]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8284), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [21]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7780), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [22]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7774), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [23]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7786), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [24]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7788), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [25]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7790), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [26]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8080), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [27]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7784), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [28]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8082), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [29]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8074), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [30]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7792), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [31]), .SCE (n_2681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7610), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]), .SCE
-       (n_2892), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8286), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]), .SCE
-       (n_2892), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8095), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8394), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8571), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8682), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8066), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8248), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8065), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8396), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8489), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8024), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8072), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8634), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8390), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8486), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8071), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8271), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8270), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8575), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8269), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8268), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8498), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8574), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8266), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8264), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8263), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8267), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8265), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8497), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8262), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8496), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8495), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8250), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7852), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8208), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8480), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8628), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7851), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8038), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7850), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8207), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8382), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7849), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7848), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8560), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8206), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8381), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7846), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8037), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8036), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8479), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8035), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8034), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8380), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8478), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8032), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8033), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8031), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8030), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8029), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8379), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8028), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8378), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8377), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8027), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8070), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8393), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8570), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8681), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8064), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8247), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8063), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8395), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8488), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8069), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8068), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8633), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8389), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8485), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8067), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8261), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8260), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8573), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8259), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8258), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8494), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8572), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8256), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8257), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8255), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8254), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8253), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8491), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8252), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8493), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8492), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8249), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7845), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8181), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8477), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8627), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7844), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8026), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7843), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8172), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8376), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7842), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7841), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8559), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8171), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8375), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7840), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8025), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8073), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8476), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8023), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8022), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8374), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8475), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8020), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8021), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8019), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8018), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8017), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8373), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8016), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8372), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8371), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8015), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]));
-  sky130_fd_sc_hd__dfstp_2
-       \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0] (.SET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_2667), .Q
-       (u_soc_u_top_u_core_priv_mode_id[0]));
-  sky130_fd_sc_hd__dfstp_2
-       \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1] (.SET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_2666), .Q
-       (u_soc_u_top_u_core_priv_mode_id[1]));
-  sky130_fd_sc_hd__sdfstp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]
-       (.SET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .SCD
-       (n_8588), .SCE (n_1854), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]));
-  sky130_fd_sc_hd__sdfstp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]
-       (.SET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]), .SCD
-       (n_8625), .SCE (n_1854), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_debug_single_step), .SCD (n_8467), .SCE
-       (n_1854), .Q (u_soc_u_top_u_core_debug_single_step));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_1673), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]), .SCE
-       (n_1853), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_1675), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]), .SCE
-       (n_1853), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_1674), .SCD
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]), .SCE
-       (n_1853), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ), .SCD
-       (n_8565), .SCE (n_1854), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8164), .SCD (u_soc_u_top_u_core_debug_ebreaku), .SCE
-       (n_1853), .Q (u_soc_u_top_u_core_debug_ebreaku));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ), .SCD
-       (n_8361), .SCE (n_1854), .Q
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7999), .SCD (u_soc_u_top_u_core_debug_ebreakm), .SCE
-       (n_1853), .Q (u_soc_u_top_u_core_debug_ebreakm));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8466), .Q (u_soc_u_top_u_core_csr_depc[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8474), .SCD (u_soc_u_top_u_core_csr_depc[2]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8729), .Q (u_soc_u_top_u_core_csr_depc[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7839), .SCD (u_soc_u_top_u_core_csr_depc[4]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8014), .SCD (u_soc_u_top_u_core_csr_depc[5]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7838), .SCD (u_soc_u_top_u_core_csr_depc[6]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8463), .Q (u_soc_u_top_u_core_csr_depc[7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8589), .Q (u_soc_u_top_u_core_csr_depc[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7837), .SCD (u_soc_u_top_u_core_csr_depc[9]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7836), .SCD (u_soc_u_top_u_core_csr_depc[10]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8698), .Q (u_soc_u_top_u_core_csr_depc[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8170), .SCD (u_soc_u_top_u_core_csr_depc[12]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8369), .SCD (u_soc_u_top_u_core_csr_depc[13]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7835), .SCD (u_soc_u_top_u_core_csr_depc[14]), .SCE (n_1999),
-       .Q (u_soc_u_top_u_core_csr_depc[14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8358), .Q (u_soc_u_top_u_core_csr_depc[15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8357), .Q (u_soc_u_top_u_core_csr_depc[16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8624), .Q (u_soc_u_top_u_core_csr_depc[17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8356), .Q (u_soc_u_top_u_core_csr_depc[18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8355), .Q (u_soc_u_top_u_core_csr_depc[19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8600), .Q (u_soc_u_top_u_core_csr_depc[20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8623), .Q (u_soc_u_top_u_core_csr_depc[21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8388), .Q (u_soc_u_top_u_core_csr_depc[22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8445), .Q (u_soc_u_top_u_core_csr_depc[23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8411), .Q (u_soc_u_top_u_core_csr_depc[24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8417), .Q (u_soc_u_top_u_core_csr_depc[25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8432), .Q (u_soc_u_top_u_core_csr_depc[26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8605), .Q (u_soc_u_top_u_core_csr_depc[27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8436), .Q (u_soc_u_top_u_core_csr_depc[28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8607), .Q (u_soc_u_top_u_core_csr_depc[29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8608), .Q (u_soc_u_top_u_core_csr_depc[30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8437), .Q (u_soc_u_top_u_core_csr_depc[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7610), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7912), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8286), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8543), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7614), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7794), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7616), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7910), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8084), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7556), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]), .SCE
-       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7554), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8446), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7908), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8076), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7612), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7782), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7772), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8282), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7776), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7778), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8078), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8284), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7780), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7774), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7786), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7788), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7790), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8080), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7784), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8082), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8074), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7792), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]), .SCE
-       (n_1842), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7610), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7912), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8286), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8543), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7614), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7794), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7616), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7910), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8084), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7556), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]), .SCE
-       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7554), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8446), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7908), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8076), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7612), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7782), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7772), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8282), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7776), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7778), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8078), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8284), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7780), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7774), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7786), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7788), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7790), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8080), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7784), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8082), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8074), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7792), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]), .SCE
-       (n_1843), .Q
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7828), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
-       .SCE (n_2146), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8301), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
-       .SCE (n_2146), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8451), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
-       .SCE (n_2146), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8657), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
-       .SCE (n_2146), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7815), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
-       .SCE (n_2146), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8108), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
-       .SCE (n_2146), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8133), .SCD (u_soc_u_top_u_core_csr_mepc[1]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8509), .SCD (u_soc_u_top_u_core_csr_mepc[2]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8649), .SCD (u_soc_u_top_u_core_csr_mepc[3]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7890), .SCD (u_soc_u_top_u_core_csr_mepc[4]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8013), .SCD (u_soc_u_top_u_core_csr_mepc[5]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7834), .SCD (u_soc_u_top_u_core_csr_mepc[6]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8169), .SCD (u_soc_u_top_u_core_csr_mepc[7]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8367), .SCD (u_soc_u_top_u_core_csr_mepc[8]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7833), .SCD (u_soc_u_top_u_core_csr_mepc[9]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7832), .SCD (u_soc_u_top_u_core_csr_mepc[10]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8558), .SCD (u_soc_u_top_u_core_csr_mepc[11]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8168), .SCD (u_soc_u_top_u_core_csr_mepc[12]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8366), .SCD (u_soc_u_top_u_core_csr_mepc[13]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7830), .SCD (u_soc_u_top_u_core_csr_mepc[14]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8012), .SCD (u_soc_u_top_u_core_csr_mepc[15]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8011), .SCD (u_soc_u_top_u_core_csr_mepc[16]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8473), .SCD (u_soc_u_top_u_core_csr_mepc[17]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8010), .SCD (u_soc_u_top_u_core_csr_mepc[18]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8009), .SCD (u_soc_u_top_u_core_csr_mepc[19]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8365), .SCD (u_soc_u_top_u_core_csr_mepc[20]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8472), .SCD (u_soc_u_top_u_core_csr_mepc[21]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8008), .SCD (u_soc_u_top_u_core_csr_mepc[22]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8007), .SCD (u_soc_u_top_u_core_csr_mepc[23]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8006), .SCD (u_soc_u_top_u_core_csr_mepc[24]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8005), .SCD (u_soc_u_top_u_core_csr_mepc[25]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8004), .SCD (u_soc_u_top_u_core_csr_mepc[26]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8364), .SCD (u_soc_u_top_u_core_csr_mepc[27]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8003), .SCD (u_soc_u_top_u_core_csr_mepc[28]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8363), .SCD (u_soc_u_top_u_core_csr_mepc[29]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8362), .SCD (u_soc_u_top_u_core_csr_mepc[30]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8118), .SCD (u_soc_u_top_u_core_csr_mepc[31]), .SCE (n_2000),
-       .Q (u_soc_u_top_u_core_csr_mepc[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7772), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8282), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7776), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7778), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8078), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8284), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7780), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7774), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7786), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7788), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7790), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8080), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7784), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8082), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8074), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8446), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7910), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8543), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]), .SCE
-       (n_1844), .Q
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7610), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7912), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8286), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8543), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7614), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7794), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7616), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7910), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8084), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7556), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7554), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8446), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7908), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8076), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7612), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7782), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7772), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8282), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7776), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7778), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8078), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8284), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7780), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7774), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7786), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7788), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7790), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8080), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7784), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8082), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8074), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7792), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]), .SCE
-       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8457), .SCD (u_soc_u_top_u_core_csr_mstatus_tw), .SCE
-       (n_2683), .Q (u_soc_u_top_u_core_csr_mstatus_tw));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8456), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]), .SCE
-       (n_2683), .Q
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .SCD
-       (n_8648), .SCE (n_2682), .Q
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .SCD
-       (n_8647), .SCE (n_2682), .Q
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]));
-  sky130_fd_sc_hd__sdfstp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]
-       (.SET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8529), .SCD
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .SCE
-       (n_2683), .Q
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7874), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8160), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8455), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8622), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7882), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7996), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7883), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8158), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8439), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7892), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7893), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8609), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8155), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8440), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7900), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7995), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7994), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8483), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7993), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7992), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8441), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8484), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7991), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7990), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7989), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7988), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7987), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8442), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7986), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8443), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8444), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7985), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
-       .SCE (n_1992), .Q
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8316), .SCD (u_soc_u_top_u_core_csr_mtvec[8]), .SCE (n_2415),
-       .Q (u_soc_u_top_u_core_csr_mtvec[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7686), .SCD (u_soc_u_top_u_core_csr_mtvec[9]), .SCE (n_2415),
-       .Q (u_soc_u_top_u_core_csr_mtvec[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7685), .SCD (u_soc_u_top_u_core_csr_mtvec[10]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8539), .SCD (u_soc_u_top_u_core_csr_mtvec[11]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8100), .SCD (u_soc_u_top_u_core_csr_mtvec[12]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8320), .SCD (u_soc_u_top_u_core_csr_mtvec[13]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7684), .SCD (u_soc_u_top_u_core_csr_mtvec[14]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7935), .SCD (u_soc_u_top_u_core_csr_mtvec[15]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7936), .SCD (u_soc_u_top_u_core_csr_mtvec[16]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8424), .SCD (u_soc_u_top_u_core_csr_mtvec[17]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7937), .SCD (u_soc_u_top_u_core_csr_mtvec[18]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7938), .SCD (u_soc_u_top_u_core_csr_mtvec[19]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8315), .SCD (u_soc_u_top_u_core_csr_mtvec[20]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8423), .SCD (u_soc_u_top_u_core_csr_mtvec[21]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7939), .SCD (u_soc_u_top_u_core_csr_mtvec[22]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7940), .SCD (u_soc_u_top_u_core_csr_mtvec[23]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7941), .SCD (u_soc_u_top_u_core_csr_mtvec[24]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7942), .SCD (u_soc_u_top_u_core_csr_mtvec[25]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7943), .SCD (u_soc_u_top_u_core_csr_mtvec[26]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8314), .SCD (u_soc_u_top_u_core_csr_mtvec[27]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7944), .SCD (u_soc_u_top_u_core_csr_mtvec[28]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8324), .SCD (u_soc_u_top_u_core_csr_mtvec[29]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8313), .SCD (u_soc_u_top_u_core_csr_mtvec[30]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7945), .SCD (u_soc_u_top_u_core_csr_mtvec[31]), .SCE
-       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6014), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
-       ));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_5928), .Q (n_479), .Q_N
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6304), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6893), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7185), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6897), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7184), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6896), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7183), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6895), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7159), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6894), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7170), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6907), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7174), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6908), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7180), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6899), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7171), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6909), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7176), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6910), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7178), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6900), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7182), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6898), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7154), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6911), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7157), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7165), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7162), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6912), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7168), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6913), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6643), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [32]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7304), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7305), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7330), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7303), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7302), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7301), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7300), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7299), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7298), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7308), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7380), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7310), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7311), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7312), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7313), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7314), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7315), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7316), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7317), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7318), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7319), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7320), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7321), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7322), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7323), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7324), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7325), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7326), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7327), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7328), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7329), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [0]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [1]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [2]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [3]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [4]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [5]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [6]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [7]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [8]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [9]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [10]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [11]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [12]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [13]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [14]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [15]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [16]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [17]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [18]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [19]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [20]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [21]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [22]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [23]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [24]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [25]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [26]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [27]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [28]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [29]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [30]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [31]), .SCE (n_5354), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [0]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [1]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [2]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [3]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [4]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [5]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [6]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [7]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [8]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [9]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [10]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [11]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [12]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [13]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [14]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [15]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [16]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [17]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [18]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [19]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [20]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [21]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [22]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [23]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [24]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [25]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [26]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [27]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [28]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [29]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [30]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [31]), .SCE (n_5351), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [0]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [1]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [2]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [3]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [4]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [5]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [6]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [7]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [8]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [9]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [10]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [11]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [12]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [13]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [14]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [15]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [16]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [17]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [18]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [19]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [20]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [21]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [22]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [23]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [24]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [25]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [26]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [27]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [28]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [29]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [30]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [31]), .SCE (n_5352), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [0]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [1]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [2]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [3]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [4]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [5]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [6]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [7]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [8]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [9]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [10]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [11]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [12]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [13]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [14]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [15]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [16]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [17]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [18]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [19]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [20]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [21]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [22]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [23]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [24]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [25]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [26]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [27]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [28]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [29]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [30]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [31]), .SCE (n_5355), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [0]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [1]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [2]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [3]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [4]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [5]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [6]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [7]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [8]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [9]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [10]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [11]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [12]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [13]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [14]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [15]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [16]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [17]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [18]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [19]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [20]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [21]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [22]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [23]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [24]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [25]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [26]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [27]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [28]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [29]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [30]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [31]), .SCE (n_5350), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [0]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [1]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [2]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [3]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [4]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [5]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [6]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [7]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [8]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [9]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [10]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [11]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [12]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [13]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [14]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [15]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [16]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [17]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [18]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [19]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [20]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [21]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [22]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [23]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [24]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [25]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [26]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [27]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [28]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [29]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [30]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [31]), .SCE (n_5353), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [0]), .SCD (n_8735), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [1]), .SCD (n_8756), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [2]), .SCD (n_8751), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [3]), .SCD (n_8754), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [4]), .SCD (n_8753), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [5]), .SCD (n_8752), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [6]), .SCD (n_8611), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [7]), .SCD (n_8612), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [8]), .SCD (n_8730), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [9]), .SCD (n_8775), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [10]), .SCD (n_8776), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [11]), .SCD (n_8774), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [12]), .SCD (n_8777), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [13]), .SCD (n_8773), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [14]), .SCD (n_8710), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [15]), .SCD (n_8715), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [16]), .SCD (n_8732), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [17]), .SCD (n_8755), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [18]), .SCD (n_8750), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [19]), .SCD (n_8749), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [20]), .SCD (n_8748), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [21]), .SCD (n_8747), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [22]), .SCD (n_8737), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [23]), .SCD (n_8733), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [24]), .SCD (n_8734), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [25]), .SCD (n_8746), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [26]), .SCD (n_8745), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [27]), .SCD (n_8759), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [28]), .SCD (n_8758), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [29]), .SCD (n_8757), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [30]), .SCD (n_8736), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [31]), .SCD (n_8731), .SCE (n_15930), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [0]), .SCD (n_8735), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [1]), .SCD (n_8756), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [2]), .SCD (n_8751), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [3]), .SCD (n_8754), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [4]), .SCD (n_8753), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [5]), .SCD (n_8752), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [6]), .SCD (n_8611), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [7]), .SCD (n_8612), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [8]), .SCD (n_8730), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [9]), .SCD (n_8775), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [10]), .SCD (n_8776), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [11]), .SCD (n_8774), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [12]), .SCD (n_8777), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [13]), .SCD (n_8773), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [14]), .SCD (n_8710), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [15]), .SCD (n_8715), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [16]), .SCD (n_8732), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [17]), .SCD (n_8755), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [18]), .SCD (n_8750), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [19]), .SCD (n_8749), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [20]), .SCD (n_8748), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [21]), .SCD (n_8747), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [22]), .SCD (n_8737), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [23]), .SCD (n_8733), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [24]), .SCD (n_8734), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [25]), .SCD (n_8746), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [26]), .SCD (n_8745), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [27]), .SCD (n_8759), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [28]), .SCD (n_8758), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [29]), .SCD (n_8757), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [30]), .SCD (n_8736), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [31]), .SCD (n_8731), .SCE (n_5334), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [0]), .SCD (n_8735), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [1]), .SCD (n_8756), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [2]), .SCD (n_8751), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [3]), .SCD (n_8754), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [4]), .SCD (n_8753), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [5]), .SCD (n_8752), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [6]), .SCD (n_8611), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [7]), .SCD (n_8612), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [8]), .SCD (n_8730), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [9]), .SCD (n_8775), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [10]), .SCD (n_8776), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [11]), .SCD (n_8774), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [12]), .SCD (n_8777), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [13]), .SCD (n_8773), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [14]), .SCD (n_8710), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [15]), .SCD (n_8715), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [16]), .SCD (n_8732), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [17]), .SCD (n_8755), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [18]), .SCD (n_8750), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [19]), .SCD (n_8749), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [20]), .SCD (n_8748), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [21]), .SCD (n_8747), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [22]), .SCD (n_8737), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [23]), .SCD (n_8733), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [24]), .SCD (n_8734), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [25]), .SCD (n_8746), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [26]), .SCD (n_8745), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [27]), .SCD (n_8759), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [28]), .SCD (n_8758), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [29]), .SCD (n_8757), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [30]), .SCD (n_8736), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [31]), .SCD (n_8731), .SCE (n_5335), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [0]), .SCD (n_8735), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [1]), .SCD (n_8756), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [2]), .SCD (n_8751), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [3]), .SCD (n_8754), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [4]), .SCD (n_8753), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [5]), .SCD (n_8752), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [6]), .SCD (n_8611), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [7]), .SCD (n_8612), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [8]), .SCD (n_8730), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [9]), .SCD (n_8775), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [10]), .SCD (n_8776), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [11]), .SCD (n_8774), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [12]), .SCD (n_8777), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [13]), .SCD (n_8773), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [14]), .SCD (n_8710), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [15]), .SCD (n_8715), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [16]), .SCD (n_8732), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [17]), .SCD (n_8755), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [18]), .SCD (n_8750), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [19]), .SCD (n_8749), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [20]), .SCD (n_8748), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [21]), .SCD (n_8747), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [22]), .SCD (n_8737), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [23]), .SCD (n_8733), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [24]), .SCD (n_8734), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [25]), .SCD (n_8746), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [26]), .SCD (n_8745), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [27]), .SCD (n_8759), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [28]), .SCD (n_8758), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [29]), .SCD (n_8757), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [30]), .SCD (n_8736), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [31]), .SCD (n_8731), .SCE (n_5343), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [0]), .SCD (n_8735), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [1]), .SCD (n_8756), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [2]), .SCD (n_8751), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [3]), .SCD (n_8754), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [4]), .SCD (n_8753), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [5]), .SCD (n_8752), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [6]), .SCD (n_8611), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [7]), .SCD (n_8612), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [8]), .SCD (n_8730), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [9]), .SCD (n_8775), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [10]), .SCD (n_8776), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [11]), .SCD (n_8774), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [12]), .SCD (n_8777), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [13]), .SCD (n_8773), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [14]), .SCD (n_8710), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [15]), .SCD (n_8715), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [16]), .SCD (n_8732), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [17]), .SCD (n_8755), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [18]), .SCD (n_8750), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [19]), .SCD (n_8749), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [20]), .SCD (n_8748), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [21]), .SCD (n_8747), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [22]), .SCD (n_8737), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [23]), .SCD (n_8733), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [24]), .SCD (n_8734), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [25]), .SCD (n_8746), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [26]), .SCD (n_8745), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [27]), .SCD (n_8759), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [28]), .SCD (n_8758), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [29]), .SCD (n_8757), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [30]), .SCD (n_8736), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [31]), .SCD (n_8731), .SCE (n_5344), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [0]), .SCD (n_8735), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [1]), .SCD (n_8756), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [2]), .SCD (n_8751), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [3]), .SCD (n_8754), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [4]), .SCD (n_8753), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [5]), .SCD (n_8752), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [6]), .SCD (n_8611), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [7]), .SCD (n_8612), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [8]), .SCD (n_8730), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [9]), .SCD (n_8775), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [10]), .SCD (n_8776), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [11]), .SCD (n_8774), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [12]), .SCD (n_8777), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [13]), .SCD (n_8773), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [14]), .SCD (n_8710), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [15]), .SCD (n_8715), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [16]), .SCD (n_8732), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [17]), .SCD (n_8755), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [18]), .SCD (n_8750), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [19]), .SCD (n_8749), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [20]), .SCD (n_8748), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [21]), .SCD (n_8747), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [22]), .SCD (n_8737), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [23]), .SCD (n_8733), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [24]), .SCD (n_8734), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [25]), .SCD (n_8746), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [26]), .SCD (n_8745), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [27]), .SCD (n_8759), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [28]), .SCD (n_8758), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [29]), .SCD (n_8757), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [30]), .SCD (n_8736), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [31]), .SCD (n_8731), .SCE (n_5340), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [0]), .SCD (n_8735), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [1]), .SCD (n_8756), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [2]), .SCD (n_8751), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [3]), .SCD (n_8754), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [4]), .SCD (n_8753), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [5]), .SCD (n_8752), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [6]), .SCD (n_8611), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [7]), .SCD (n_8612), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [8]), .SCD (n_8730), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [9]), .SCD (n_8775), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [10]), .SCD (n_8776), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [11]), .SCD (n_8774), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [12]), .SCD (n_8777), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [13]), .SCD (n_8773), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [14]), .SCD (n_8710), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [15]), .SCD (n_8715), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [16]), .SCD (n_8732), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [17]), .SCD (n_8755), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [18]), .SCD (n_8750), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [19]), .SCD (n_8749), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [20]), .SCD (n_8748), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [21]), .SCD (n_8747), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [22]), .SCD (n_8737), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [23]), .SCD (n_8733), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [24]), .SCD (n_8734), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [25]), .SCD (n_8746), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [26]), .SCD (n_8745), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [27]), .SCD (n_8759), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [28]), .SCD (n_8758), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [29]), .SCD (n_8757), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [30]), .SCD (n_8736), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [31]), .SCD (n_8731), .SCE (n_5329), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [0]), .SCD (n_8735), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [1]), .SCD (n_8756), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [2]), .SCD (n_8751), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [3]), .SCD (n_8754), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [4]), .SCD (n_8753), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [5]), .SCD (n_8752), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [6]), .SCD (n_8611), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [7]), .SCD (n_8612), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [8]), .SCD (n_8730), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [9]), .SCD (n_8775), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [10]), .SCD (n_8776), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [11]), .SCD (n_8774), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [12]), .SCD (n_8777), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [13]), .SCD (n_8773), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [14]), .SCD (n_8710), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [15]), .SCD (n_8715), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [16]), .SCD (n_8732), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [17]), .SCD (n_8755), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [18]), .SCD (n_8750), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [19]), .SCD (n_8749), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [20]), .SCD (n_8748), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [21]), .SCD (n_8747), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [22]), .SCD (n_8737), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [23]), .SCD (n_8733), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [24]), .SCD (n_8734), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [25]), .SCD (n_8746), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [26]), .SCD (n_8745), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [27]), .SCD (n_8759), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [28]), .SCD (n_8758), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [29]), .SCD (n_8757), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [30]), .SCD (n_8736), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [31]), .SCD (n_8731), .SCE (n_5345), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [0]), .SCD (n_8735), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [1]), .SCD (n_8756), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [2]), .SCD (n_8751), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [3]), .SCD (n_8754), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [4]), .SCD (n_8753), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [5]), .SCD (n_8752), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [6]), .SCD (n_8611), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [7]), .SCD (n_8612), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [8]), .SCD (n_8730), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [9]), .SCD (n_8775), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [10]), .SCD (n_8776), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [11]), .SCD (n_8774), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [12]), .SCD (n_8777), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [13]), .SCD (n_8773), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [14]), .SCD (n_8710), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [15]), .SCD (n_8715), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [16]), .SCD (n_8732), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [17]), .SCD (n_8755), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [18]), .SCD (n_8750), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [19]), .SCD (n_8749), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [20]), .SCD (n_8748), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [21]), .SCD (n_8747), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [22]), .SCD (n_8737), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [23]), .SCD (n_8733), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [24]), .SCD (n_8734), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [25]), .SCD (n_8746), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [26]), .SCD (n_8745), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [27]), .SCD (n_8759), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [28]), .SCD (n_8758), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [29]), .SCD (n_8757), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [30]), .SCD (n_8736), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [31]), .SCD (n_8731), .SCE (n_5348), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [0]), .SCD (n_8735), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [1]), .SCD (n_8756), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [2]), .SCD (n_8751), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [3]), .SCD (n_8754), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [4]), .SCD (n_8753), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [5]), .SCD (n_8752), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [6]), .SCD (n_8611), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [7]), .SCD (n_8612), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [8]), .SCD (n_8730), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [9]), .SCD (n_8775), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [10]), .SCD (n_8776), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [11]), .SCD (n_8774), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [12]), .SCD (n_8777), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [13]), .SCD (n_8773), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [14]), .SCD (n_8710), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [15]), .SCD (n_8715), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [16]), .SCD (n_8732), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [17]), .SCD (n_8755), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [18]), .SCD (n_8750), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [19]), .SCD (n_8749), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [20]), .SCD (n_8748), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [21]), .SCD (n_8747), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [22]), .SCD (n_8737), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [23]), .SCD (n_8733), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [24]), .SCD (n_8734), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [25]), .SCD (n_8746), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [26]), .SCD (n_8745), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [27]), .SCD (n_8759), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [28]), .SCD (n_8758), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [29]), .SCD (n_8757), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [30]), .SCD (n_8736), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [31]), .SCD (n_8731), .SCE (n_5341), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [0]), .SCD (n_8735), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [1]), .SCD (n_8756), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [2]), .SCD (n_8751), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [3]), .SCD (n_8754), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [4]), .SCD (n_8753), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [5]), .SCD (n_8752), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [6]), .SCD (n_8611), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [7]), .SCD (n_8612), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [8]), .SCD (n_8730), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [9]), .SCD (n_8775), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [10]), .SCD (n_8776), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [11]), .SCD (n_8774), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [12]), .SCD (n_8777), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [13]), .SCD (n_8773), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [14]), .SCD (n_8710), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [15]), .SCD (n_8715), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [16]), .SCD (n_8732), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [17]), .SCD (n_8755), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [18]), .SCD (n_8750), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [19]), .SCD (n_8749), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [20]), .SCD (n_8748), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [21]), .SCD (n_8747), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [22]), .SCD (n_8737), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [23]), .SCD (n_8733), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [24]), .SCD (n_8734), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [25]), .SCD (n_8746), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [26]), .SCD (n_8745), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [27]), .SCD (n_8759), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [28]), .SCD (n_8758), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [29]), .SCD (n_8757), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [30]), .SCD (n_8736), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [31]), .SCD (n_8731), .SCE (n_5331), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [0]), .SCD (n_8735), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [1]), .SCD (n_8756), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [2]), .SCD (n_8751), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [3]), .SCD (n_8754), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [4]), .SCD (n_8753), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [5]), .SCD (n_8752), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [6]), .SCD (n_8611), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [7]), .SCD (n_8612), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [8]), .SCD (n_8730), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [9]), .SCD (n_8775), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [10]), .SCD (n_8776), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [11]), .SCD (n_8774), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [12]), .SCD (n_8777), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [13]), .SCD (n_8773), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [14]), .SCD (n_8710), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [15]), .SCD (n_8715), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [16]), .SCD (n_8732), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [17]), .SCD (n_8755), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [18]), .SCD (n_8750), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [19]), .SCD (n_8749), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [20]), .SCD (n_8748), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [21]), .SCD (n_8747), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [22]), .SCD (n_8737), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [23]), .SCD (n_8733), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [24]), .SCD (n_8734), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [25]), .SCD (n_8746), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [26]), .SCD (n_8745), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [27]), .SCD (n_8759), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [28]), .SCD (n_8758), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [29]), .SCD (n_8757), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [30]), .SCD (n_8736), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [31]), .SCD (n_8731), .SCE (n_5347), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [0]), .SCD (n_8735), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [1]), .SCD (n_8756), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [2]), .SCD (n_8751), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [3]), .SCD (n_8754), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [4]), .SCD (n_8753), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [5]), .SCD (n_8752), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [6]), .SCD (n_8611), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [7]), .SCD (n_8612), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [8]), .SCD (n_8730), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [9]), .SCD (n_8775), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [10]), .SCD (n_8776), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [11]), .SCD (n_8774), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [12]), .SCD (n_8777), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [13]), .SCD (n_8773), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [14]), .SCD (n_8710), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [15]), .SCD (n_8715), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [16]), .SCD (n_8732), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [17]), .SCD (n_8755), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [18]), .SCD (n_8750), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [19]), .SCD (n_8749), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [20]), .SCD (n_8748), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [21]), .SCD (n_8747), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [22]), .SCD (n_8737), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [23]), .SCD (n_8733), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [24]), .SCD (n_8734), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [25]), .SCD (n_8746), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [26]), .SCD (n_8745), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [27]), .SCD (n_8759), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [28]), .SCD (n_8758), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [29]), .SCD (n_8757), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [30]), .SCD (n_8736), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [31]), .SCD (n_8731), .SCE (n_5339), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [0]), .SCD (n_8735), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [1]), .SCD (n_8756), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [2]), .SCD (n_8751), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [3]), .SCD (n_8754), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [4]), .SCD (n_8753), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [5]), .SCD (n_8752), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [6]), .SCD (n_8611), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [7]), .SCD (n_8612), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [8]), .SCD (n_8730), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [9]), .SCD (n_8775), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [10]), .SCD (n_8776), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [11]), .SCD (n_8774), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [12]), .SCD (n_8777), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [13]), .SCD (n_8773), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [14]), .SCD (n_8710), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [15]), .SCD (n_8715), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [16]), .SCD (n_8732), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [17]), .SCD (n_8755), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [18]), .SCD (n_8750), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [19]), .SCD (n_8749), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [20]), .SCD (n_8748), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [21]), .SCD (n_8747), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [22]), .SCD (n_8737), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [23]), .SCD (n_8733), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [24]), .SCD (n_8734), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [25]), .SCD (n_8746), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [26]), .SCD (n_8745), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [27]), .SCD (n_8759), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [28]), .SCD (n_8758), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [29]), .SCD (n_8757), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [30]), .SCD (n_8736), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [31]), .SCD (n_8731), .SCE (n_5338), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [0]), .SCD (n_8735), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [1]), .SCD (n_8756), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [2]), .SCD (n_8751), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [3]), .SCD (n_8754), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [4]), .SCD (n_8753), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [5]), .SCD (n_8752), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [6]), .SCD (n_8611), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [7]), .SCD (n_8612), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [8]), .SCD (n_8730), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [9]), .SCD (n_8775), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [10]), .SCD (n_8776), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [11]), .SCD (n_8774), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [12]), .SCD (n_8777), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [13]), .SCD (n_8773), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [14]), .SCD (n_8710), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [15]), .SCD (n_8715), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [16]), .SCD (n_8732), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [17]), .SCD (n_8755), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [18]), .SCD (n_8750), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [19]), .SCD (n_8749), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [20]), .SCD (n_8748), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [21]), .SCD (n_8747), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [22]), .SCD (n_8737), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [23]), .SCD (n_8733), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [24]), .SCD (n_8734), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [25]), .SCD (n_8746), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [26]), .SCD (n_8745), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [27]), .SCD (n_8759), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [28]), .SCD (n_8758), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [29]), .SCD (n_8757), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [30]), .SCD (n_8736), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [31]), .SCD (n_8731), .SCE (n_5356), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [0]), .SCD (n_8735), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [1]), .SCD (n_8756), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [2]), .SCD (n_8751), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [3]), .SCD (n_8754), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [4]), .SCD (n_8753), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [5]), .SCD (n_8752), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [6]), .SCD (n_8611), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [7]), .SCD (n_8612), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [8]), .SCD (n_8730), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [9]), .SCD (n_8775), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [10]), .SCD (n_8776), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [11]), .SCD (n_8774), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [12]), .SCD (n_8777), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [13]), .SCD (n_8773), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [14]), .SCD (n_8710), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [15]), .SCD (n_8715), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [16]), .SCD (n_8732), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [17]), .SCD (n_8755), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [18]), .SCD (n_8750), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [19]), .SCD (n_8749), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [20]), .SCD (n_8748), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [21]), .SCD (n_8747), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [22]), .SCD (n_8737), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [23]), .SCD (n_8733), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [24]), .SCD (n_8734), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [25]), .SCD (n_8746), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [26]), .SCD (n_8745), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [27]), .SCD (n_8759), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [28]), .SCD (n_8758), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [29]), .SCD (n_8757), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [30]), .SCD (n_8736), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [31]), .SCD (n_8731), .SCE (n_5346), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [0]), .SCD (n_8735), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [1]), .SCD (n_8756), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [2]), .SCD (n_8751), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [3]), .SCD (n_8754), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [4]), .SCD (n_8753), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [5]), .SCD (n_8752), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [6]), .SCD (n_8611), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [7]), .SCD (n_8612), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [8]), .SCD (n_8730), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [9]), .SCD (n_8775), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [10]), .SCD (n_8776), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [11]), .SCD (n_8774), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [12]), .SCD (n_8777), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [13]), .SCD (n_8773), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [14]), .SCD (n_8710), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [15]), .SCD (n_8715), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [16]), .SCD (n_8732), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [17]), .SCD (n_8755), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [18]), .SCD (n_8750), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [19]), .SCD (n_8749), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [20]), .SCD (n_8748), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [21]), .SCD (n_8747), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [22]), .SCD (n_8737), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [23]), .SCD (n_8733), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [24]), .SCD (n_8734), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [25]), .SCD (n_8746), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [26]), .SCD (n_8745), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [27]), .SCD (n_8759), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [28]), .SCD (n_8758), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [29]), .SCD (n_8757), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [30]), .SCD (n_8736), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [31]), .SCD (n_8731), .SCE (n_5349), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [0]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [1]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [2]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [3]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [4]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [5]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [6]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [7]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [8]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [9]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [10]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [11]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [12]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [13]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [14]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [15]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [16]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [17]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [18]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [19]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [20]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [21]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [22]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [23]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [24]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [25]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [26]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [27]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [28]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [29]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [30]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [31]), .SCE (n_5327), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [0]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [1]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [2]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [3]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [4]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [5]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [6]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [7]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [8]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [9]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [10]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [11]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [12]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [13]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [14]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [15]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [16]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [17]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [18]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [19]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [20]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [21]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [22]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [23]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [24]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [25]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [26]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [27]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [28]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [29]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [30]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [31]), .SCE (n_5328), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [0]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [1]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [2]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [3]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [4]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [5]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [6]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [7]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [8]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [9]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [10]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [11]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [12]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [13]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [14]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [15]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [16]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [17]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [18]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [19]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [20]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [21]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [22]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [23]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [24]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [25]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [26]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [27]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [28]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [29]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [30]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [31]), .SCE (n_5333), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [0]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [1]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [2]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [3]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [4]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [5]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [6]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [7]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [8]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [9]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [10]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [11]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [12]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [13]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [14]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [15]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [16]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [17]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [18]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [19]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [20]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [21]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [22]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [23]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [24]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [25]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [26]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [27]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [28]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [29]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [30]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [31]), .SCE (n_5337), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [0]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [1]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [2]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [3]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [4]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [5]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [6]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [7]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [8]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [9]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [10]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [11]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [12]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [13]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [14]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [15]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [16]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [17]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [18]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [19]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [20]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [21]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [22]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [23]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [24]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [25]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [26]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [27]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [28]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [29]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [30]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [31]), .SCE (n_5326), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [0]), .SCD (n_8735), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [1]), .SCD (n_8756), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [2]), .SCD (n_8751), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [3]), .SCD (n_8754), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [4]), .SCD (n_8753), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [5]), .SCD (n_8752), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [6]), .SCD (n_8611), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [7]), .SCD (n_8612), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [8]), .SCD (n_8730), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [9]), .SCD (n_8775), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [10]), .SCD (n_8776), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [11]), .SCD (n_8774), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [12]), .SCD (n_8777), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [13]), .SCD (n_8773), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [14]), .SCD (n_8710), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [15]), .SCD (n_8715), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [16]), .SCD (n_8732), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [17]), .SCD (n_8755), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [18]), .SCD (n_8750), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [19]), .SCD (n_8749), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [20]), .SCD (n_8748), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [21]), .SCD (n_8747), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [22]), .SCD (n_8737), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [23]), .SCD (n_8733), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [24]), .SCD (n_8734), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [25]), .SCD (n_8746), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [26]), .SCD (n_8745), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [27]), .SCD (n_8759), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [28]), .SCD (n_8758), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [29]), .SCD (n_8757), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [30]), .SCD (n_8736), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [31]), .SCD (n_8731), .SCE (n_5342), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [0]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [1]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [2]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [3]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [4]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [5]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [6]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [7]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [8]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [9]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [10]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [11]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [12]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [13]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [14]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [15]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [16]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [17]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [18]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [19]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [20]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [21]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [22]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [23]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [24]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [25]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [26]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [27]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [28]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [29]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [30]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [31]), .SCE (n_5336), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [0]), .SCD (n_8735), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [1]), .SCD (n_8756), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [2]), .SCD (n_8751), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [3]), .SCD (n_8754), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [4]), .SCD (n_8753), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [5]), .SCD (n_8752), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [6]), .SCD (n_8611), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [7]), .SCD (n_8612), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [8]), .SCD (n_8730), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [9]), .SCD (n_8775), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [10]), .SCD (n_8776), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [11]), .SCD (n_8774), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [12]), .SCD (n_8777), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [13]), .SCD (n_8773), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [14]), .SCD (n_8710), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [15]), .SCD (n_8715), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [16]), .SCD (n_8732), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [17]), .SCD (n_8755), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [18]), .SCD (n_8750), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [19]), .SCD (n_8749), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [20]), .SCD (n_8748), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [21]), .SCD (n_8747), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [22]), .SCD (n_8737), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [23]), .SCD (n_8733), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [24]), .SCD (n_8734), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [25]), .SCD (n_8746), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [26]), .SCD (n_8745), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [27]), .SCD (n_8759), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [28]), .SCD (n_8758), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [29]), .SCD (n_8757), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [30]), .SCD (n_8736), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [31]), .SCD (n_8731), .SCE (n_5330), .Q
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_top_u_core_id_stage_i_id_fsm_q_reg(.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_5888), .SCD
-       (n_15891), .SCE (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Q
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][0] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_8723), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][1] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7928), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][2] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7677), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][3] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7704), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][4] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7676), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][5] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7681), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][6] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7603), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][7] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7675), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][8] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7674), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][9] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7673), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][10] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7672), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][11] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7671), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][12] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7670), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][13] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7669), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][14] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7668), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][15] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7667), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][16] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7710), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][17] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7738), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][18] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7688), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][19] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7735), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][20] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7680), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][21] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7722), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][22] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7666), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][23] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7706), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][24] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7665), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][25] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7697), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][26] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7664), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][27] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7678), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][28] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7663), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][29] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7662), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][30] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7661), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][31] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7660), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][32] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_15917), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [32]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][0] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6543), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][1] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6354), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][2] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6310), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][3] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6308), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][4] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6542), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][5] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6309), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][6] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6541), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][7] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6540), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][8] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6539), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][9] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6538), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][10] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6537), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][11] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6536), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][12] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6544), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][13] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6535), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][14] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6534), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][15] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6533), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][16] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6532), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][17] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6531), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][18] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6530), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][19] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6529), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][20] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6528), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][21] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6527), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][22] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6526), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][23] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6525), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][24] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6524), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][25] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6523), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][26] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6522), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][27] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6521), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][28] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6520), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][29] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6519), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][30] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6518), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][31] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6517), .Q
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6678), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6691), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q_reg
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_1455), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q
-       ));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[2]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_2672), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [2]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [2]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[3]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_5147), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [3]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [3]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[4]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6368), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [4]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [4]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[5]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7200), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [5]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [5]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[6]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7658), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [6]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [6]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[7]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8300), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [7]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [7]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[8]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8593), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [8]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [8]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[9]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8718), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [9]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[10]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8779), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [10]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [10]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[11]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8783), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [11]), .SCE (n_1520), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7643), .Q
-       (u_soc_u_top_u_core_pc_if[2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7604), .Q
-       (u_soc_u_top_u_core_pc_if[3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7644), .Q
-       (u_soc_u_top_u_core_pc_if[4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7605), .Q
-       (u_soc_u_top_u_core_pc_if[5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7645), .Q
-       (u_soc_u_top_u_core_pc_if[6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7573), .Q
-       (u_soc_u_top_u_core_pc_if[7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7646), .Q
-       (u_soc_u_top_u_core_pc_if[8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7570), .Q
-       (u_soc_u_top_u_core_pc_if[9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7647), .Q
-       (u_soc_u_top_u_core_pc_if[10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7648), .Q
-       (u_soc_u_top_u_core_pc_if[11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7871), .Q
-       (u_soc_u_top_u_core_pc_if[12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7870), .Q
-       (u_soc_u_top_u_core_pc_if[13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7869), .Q
-       (u_soc_u_top_u_core_pc_if[14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7868), .Q
-       (u_soc_u_top_u_core_pc_if[15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7867), .Q
-       (u_soc_u_top_u_core_pc_if[16]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7866), .Q
-       (u_soc_u_top_u_core_pc_if[17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7865), .Q
-       (u_soc_u_top_u_core_pc_if[18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7864), .Q
-       (u_soc_u_top_u_core_pc_if[19]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7863), .Q
-       (u_soc_u_top_u_core_pc_if[20]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7862), .Q
-       (u_soc_u_top_u_core_pc_if[21]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7861), .Q
-       (u_soc_u_top_u_core_pc_if[22]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7860), .Q
-       (u_soc_u_top_u_core_pc_if[23]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7859), .Q
-       (u_soc_u_top_u_core_pc_if[24]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7858), .Q
-       (u_soc_u_top_u_core_pc_if[25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7857), .Q
-       (u_soc_u_top_u_core_pc_if[26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7856), .Q
-       (u_soc_u_top_u_core_pc_if[27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7855), .Q
-       (u_soc_u_top_u_core_pc_if[28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7847), .Q
-       (u_soc_u_top_u_core_pc_if[29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7854), .Q
-       (u_soc_u_top_u_core_pc_if[30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7853), .Q
-       (u_soc_u_top_u_core_pc_if[31]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8352), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [0]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8346), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8227), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8226), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8225), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8224), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8223), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8222), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8205), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8204), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8203), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8202), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8201), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8200), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8199), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8198), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8347), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [16]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8348), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8221), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8220), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [19]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8219), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [20]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8218), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [21]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8217), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [22]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8216), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [23]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8197), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [24]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8196), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8195), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8194), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8193), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8192), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8191), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8190), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [31]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8349), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [0]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8350), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8245), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8244), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8243), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8293), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8292), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8240), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8189), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8188), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8187), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8186), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8185), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8184), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8183), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8182), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8351), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [16]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8345), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8239), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8238), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [19]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8291), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [20]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8236), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [21]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8235), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [22]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8234), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [23]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8290), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [24]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8179), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8178), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8177), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8176), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8175), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8174), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8173), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [0]), .SCD (\u_soc_iccm_to_xbar[d_data] [0]), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [0]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [1]), .SCD (\u_soc_iccm_to_xbar[d_data] [1]), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [1]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [2]), .SCD (n_3012), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [2]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [3]), .SCD (n_2988), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [3]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [4]), .SCD (n_2990), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [4]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [5]), .SCD (n_2993), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [5]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [6]), .SCD (n_2995), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [6]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [7]), .SCD (n_2996), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [7]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [8]), .SCD (n_5825), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [8]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [9]), .SCD (n_5827), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [10]), .SCD (n_5829), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [10]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [11]), .SCD (n_5831), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [11]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [12]), .SCD (n_5833), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [13]), .SCD (n_5835), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [13]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [14]), .SCD (n_5837), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [15]), .SCD (n_5839), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [15]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [16]), .SCD (\u_soc_iccm_to_xbar[d_data] [16]), .SCE (n_5934),
-       .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [17]), .SCD (\u_soc_iccm_to_xbar[d_data] [17]), .SCE (n_5934),
-       .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [17]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [18]), .SCD (n_2998), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [18]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [19]), .SCD (n_3000), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [20]), .SCD (n_3005), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [21]), .SCD (n_3010), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [22]), .SCD (n_3006), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [23]), .SCD (n_3008), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [24]), .SCD (n_5842), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [25]), .SCD (n_5843), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [26]), .SCD (n_5845), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [27]), .SCD (n_5847), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [27]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [28]), .SCD (n_5849), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [29]), .SCD (n_5851), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [30]), .SCD (n_5853), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [31]), .SCD (n_5855), .SCE (n_5934), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [31]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_5631), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_5897), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[2]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6516), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[3]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6515), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[4]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6514), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[5]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6513), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[6]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6512), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[7]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6511), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[8]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6510), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[9]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_6509), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[10]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_ifu_to_xbar[a_address] [10]), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [10]), .SCE (n_3083), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [10]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[11]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (\u_soc_ifu_to_xbar[a_address] [11]), .SCD
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [11]), .SCE (n_3083), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [11]));
-  sky130_fd_sc_hd__sdfxtp_1
-       u_soc_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg(.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8676), .SCD
-       (u_soc_u_top_u_core_illegal_c_insn_id), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_illegal_c_insn_id));
-  sky130_fd_sc_hd__sdfxtp_1
-       u_soc_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg(.CLK
-       (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .SCD
-       (u_soc_u_top_u_core_instr_is_compressed_id), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_is_compressed_id));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8115), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[0]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8433), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_15906), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8521), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8720), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8704), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8601), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8659), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8654), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8540), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8768), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8763), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8663), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8760), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8724), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8604), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8596), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[31]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_7156), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[0]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_7155), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[1]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_5952), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[2]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[2]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_5963), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[3]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[3]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_5965), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[4]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[4]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_5954), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[5]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[5]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_5946), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[6]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[6]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_5973), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[7]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[7]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6870), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[8]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[8]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6873), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[9]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6866), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[10]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[10]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6864), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[11]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[11]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6856), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[12]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6862), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[13]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[13]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6858), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[14]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_6860), .SCD
-       (u_soc_u_top_u_core_instr_rdata_c_id[15]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_instr_rdata_c_id[15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8586), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8368), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8511), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8703), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8656), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[11]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[1] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[1]), .SCD
-       (u_soc_u_top_u_core_pc_id[1]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[1]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[2] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[2]), .SCD
-       (u_soc_u_top_u_core_pc_id[2]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[2]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[3] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[3]), .SCD
-       (u_soc_u_top_u_core_pc_id[3]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[3]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[4] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[4]), .SCD
-       (u_soc_u_top_u_core_pc_id[4]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[4]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[5] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[5]), .SCD
-       (u_soc_u_top_u_core_pc_id[5]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[5]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[6] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[6]), .SCD
-       (u_soc_u_top_u_core_pc_id[6]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[6]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[7] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[7]), .SCD
-       (u_soc_u_top_u_core_pc_id[7]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[7]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[8] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[8]), .SCD
-       (u_soc_u_top_u_core_pc_id[8]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[8]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[9] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[9]), .SCD
-       (u_soc_u_top_u_core_pc_id[9]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[10] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[10]),
-       .SCD (u_soc_u_top_u_core_pc_id[10]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[10]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[11] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[11]),
-       .SCD (u_soc_u_top_u_core_pc_id[11]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[11]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[12] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[12]),
-       .SCD (u_soc_u_top_u_core_pc_id[12]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[13] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[13]),
-       .SCD (u_soc_u_top_u_core_pc_id[13]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[13]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[14] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[14]),
-       .SCD (u_soc_u_top_u_core_pc_id[14]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[15] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[15]),
-       .SCD (u_soc_u_top_u_core_pc_id[15]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[15]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[16] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[16]),
-       .SCD (u_soc_u_top_u_core_pc_id[16]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[17] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[17]),
-       .SCD (u_soc_u_top_u_core_pc_id[17]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[17]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[18] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[18]),
-       .SCD (u_soc_u_top_u_core_pc_id[18]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[18]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[19] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[19]),
-       .SCD (u_soc_u_top_u_core_pc_id[19]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[20] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[20]),
-       .SCD (u_soc_u_top_u_core_pc_id[20]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[21] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[21]),
-       .SCD (u_soc_u_top_u_core_pc_id[21]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[22] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[22]),
-       .SCD (u_soc_u_top_u_core_pc_id[22]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[23] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[23]),
-       .SCD (u_soc_u_top_u_core_pc_id[23]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[24] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[24]),
-       .SCD (u_soc_u_top_u_core_pc_id[24]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[25] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[25]),
-       .SCD (u_soc_u_top_u_core_pc_id[25]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[26] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[26]),
-       .SCD (u_soc_u_top_u_core_pc_id[26]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[27] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[27]),
-       .SCD (u_soc_u_top_u_core_pc_id[27]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[27]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[28] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[28]),
-       .SCD (u_soc_u_top_u_core_pc_id[28]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[29] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[29]),
-       .SCD (u_soc_u_top_u_core_pc_id[29]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[30] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[30]),
-       .SCD (u_soc_u_top_u_core_pc_id[30]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[31] (.CLK
-       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[31]),
-       .SCD (u_soc_u_top_u_core_pc_id[31]), .SCE (n_6709), .Q
-       (u_soc_u_top_u_core_pc_id[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[0]), .SCD (n_16012), .SCE
-       (n_2411), .Q (u_soc_u_top_u_core_lsu_addr_last[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[1]), .SCD
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[2]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [2]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[3]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [3]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[3]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[4]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [4]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[4]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[5]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [5]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[5]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[6]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [6]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[6]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[7]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [7]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[7]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[8]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [8]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[9]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [9]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[10]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [10]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[11]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [11]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[12]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [12]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[13]), .SCD
-       (\u_soc_xbar_to_dccm[a_address] [13]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[14]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [14]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[15]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [15]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[16]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [16]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[17]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [17]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[18]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [18]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[19]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [19]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[20]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [20]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[21]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [21]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[22]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [22]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[23]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [23]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[24]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [24]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[25]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [25]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[26]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [26]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[27]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [27]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[28]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [28]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[29]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [29]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[30]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [30]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_lsu_addr_last[31]), .SCD
-       (\u_soc_lsu_to_xbar[a_address] [31]), .SCE (n_2411), .Q
-       (u_soc_u_top_u_core_lsu_addr_last[31]));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg(.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q), .SCD
-       (u_soc_u_top_u_core_lsu_sign_ext), .SCE (n_1414), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .SCD
-       (u_soc_u_top_u_core_lsu_type[0]), .SCE (n_1414), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .SCD
-       (u_soc_u_top_u_core_lsu_type[1]), .SCE (n_1414), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_top_u_core_load_store_unit_i_data_we_q_reg(.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_data_we_q), .SCD
-       (u_soc_u_top_data_we), .SCE (n_1414), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_data_we_q));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_n_937), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]), .SCD
-       (n_16012), .SCE (n_1414), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .SCD
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .SCE (n_1414), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[8] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7800), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[9] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7263), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[10] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7801), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[11] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7802), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[12] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7258), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[13] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7804), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[14] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7269), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[15] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7797), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[16] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7264), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[17] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7262), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[18] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7260), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[19] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7259), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[20] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7261), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[21] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7266), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[22] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7267), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[23] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7268), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[24] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7974), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[25] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7975), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[26] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7976), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[27] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7272), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[28] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7978), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[29] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7979), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[30] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7973), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[31] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7969), .SCD
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .SCE
-       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_instr_rdata_id[7]), .SCD
-       (u_soc_u_top_u_core_rf_waddr_wb[0]), .SCE (n_13380), .Q
-       (u_soc_u_top_u_core_rf_waddr_wb[0]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_instr_rdata_id[8]), .SCD
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .SCE (n_13380), .Q
-       (u_soc_u_top_u_core_rf_waddr_wb[1]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_instr_rdata_id[9]), .SCD
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .SCE (n_13380), .Q
-       (u_soc_u_top_u_core_rf_waddr_wb[2]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_instr_rdata_id[10]), .SCD
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .SCE (n_13380), .Q
-       (u_soc_u_top_u_core_rf_waddr_wb[3]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]
-       (.CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_instr_rdata_id[11]), .SCD
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .SCE (n_13380), .Q
-       (u_soc_u_top_u_core_rf_waddr_wb[4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8232), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8517), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8651), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8719), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8487), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8515), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8516), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8652), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8705), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8542), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8532), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8744), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8646), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8706), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8409), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8408), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8508), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8696), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8507), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8506), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8694), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8695), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8505), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8504), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8413), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8425), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8431), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8594), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8430), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8595), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8603), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_8514), .Q
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_1937), .SCD
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-       .SCE (n_13380), .Q
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_1686), .SCD
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-       .SCE (n_13380), .Q
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ));
-  sky130_fd_sc_hd__dfxtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_1671), .Q
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_1191), .Q
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_uart_u_reg_if_outstanding_reg(.RESET_B (io_out[37]),
-       .CLK (wb_clk_i), .D (n_7), .SCD (\u_soc_uart_to_xbar[d_valid] ),
-       .SCE (n_1168), .Q (\u_soc_uart_to_xbar[d_valid] ));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5165), .Q
-       (\u_soc_uart_to_xbar[d_data] [0]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3034), .Q
-       (\u_soc_uart_to_xbar[d_data] [1]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3039), .Q
-       (\u_soc_uart_to_xbar[d_data] [2]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3033), .Q
-       (\u_soc_uart_to_xbar[d_data] [3]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3038), .Q
-       (\u_soc_uart_to_xbar[d_data] [4]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3037), .Q
-       (\u_soc_uart_to_xbar[d_data] [5]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3036), .Q
-       (\u_soc_uart_to_xbar[d_data] [6]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2938), .Q
-       (\u_soc_uart_to_xbar[d_data] [7]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3035), .Q
-       (\u_soc_uart_to_xbar[d_data] [8]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1313), .Q
-       (\u_soc_uart_to_xbar[d_data] [9]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1364), .Q
-       (\u_soc_uart_to_xbar[d_data] [10]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1331), .Q
-       (\u_soc_uart_to_xbar[d_data] [11]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1319), .Q
-       (\u_soc_uart_to_xbar[d_data] [12]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1338), .Q
-       (\u_soc_uart_to_xbar[d_data] [13]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1321), .Q
-       (\u_soc_uart_to_xbar[d_data] [14]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1339), .Q
-       (\u_soc_uart_to_xbar[d_data] [15]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[16]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1371), .Q
-       (\u_soc_uart_to_xbar[d_data] [16]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[17]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1379), .Q
-       (\u_soc_uart_to_xbar[d_data] [17]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[18]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1353), .Q
-       (\u_soc_uart_to_xbar[d_data] [18]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[19]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1318), .Q
-       (\u_soc_uart_to_xbar[d_data] [19]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[20]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1332), .Q
-       (\u_soc_uart_to_xbar[d_data] [20]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[21]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1317), .Q
-       (\u_soc_uart_to_xbar[d_data] [21]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[22]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1308), .Q
-       (\u_soc_uart_to_xbar[d_data] [22]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[23]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1359), .Q
-       (\u_soc_uart_to_xbar[d_data] [23]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[24]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1310), .Q
-       (\u_soc_uart_to_xbar[d_data] [24]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[25]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1316), .Q
-       (\u_soc_uart_to_xbar[d_data] [25]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[26]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1334), .Q
-       (\u_soc_uart_to_xbar[d_data] [26]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[27]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1383), .Q
-       (\u_soc_uart_to_xbar[d_data] [27]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[28]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1322), .Q
-       (\u_soc_uart_to_xbar[d_data] [28]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[29]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1309), .Q
-       (\u_soc_uart_to_xbar[d_data] [29]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[30]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1312), .Q
-       (\u_soc_uart_to_xbar[d_data] [30]));
-  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[31]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1328), .Q
-       (\u_soc_uart_to_xbar[d_data] [31]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_reg_if_rspop_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_640), .SCD
-       (\u_soc_uart_to_xbar[d_opcode] [0]), .SCE (n_13558), .Q
-       (\u_soc_uart_to_xbar[d_opcode] [0]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[0]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [0]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[0]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[1]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [1]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[1]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[2]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[2]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [2]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[2]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[3]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[3]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [3]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[3]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[4]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[4]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [4]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[4]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[5]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[5]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [5]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[5]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[6]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[6]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [6]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[6]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[7]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[7]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [7]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[7]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[8]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[8]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [8]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[8]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[9]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[9]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [9]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[9]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[10]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[10]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [10]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[10]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[11]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[11]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [11]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[11]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[12]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[12]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [12]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[12]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[13]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[13]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [13]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[13]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[14]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[14]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [14]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[14]));
-  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[15]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_control[15]), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [15]), .SCE (n_2245), .Q
-       (u_soc_u_uart_u_uart_core_control[15]));
-  sky130_fd_sc_hd__dfrtp_1
-       u_soc_u_uart_u_uart_core_intr_tx_reg(.RESET_B (io_out[37]), .CLK
-       (wb_clk_i), .D (n_1197), .Q (u_soc_intr_u_tx));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_uart_u_uart_core_read_fifo_buffer_empty_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_557), .SCD
-       (u_soc_u_uart_u_uart_core_read_fifo_buffer_empty), .SCE
-       (n_1305), .Q (u_soc_u_uart_u_uart_core_read_fifo_buffer_empty));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4741), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4740), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5325), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4738), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4737), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4736), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4735), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4734), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4733), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4732), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4731), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4730), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4729), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4728), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4727), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4726), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4725), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4724), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4723), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4722), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4721), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4720), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4719), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4718), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4717), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4716), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4715), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4714), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4713), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4712), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4711), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4710), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4709), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4708), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4706), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4705), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4704), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4703), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4702), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4700), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4699), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4698), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4697), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4696), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4695), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4693), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4692), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4691), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4690), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4689), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4688), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4687), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4686), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4685), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4684), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4683), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4682), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4681), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4680), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4679), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4678), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4677), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4676), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4675), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4674), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4673), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4672), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4671), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4670), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4669), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4668), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4667), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4666), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4664), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4663), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4662), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4661), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4660), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4659), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4658), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4657), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5002), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4656), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4655), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4653), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4652), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4651), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5014), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4649), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4648), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4647), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4646), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4645), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4644), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4643), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4642), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4641), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4640), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4638), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4637), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4636), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4635), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4634), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4633), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4631), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4629), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4628), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4627), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4626), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4625), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4624), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4623), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4622), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4621), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4620), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4619), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4618), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4617), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4616), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4615), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4614), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3149), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4612), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4611), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4610), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4609), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4608), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4607), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4606), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4605), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4604), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4603), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4602), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4601), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4600), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4599), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4598), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4597), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4596), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4595), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4594), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4593), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4592), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4591), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4590), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4589), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4588), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4586), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4585), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4584), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4583), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4581), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4580), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4579), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4161), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4578), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4577), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4576), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4575), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4495), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4574), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4572), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4571), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4570), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4569), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4568), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4567), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4767), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4566), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4777), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4565), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4564), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4563), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4562), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4561), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4968), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4970), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4559), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4558), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4988), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4557), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4556), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4555), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4553), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4551), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4550), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5003), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4549), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4548), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5012), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4547), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5016), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5015), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4546), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4545), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4544), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4543), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4542), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4541), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4540), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4539), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5028), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4538), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4537), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4536), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4535), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4534), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4138), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3204), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4532), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4531), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4529), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4528), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4527), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4982), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4526), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4525), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4783), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4524), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4523), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4522), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4521), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4957), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4520), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4958), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4519), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4518), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4517), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4516), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4515), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4514), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4513), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4512), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4511), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4510), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4509), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4823), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4508), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4507), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4782), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4506), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4505), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4504), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4503), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4502), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4501), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4500), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4357), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4498), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4497), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4496), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4489), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4494), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4707), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4754), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5075), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4491), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4490), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4488), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4487), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4485), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4484), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4483), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4482), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4481), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4790), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4807), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4808), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4480), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4995), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4479), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4962), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4965), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4478), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4477), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4476), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4475), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4474), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4473), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4472), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4471), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4879), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4470), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4469), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4468), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5241), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4467), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4963), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4466), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4465), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4464), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4463), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4462), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4461), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4967), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4460), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4459), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4457), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4456), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4455), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4454), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4453), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4452), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4451), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3476), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4450), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4202), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4449), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4448), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4298), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5235), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4447), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4779), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4446), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4582), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4445), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4613), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4444), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4443), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4632), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4639), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4441), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4440), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4748), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4439), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4789), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4438), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4436), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4888), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4434), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4433), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4432), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4893), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4430), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4895), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4428), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4427), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4426), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4425), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4424), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4423), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3980), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4422), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4421), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4420), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4419), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4321), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4418), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4417), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4416), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4811), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4415), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4414), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4413), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4412), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4411), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4890), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4410), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4409), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4408), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4896), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4407), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3150), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4406), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4405), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4195), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5276), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4404), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4403), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4891), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4402), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4401), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4400), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4399), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4398), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4397), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4396), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4395), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4394), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4794), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4393), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4392), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4391), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4390), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4389), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4881), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4880), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4386), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4385), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4964), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4384), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4972), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4383), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4382), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4381), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4974), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4380), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4379), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4378), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5187), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4493), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4377), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4376), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4375), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4374), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4373), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4372), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4371), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4370), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4369), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4368), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4367), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4486), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4366), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4560), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4365), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4364), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4363), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4979), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4362), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4985), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4361), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4360), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4359), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4762), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4358), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4775), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4987), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4356), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4355), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4354), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4353), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4747), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4351), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4350), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4349), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4348), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4347), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4346), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4345), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4344), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4343), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4342), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4341), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4340), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4339), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4338), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4337), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4336), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4335), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4334), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4333), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4332), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4331), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4330), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4329), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4328), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4327), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4326), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4325), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4324), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4739), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4813), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5027), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3813), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5038), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5039), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5040), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5041), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5042), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5043), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5044), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5045), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5046), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5047), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5048), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3381), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3439), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5049), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5050), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5051), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5052), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5053), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5054), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5055), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5056), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5057), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5058), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5059), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5060), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5061), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5062), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5064), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5065), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5066), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5067), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5068), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5069), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5070), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5071), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5072), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5073), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5074), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5076), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5077), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5079), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5083), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5084), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5100), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3466), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5103), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5142), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5163), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5166), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5167), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5169), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5172), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3151), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5174), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5175), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5176), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5177), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5178), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5179), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5180), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5181), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5182), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5183), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5184), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5186), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5188), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5189), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5190), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5191), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4983), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5194), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5195), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5196), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5198), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5199), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5200), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5201), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5202), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5203), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5205), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5206), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5207), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5208), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5209), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5210), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5211), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5024), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5212), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5213), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5214), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5215), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5216), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5217), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5218), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5219), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5220), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5221), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5222), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5224), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5033), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5226), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5035), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5227), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5228), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5229), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5230), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5231), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5232), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5233), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5234), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4961), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5236), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3445), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5237), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5238), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5242), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3408), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5243), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5244), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5275), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5278), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5279), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5280), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5281), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5282), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5283), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5284), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5285), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5286), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5287), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5288), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5289), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5290), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5291), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5292), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5294), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4981), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5295), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5296), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5297), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5298), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5299), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5300), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5301), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5303), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5304), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5305), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5307), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5308), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5309), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4887), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5310), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5311), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5312), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5313), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5315), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5316), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5317), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5318), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5319), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5320), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5321), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5322), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4701), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5323), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5324), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3735), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5037), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4320), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4319), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4318), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4317), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4316), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4315), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4314), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5173), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4533), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4437), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4313), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4312), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4530), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4554), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4311), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4310), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4309), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4308), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4307), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4306), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4305), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4304), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4303), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4302), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4301), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3794), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4300), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4299), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5026), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4297), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4296), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4761), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4295), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4294), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4292), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4291), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4290), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4289), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4288), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4287), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4286), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4285), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4845), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4284), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4283), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4282), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4280), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3159), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4279), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4278), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4277), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4276), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4275), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4274), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4273), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4272), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4271), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4270), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3437), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4269), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4268), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4267), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4266), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4265), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4264), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4263), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3820), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4262), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4261), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4260), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4259), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4258), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4257), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4256), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4255), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4846), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4253), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4252), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4853), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4251), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4250), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4249), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4248), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4247), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4245), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4244), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4243), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4242), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4241), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4240), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5017), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5025), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4239), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4238), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4237), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5063), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4236), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4235), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4234), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4387), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4233), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4232), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4231), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4764), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4230), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4229), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4228), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4892), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4227), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4886), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4889), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5192), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4226), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4225), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4224), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4222), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4221), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4220), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4219), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4218), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4217), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4216), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4215), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4214), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4213), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4212), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4211), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4210), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4209), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4208), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4207), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3164), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4206), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4204), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5164), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4203), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4201), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4200), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4199), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4198), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4431), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4499), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4197), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4552), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4196), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4194), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4193), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4192), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4191), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4994), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4190), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4189), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5007), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5009), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4188), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5010), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4187), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4186), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4185), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4183), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4182), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4181), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4180), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4179), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4178), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3162), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4177), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4176), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4175), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4174), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4173), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4171), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4170), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4169), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4168), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4167), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4166), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4165), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4164), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4163), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4630), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4162), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4160), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4159), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4158), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4157), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4156), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4155), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4154), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4153), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4152), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4151), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5034), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4150), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4149), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4148), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4894), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4147), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4146), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4145), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4144), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4143), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4142), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4141), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4140), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4139), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4980), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4137), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4136), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4135), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4134), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4133), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4132), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4130), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4129), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4128), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4127), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4126), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4125), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4124), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4123), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4122), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4121), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4120), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4119), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4118), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4117), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4116), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4115), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4114), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4113), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4112), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4111), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4110), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4109), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4108), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4107), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4105), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4104), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4573), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4102), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4101), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4100), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4099), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4098), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4097), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4096), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4095), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4094), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4093), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4092), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4091), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4090), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4089), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4088), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4087), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4086), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4085), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4084), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4083), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4082), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4081), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4080), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4079), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4078), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4077), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4076), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4075), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4074), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4073), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4072), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4071), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4070), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4069), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4067), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4066), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4065), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4064), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4063), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4062), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4587), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4654), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4061), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4060), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4059), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4058), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4057), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4056), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4458), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5302), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4054), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4053), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4052), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4051), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4050), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4950), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4049), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4048), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4047), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4046), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4045), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4947), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4044), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4043), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4042), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4041), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4040), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4039), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4038), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4037), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4036), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4034), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4033), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4032), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4031), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4030), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4029), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4028), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4027), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4026), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4025), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4024), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4023), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4022), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4021), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4020), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4018), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4016), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4765), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4015), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4014), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4013), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4012), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4011), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3152), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3157), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4010), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4009), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4008), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4007), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4006), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4005), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4004), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4002), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4001), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4000), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3999), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4429), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3998), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3997), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3995), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3994), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3993), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4131), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3992), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3991), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3990), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5293), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4836), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3989), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4989), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5036), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4019), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3996), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3988), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4106), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4223), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5314), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3987), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3986), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3985), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3984), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3983), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3982), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3981), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3979), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3379), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3978), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3977), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3976), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3975), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3720), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3974), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3973), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5023), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3972), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3971), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3970), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4997), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3969), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5001), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3968), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3967), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3966), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3965), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3964), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3963), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3962), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3961), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3960), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3959), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3958), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3957), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3956), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3955), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3953), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4859), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3952), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3951), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3950), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3949), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4755), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3948), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4442), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4984), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3947), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3946), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3945), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3944), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4435), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3943), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4492), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3942), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5101), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3941), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3940), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3939), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3938), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3937), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3936), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3935), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3934), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3160), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3933), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3161), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3932), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3931), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3930), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3163), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3929), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3928), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3927), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5006), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3926), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5008), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3925), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5011), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3924), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3923), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3922), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3921), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3920), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3919), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3918), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3917), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3916), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3915), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3153), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3914), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3154), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3913), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3156), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3912), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3911), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4068), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3910), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4791), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3909), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4992), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3908), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4996), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3907), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3906), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3905), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3904), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3903), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3902), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3901), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3900), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3899), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3898), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3897), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3896), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3895), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3894), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5030), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5032), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3893), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3892), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3891), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3890), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3889), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3888), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3887), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3886), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4969), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3885), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3884), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3883), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3882), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3881), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3880), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3879), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3878), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3877), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3876), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3875), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3874), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4861), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3873), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3872), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3871), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4943), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3870), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3168), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3869), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3868), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3867), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3866), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3865), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3864), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3863), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3862), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3861), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3860), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3859), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3858), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3857), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3856), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3855), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3854), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3853), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3852), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3851), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3850), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4868), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3849), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3848), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3847), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4665), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3846), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3845), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3844), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4858), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3225), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3843), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4793), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3842), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4352), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4388), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3841), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3840), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3839), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3838), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3837), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3836), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4830), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3835), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4803), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3834), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3166), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5031), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3833), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4986), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3832), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3831), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4860), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3830), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3829), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5185), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3828), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4937), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4885), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3827), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3249), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4205), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3826), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3825), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3824), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3823), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3822), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3821), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3819), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3818), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4975), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4977), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3817), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4750), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3816), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3815), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3814), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4877), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3812), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3811), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4939), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3810), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3809), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4784), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3808), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4918), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3807), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3806), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4759), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3805), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3804), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4826), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4993), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4956), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3803), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3802), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4903), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3801), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3800), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3799), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3798), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3797), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4870), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3796), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4971), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4812), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3795), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3793), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4899), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4906), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4924), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3792), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4921), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3791), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4929), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3790), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4914), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3789), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4842), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4833), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4946), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3787), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3658), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3786), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3155), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3785), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3784), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3783), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3782), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3781), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3780), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3779), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3778), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3777), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3776), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4998), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3775), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5000), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3774), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5020), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3773), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5019), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5021), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3772), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5022), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3771), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3770), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5029), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3769), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3768), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3767), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3766), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3765), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3172), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3764), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3763), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3762), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3761), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3760), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4829), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4828), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4872), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4949), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4923), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4954), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3759), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3758), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3757), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3756), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3755), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3754), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3753), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3752), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3751), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4944), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3749), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4742), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3748), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4281), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3747), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3746), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3745), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3744), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3743), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3742), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3741), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3740), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3739), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3738), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3737), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3736), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3734), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3733), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3732), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3731), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4832), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3730), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3729), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4843), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3728), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3727), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3726), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4928), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3725), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4978), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3724), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3723), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3722), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3721), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3719), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3718), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3717), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3716), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3715), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4801), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4795), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3714), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4999), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3713), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3712), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3711), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4804), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3710), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3709), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3165), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3708), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3707), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3706), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4871), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3705), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3704), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3703), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3702), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3701), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3700), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3699), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3698), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3697), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3696), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4246), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3695), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3694), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3693), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3171), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3692), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4897), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3691), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3690), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3689), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3688), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3687), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3686), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3685), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3684), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3683), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3682), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4935), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3681), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4934), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3680), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3679), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3678), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4966), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3677), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4973), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3675), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4976), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3674), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3673), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3672), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3671), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4788), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3670), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4749), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3669), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4760), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3668), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4778), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4799), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4798), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3667), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4821), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3666), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4827), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4834), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4837), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3665), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3664), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4900), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3663), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3662), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3661), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4905), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3660), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4909), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3659), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4913), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4915), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4917), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4925), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4919), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3657), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3656), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3655), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3654), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4951), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3653), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3652), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3651), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3650), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3649), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4802), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3648), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3647), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3646), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4883), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3644), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4936), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4931), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3643), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3642), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3641), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3640), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3639), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3638), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3637), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4839), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3635), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4850), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3634), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4857), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3633), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3632), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3631), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3630), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3629), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3628), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3627), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3626), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3625), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3624), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3623), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3622), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3621), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3620), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3619), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3618), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3617), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3616), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3615), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4945), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3614), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3613), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3612), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3611), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3610), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3609), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3608), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4756), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3607), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3606), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3605), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3604), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3603), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4805), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3602), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3601), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3600), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3599), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3598), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3597), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3596), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3595), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3593), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4898), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3590), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3589), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3588), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4910), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3586), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3585), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3584), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4922), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3583), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3582), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3581), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3580), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3579), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3578), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3577), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4941), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3576), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3575), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3574), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3573), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3572), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4825), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3571), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3570), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3569), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3568), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3567), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3566), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3565), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3564), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3563), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3562), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3561), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3560), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3559), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3558), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3557), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3556), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3555), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3554), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4831), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3553), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3552), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3551), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3550), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4785), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4864), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3549), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3548), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3547), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3546), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3545), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3544), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3543), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3542), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4841), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3541), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3540), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3539), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3538), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4840), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3537), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4940), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3536), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3535), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3534), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3533), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4902), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3532), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3531), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3530), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4912), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4911), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3529), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3528), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4959), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3527), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4835), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4768), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3526), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3525), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3524), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3523), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3522), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3521), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3520), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3519), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4874), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3518), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3517), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3516), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3515), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3514), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3513), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4952), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3512), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3511), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3510), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3509), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3508), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4953), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3507), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3506), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3505), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4816), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3504), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4771), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3503), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4876), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3502), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4751), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3501), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3500), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3499), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3498), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3497), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3496), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3495), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3494), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4862), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3493), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3492), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3491), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4867), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3490), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4796), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3489), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3488), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3487), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3486), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3485), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3484), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3483), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3482), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3481), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3480), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4960), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3479), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4990), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3478), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4856), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4938), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4882), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3477), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3475), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4933), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3474), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3473), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3472), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3471), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3470), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3468), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3467), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4745), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3465), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4776), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3464), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4792), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3463), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3462), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3461), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3460), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3459), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3458), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3457), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3456), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3455), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3454), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4920), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3453), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3452), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3451), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4991), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3450), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3449), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3448), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3447), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3446), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3444), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3443), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3442), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3441), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3440), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3645), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3438), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4758), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3436), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4865), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3435), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4773), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3434), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3433), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3432), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3431), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3430), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3429), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3428), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3427), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3426), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3425), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4822), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4955), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4774), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3424), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4800), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4763), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4824), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3423), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3422), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3421), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3420), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3419), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3418), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4878), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3417), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3416), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3170), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3415), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4847), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3414), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4852), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3413), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3412), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3411), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3410), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3409), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3407), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3406), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3405), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3404), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3403), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4927), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3182), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4932), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3402), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4907), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4820), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3401), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3400), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3399), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3398), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3397), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3396), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3395), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3394), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3393), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3392), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3391), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3390), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3389), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4926), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4942), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3388), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4908), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3387), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3386), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3385), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3384), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3383), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3382), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3380), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3378), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3377), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4838), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3376), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4854), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3375), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3374), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3372), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3371), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3370), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3369), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3368), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5004), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3367), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3366), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3365), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3364), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3363), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3362), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4916), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3361), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3360), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4781), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3359), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4866), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4103), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3358), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3357), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3356), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3355), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3354), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4855), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3353), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3352), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4948), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3351), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3350), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3349), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3348), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4873), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3788), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3636), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3347), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3594), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3346), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4848), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3345), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4746), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3344), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3343), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3342), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3341), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3340), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3339), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3338), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3337), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3336), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3335), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3334), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3333), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3332), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3331), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3330), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3329), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3328), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3327), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4884), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3326), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3325), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3324), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4904), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3323), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4752), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3322), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4809), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3321), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4844), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3320), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4172), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3319), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4818), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3318), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3317), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3316), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3315), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3314), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3313), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3312), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3311), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3310), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3309), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4815), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3308), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4770), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3307), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4769), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3306), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4772), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3305), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3304), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3303), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3302), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3301), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3300), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3299), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3298), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4819), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3297), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4806), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3296), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4757), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3295), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4787), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3294), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3293), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3292), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3291), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4814), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3290), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3289), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3288), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3287), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3286), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4930), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3285), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4035), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3284), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4055), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3283), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4744), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3282), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3281), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3280), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3279), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3278), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3277), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3276), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3587), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4003), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3275), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3274), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3272), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4851), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3271), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3270), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3269), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3592), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3268), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3591), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3267), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3199), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3266), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4017), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3265), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3264), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3263), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3262), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3261), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3260), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3259), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3258), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3257), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3256), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4875), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3255), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3254), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3253), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3252), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3251), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3250), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3248), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4817), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3247), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4863), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3246), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3245), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3244), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3158), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3243), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3242), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3241), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4901), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3240), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5013), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3239), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3167), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3238), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3237), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3236), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3235), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3234), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3233), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3232), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3231), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3230), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3229), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3228), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3373), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3227), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4810), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4753), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4786), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3226), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5204), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3224), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3223), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3222), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3221), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4780), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3220), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3219), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4766), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4869), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3218), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4743), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3217), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3216), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3215), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3214), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3213), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3212), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3211), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3210), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3209), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3208), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3207), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4694), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3206), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3205), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3203), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3169), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3469), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3202), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3201), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3676), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3200), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3198), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3197), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3196), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3273), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3195), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3173), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3194), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3193), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3192), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3954), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3191), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3190), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4797), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_4849), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3189), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3188), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3187), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3186), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3185), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3184), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3183), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3181), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3180), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3179), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3178), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3177), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3176), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3175), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3174), .Q
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_2405), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5548), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6681), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7336), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7901), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8416), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8602), .Q
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1925), .Q (n_94), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_2670), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5529), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5884), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7332), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]));
-  sky130_fd_sc_hd__sdfstp_1 u_soc_u_uart_u_uart_core_rx_clr_reg(.SET_B
-       (io_out[37]), .CLK (wb_clk_i), .D
-       (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_clr), .SCE (n_15924), .Q
-       (u_soc_u_uart_u_uart_core_rx_clr));
-  sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_rx_en_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_rx_en), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [0]), .SCE (n_2176), .Q
-       (u_soc_u_uart_u_uart_core_rx_en));
-  sky130_fd_sc_hd__sdfxtp_1
-       u_soc_u_uart_u_uart_core_rx_fifo_clr_reg(.CLK (wb_clk_i), .D
-       (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .SCE (n_2902), .Q
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr));
-  sky130_fd_sc_hd__sdfxtp_1
-       u_soc_u_uart_u_uart_core_rx_fifo_rst_reg(.CLK (wb_clk_i), .D
-       (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .SCE (n_2306), .Q
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_uart_u_uart_core_rx_status_reg(.RESET_B (io_out[37]),
-       .CLK (wb_clk_i), .D (u_soc_u_uart_u_uart_core_rx_status), .SCD
-       (n_1289), .SCE (n_1468), .Q
-       (u_soc_u_uart_u_uart_core_rx_status));
-  sky130_fd_sc_hd__sdfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1023), .SCD
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .SCE
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1731), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1716), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1706), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1717), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1711), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1712), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1732), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1643), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[9] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1663), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[10] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1695), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[11] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1660), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[12] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1661), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[13] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1664), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[14] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1666), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[15] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1633), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[16] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1718), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[17] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1626), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[18] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1627), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[19] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1687), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[20] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1691), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[21] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1703), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[22] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1708), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[23] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1707), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[24] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1646), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[25] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1697), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[26] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1719), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[27] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1678), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[28] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1720), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[29] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1630), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[30] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1705), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[31] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1657), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]));
-  sky130_fd_sc_hd__dfrtp_1
-       u_soc_u_uart_u_uart_core_rx_time_rx_timeout_o_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1734), .Q
-       (u_soc_intr_u_rx));
-  sky130_fd_sc_hd__dfstp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[0] (.SET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1837), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]));
-  sky130_fd_sc_hd__dfstp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[1] (.SET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1819), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1932), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[0]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[0]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[0]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[1]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [1]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[1]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[1]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[2]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [2]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[2]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[2]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[3]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [3]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[3]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[3]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[4]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [4]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[4]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[4]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[5]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [5]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[5]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[5]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[6]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [6]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[6]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[6]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[7]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [7]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[7]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[7]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[8]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [8]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[8]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[8]));
-  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[9]
-       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [9]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[9]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[9]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[10] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [10]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[10]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[10]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[11] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [11]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[11]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[11]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[12] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [12]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[12]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[12]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[13] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [13]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[13]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[13]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[14] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [14]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[14]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[14]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[15] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [15]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[15]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[15]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[16] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [16]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[16]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[16]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[17] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [17]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[17]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[17]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[18] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [18]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[18]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[18]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[19] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [19]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[19]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[19]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[20] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [20]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[20]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[20]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[21] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [21]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[21]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[21]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[22] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [22]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[22]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[22]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[23] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [23]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[23]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[23]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[24] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [24]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[24]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[24]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[25] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [25]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[25]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[25]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[26] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [26]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[26]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[26]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[27] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [27]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[27]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[27]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[28] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [28]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[28]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[28]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[29] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [29]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[29]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[29]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[30] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [30]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[30]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[30]));
-  sky130_fd_sc_hd__sdfxtp_1
-       \u_soc_u_uart_u_uart_core_rx_timeout_reg[31] (.CLK (wb_clk_i),
-       .D (\u_soc_xbar_to_dccm[a_data] [31]), .SCD
-       (u_soc_u_uart_u_uart_core_rx_timeout[31]), .SCE (n_2412), .Q
-       (u_soc_u_uart_u_uart_core_rx_timeout[31]));
-  sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_tx_en_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D
-       (u_soc_u_uart_u_uart_core_tx_en), .SCD
-       (\u_soc_xbar_to_dccm[a_data] [0]), .SCE (n_1923), .Q
-       (u_soc_u_uart_u_uart_core_tx_en));
-  sky130_fd_sc_hd__dfrtp_1
-       u_soc_u_uart_u_uart_core_tx_fifo_init_reg(.RESET_B (io_out[37]),
-       .CLK (wb_clk_i), .D (n_5148), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_init));
-  sky130_fd_sc_hd__sdfrtp_1
-       u_soc_u_uart_u_uart_core_write_fifo_buffer_empty_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_29), .SCD
-       (u_soc_u_uart_u_uart_core_write_fifo_buffer_empty), .SCE
-       (n_3040), .Q (u_soc_u_uart_u_uart_core_write_fifo_buffer_empty));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6105), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6104), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6103), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6102), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6118), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6125), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6101), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6147), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6100), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6099), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6098), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6097), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6096), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6095), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6094), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6093), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6092), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6091), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6090), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6129), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6121), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6088), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6087), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6086), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6109), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6085), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6084), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6083), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6082), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6081), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6080), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6079), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7003), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7002), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7129), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7063), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7096), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7001), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7000), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6999), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6998), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6997), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6996), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6995), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6994), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6993), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6992), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6991), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6990), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6989), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7006), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6988), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6987), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6986), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6985), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6984), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6983), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6982), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6981), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6980), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6979), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6978), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7005), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6977), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5885), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6077), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6076), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6075), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6074), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6073), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6072), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6473), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6069), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6068), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6067), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6066), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6065), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6400), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6064), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6063), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6120), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6048), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6062), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6061), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6060), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6113), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6059), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6058), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6057), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6056), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6055), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6054), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6053), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6052), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6051), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6050), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6049), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7337), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7431), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7430), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7429), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7428), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7427), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7426), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7425), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7424), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7423), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7422), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7421), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7420), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7419), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7418), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7549), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7417), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7416), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7415), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7452), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7414), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7413), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7412), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7411), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7410), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7409), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7408), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7407), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7406), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7405), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7404), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7477), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7552), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5886), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6047), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6046), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6045), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6044), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6043), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6042), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6041), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6040), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6145), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6039), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6038), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6037), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6266), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6036), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6035), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6034), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6148), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6033), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6032), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6031), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6162), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6030), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6029), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6028), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6027), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6026), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6025), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6024), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6436), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6023), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6071), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6022), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6797), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6976), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6975), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6974), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6973), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6972), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6971), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6970), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6969), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6968), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6967), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6966), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6965), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6964), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6963), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6962), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6961), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6960), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6959), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6958), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6957), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6956), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6955), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6954), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6953), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6952), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6951), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6950), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6949), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6948), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6947), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6946), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6945), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6021), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6020), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6019), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6018), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6017), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6016), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6123), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6283), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6260), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6358), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6362), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6382), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6270), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6383), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6264), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6265), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6398), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6384), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6385), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6468), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6386), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6387), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6388), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6390), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6478), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6391), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6392), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6421), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6174), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6394), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6396), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6397), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7548), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7547), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7546), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7545), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7544), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7543), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7542), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7541), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7540), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7539), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7538), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7537), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7536), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7535), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7534), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7533), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7532), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7531), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7530), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7529), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7528), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7527), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7526), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7525), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7524), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7523), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7522), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7521), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7520), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7519), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7518), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7517), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5875), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6422), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6423), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6424), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6425), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6114), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6426), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6427), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6428), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6127), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6429), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6430), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6431), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6432), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6433), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6434), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6435), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6130), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6437), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6438), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6439), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6134), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6440), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6175), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6441), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6138), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6442), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6443), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6268), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6271), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6445), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6119), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6446), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6901), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7128), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7127), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7126), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7125), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7124), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7123), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7122), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7121), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7120), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7119), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7118), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7117), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7116), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7115), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7114), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7113), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7112), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7111), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7110), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7109), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7108), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7107), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7106), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7105), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7104), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7103), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7102), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7101), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7100), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7099), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7098), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7097), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6128), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6131), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6447), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6448), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6449), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6140), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6450), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6290), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6451), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6158), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6452), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6161), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6453), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6170), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6454), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6455), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6456), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6258), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6457), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6458), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6459), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6269), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6460), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6461), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6462), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6463), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6464), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6465), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6116), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6444), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6466), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6467), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7516), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7515), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7514), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7513), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7512), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7511), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7510), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7509), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7508), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7507), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7506), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7505), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7504), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7503), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7502), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7501), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7500), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7499), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7498), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7497), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7496), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7495), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7494), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7493), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7492), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7491), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7490), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7489), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7488), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7487), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7486), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7485), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5878), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6469), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6262), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6470), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6124), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6471), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6015), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6472), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6122), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6474), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6149), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6475), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6476), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6477), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6070), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6165), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6078), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6089), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6106), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6107), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6479), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6108), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6480), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6481), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6164), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6110), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6112), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6111), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6482), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6115), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6117), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6483), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6484), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6902), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7095), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7094), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7093), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7092), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7091), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7090), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7089), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7088), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7087), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7086), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7085), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7084), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7083), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7082), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7081), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7080), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7079), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7078), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7077), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7076), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7075), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7074), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7004), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7073), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7072), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7071), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7070), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7069), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7068), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7067), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7066), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7065), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6132), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6133), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6485), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6150), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6137), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6135), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6289), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6288), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6146), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6287), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6285), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6284), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6157), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6159), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6282), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6160), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6281), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6163), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6280), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6279), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6278), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6171), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6277), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6176), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6276), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6272), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6275), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6259), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6274), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6263), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6273), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6267), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7453), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7484), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7483), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7482), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7481), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7480), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7479), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7478), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7553), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7476), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7475), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7474), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7473), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7472), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7471), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7470), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7469), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7468), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7467), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7466), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7465), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7464), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7463), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7462), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7461), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7460), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7459), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7458), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7457), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7456), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7455), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7454), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_2359), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5552), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6393), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6686), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8107), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8536), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[7]));
-  sky130_fd_sc_hd__dlxtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[0] (.GATE
-       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_6660), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[2] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5572), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6656), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7340), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7567), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8106), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]));
-  sky130_fd_sc_hd__dfrtp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8426), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]));
-  sky130_fd_sc_hd__nand4_1 g430851(.A (n_6302), .B (n_8787), .C
-       (n_3117), .D (n_5646), .Y (n_8790));
-  sky130_fd_sc_hd__o2111ai_1 g430853(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .A2 (n_1074), .B1 (n_5193), .C1 (n_8786), .D1 (n_5710), .Y
-       (n_8789));
-  sky130_fd_sc_hd__nand4_1 g430854(.A (n_5710), .B (n_8787), .C
-       (n_4650), .D (n_5646), .Y (n_8788));
-  sky130_fd_sc_hd__a222oi_1 g430856(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .A2 (n_2668), .B1 (n_5649), .B2 (n_8784), .C1 (n_8782),
-       .C2 (n_5651), .Y (n_8786));
-  sky130_fd_sc_hd__a31oi_1 g430857(.A1 (n_3096), .A2 (n_1056), .A3
-       (n_2286), .B1 (n_8785), .Y (n_8787));
-  sky130_fd_sc_hd__o22ai_1 g430858(.A1 (n_8784), .A2 (n_5648), .B1
-       (n_5650), .B2 (n_8782), .Y (n_8785));
-  sky130_fd_sc_hd__o22ai_1 g430860(.A1 (u_soc_u_top_u_core_pc_set), .A2
-       (n_8781), .B1 (n_6), .B2 (n_8780), .Y (n_8783));
-  sky130_fd_sc_hd__o41ai_1 g430861(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [6]), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [7]), .A3
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [31]), .A4 (n_8772), .B1 (n_2286), .Y (n_8784));
-  sky130_fd_sc_hd__nor4_1 g431017(.A (n_13391), .B (n_13390), .C
-       (n_13415), .D (n_8769), .Y (n_8782));
-  sky130_fd_sc_hd__xor2_1 g431252(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [11]), .B (n_8766), .X (n_8781));
-  sky130_fd_sc_hd__xor2_1 g431253(.A (n_13530), .B (n_8767), .X
-       (n_8780));
-  sky130_fd_sc_hd__xor2_1 g431613(.A (n_1306), .B (n_8712), .X
-       (n_8779));
-  sky130_fd_sc_hd__nand2_1 g431614(.A (n_8770), .B (n_8339), .Y
-       (n_8778));
-  sky130_fd_sc_hd__or4_1 g431779(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [3]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [4]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [5]), .D (n_8692), .X (n_8772));
-  sky130_fd_sc_hd__nand4_1 g431780(.A (n_8675), .B (n_7622), .C
-       (n_7626), .D (n_7624), .Y (n_8771));
-  sky130_fd_sc_hd__a221oi_1 g431781(.A1 (n_8336), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [21]), .B1 (n_8331), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [5]), .C1 (n_8722), .Y (n_8770));
-  sky130_fd_sc_hd__or4_1 g431782(.A (n_13392), .B (n_13394), .C
-       (n_13393), .D (n_8693), .X (n_8769));
-  sky130_fd_sc_hd__o21ai_1 g431783(.A1 (n_6883), .A2 (n_7796), .B1
-       (n_8764), .Y (n_8768));
-  sky130_fd_sc_hd__nand2_1 g431808(.A (n_8765), .B (n_13529), .Y
-       (n_8767));
-  sky130_fd_sc_hd__nand2_1 g431809(.A (n_8765), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [10]), .Y (n_8766));
-  sky130_fd_sc_hd__nand2_1 g431815(.A (n_8742), .B (n_8664), .Y
-       (n_8777));
-  sky130_fd_sc_hd__nand2_1 g431816(.A (n_8743), .B (n_8664), .Y
-       (n_8776));
-  sky130_fd_sc_hd__nand2_1 g431817(.A (n_8738), .B (n_8664), .Y
-       (n_8775));
-  sky130_fd_sc_hd__nand2_1 g431818(.A (n_8740), .B (n_8664), .Y
-       (n_8774));
-  sky130_fd_sc_hd__nand2_1 g431819(.A (n_8741), .B (n_8664), .Y
-       (n_8773));
-  sky130_fd_sc_hd__inv_1 g431820(.A (n_8712), .Y (n_8765));
-  sky130_fd_sc_hd__a221oi_1 g431821(.A1 (n_8661), .A2 (n_7253), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[25]), .C1
-       (n_8114), .Y (n_8764));
-  sky130_fd_sc_hd__a221o_1 g431822(.A1 (n_8331), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [10]), .B1 (n_6709), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[26]), .C1 (n_8727), .X
-       (n_8763));
-  sky130_fd_sc_hd__o221ai_1 g431823(.A1 (n_3007), .A2 (n_8335), .B1
-       (n_5966), .B2 (n_8500), .C1 (n_8701), .Y (n_8762));
-  sky130_fd_sc_hd__o221ai_1 g431824(.A1 (n_5953), .A2 (n_8550), .B1
-       (n_2991), .B2 (n_8333), .C1 (n_8726), .Y (n_8761));
-  sky130_fd_sc_hd__o2111ai_1 g431825(.A1 (n_6857), .A2 (n_7386), .B1
-       (n_8450), .C1 (n_7924), .D1 (n_8674), .Y (n_8760));
-  sky130_fd_sc_hd__o2111ai_1 g431843(.A1 (n_5994), .A2 (n_5383), .B1
-       (n_2181), .C1 (n_2322), .D1 (n_8631), .Y (n_8744));
-  sky130_fd_sc_hd__a221oi_1 g431844(.A1 (n_7260), .A2 (n_1878), .B1
-       (n_7801), .B2 (n_1872), .C1 (n_8714), .Y (n_8743));
-  sky130_fd_sc_hd__a221oi_1 g431845(.A1 (n_7261), .A2 (n_1878), .B1
-       (n_7258), .B2 (n_1872), .C1 (n_8708), .Y (n_8742));
-  sky130_fd_sc_hd__a221oi_1 g431846(.A1 (n_7804), .A2 (n_1872), .B1
-       (n_7266), .B2 (n_1878), .C1 (n_8707), .Y (n_8741));
-  sky130_fd_sc_hd__a221oi_1 g431847(.A1 (n_7802), .A2 (n_1872), .B1
-       (n_7272), .B2 (n_1874), .C1 (n_8689), .Y (n_8740));
-  sky130_fd_sc_hd__o22ai_1 g431848(.A1 (n_2683), .A2 (n_16010), .B1
-       (n_175), .B2 (n_2682), .Y (n_8739));
-  sky130_fd_sc_hd__a221oi_1 g431849(.A1 (n_7262), .A2 (n_1878), .B1
-       (n_7263), .B2 (n_1872), .C1 (n_8713), .Y (n_8738));
-  sky130_fd_sc_hd__nand3_1 g431850(.A (n_8711), .B (n_8686), .C
-       (n_7435), .Y (n_8759));
-  sky130_fd_sc_hd__nand3_1 g431851(.A (n_8711), .B (n_8678), .C
-       (n_7434), .Y (n_8758));
-  sky130_fd_sc_hd__nand3_1 g431852(.A (n_8711), .B (n_8685), .C
-       (n_8136), .Y (n_8757));
-  sky130_fd_sc_hd__nand4_1 g431853(.A (n_8621), .B (n_7448), .C
-       (n_2403), .D (n_8153), .Y (n_8756));
-  sky130_fd_sc_hd__nand3_1 g431854(.A (n_8711), .B (n_8691), .C
-       (n_7442), .Y (n_8755));
-  sky130_fd_sc_hd__nand4_1 g431855(.A (n_8619), .B (n_7447), .C
-       (n_2343), .D (n_8053), .Y (n_8754));
-  sky130_fd_sc_hd__nand4_1 g431856(.A (n_8618), .B (n_7446), .C
-       (n_2366), .D (n_8148), .Y (n_8753));
-  sky130_fd_sc_hd__nand4_1 g431857(.A (n_8617), .B (n_8055), .C
-       (n_2404), .D (n_8146), .Y (n_8752));
-  sky130_fd_sc_hd__nand4_1 g431858(.A (n_8620), .B (n_8052), .C
-       (n_2350), .D (n_8151), .Y (n_8751));
-  sky130_fd_sc_hd__nand3_1 g431859(.A (n_8711), .B (n_8690), .C
-       (n_7441), .Y (n_8750));
-  sky130_fd_sc_hd__nand3_1 g431860(.A (n_8711), .B (n_8709), .C
-       (n_7440), .Y (n_8749));
-  sky130_fd_sc_hd__nand3_1 g431861(.A (n_8711), .B (n_8680), .C
-       (n_7439), .Y (n_8748));
-  sky130_fd_sc_hd__nand3_1 g431862(.A (n_8711), .B (n_8688), .C
-       (n_7438), .Y (n_8747));
-  sky130_fd_sc_hd__nand3_1 g431863(.A (n_8711), .B (n_8679), .C
-       (n_7436), .Y (n_8746));
-  sky130_fd_sc_hd__nand3_1 g431864(.A (n_8711), .B (n_8687), .C
-       (n_8137), .Y (n_8745));
-  sky130_fd_sc_hd__o2bb2ai_1 g431916(.A1_N
-       (u_soc_u_top_u_core_csr_depc[3]), .A2_N (n_1999), .B1 (n_8629),
-       .B2 (n_1999), .Y (n_8729));
-  sky130_fd_sc_hd__a221o_1 g431917(.A1 (n_8660), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .B1
-       (n_2421), .B2 (n_13574), .C1 (n_8669), .X (n_8728));
-  sky130_fd_sc_hd__o2111ai_1 g431918(.A1 (n_5830), .A2 (n_8333), .B1
-       (n_8450), .C1 (n_8435), .D1 (n_8597), .Y (n_8727));
-  sky130_fd_sc_hd__a221oi_1 g431919(.A1 (n_8334), .A2 (n_3005), .B1
-       (n_7628), .B2 (n_7915), .C1 (n_8671), .Y (n_8726));
-  sky130_fd_sc_hd__a221o_1 g431920(.A1 (n_8614), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .B1
-       (n_2421), .B2 (n_13573), .C1 (n_8616), .X (n_8725));
-  sky130_fd_sc_hd__o221ai_1 g431921(.A1 (n_5852), .A2 (n_8335), .B1
-       (n_5836), .B2 (n_8333), .C1 (n_8673), .Y (n_8724));
-  sky130_fd_sc_hd__a221o_1 g431922(.A1 (n_5993), .A2 (n_16012), .B1
-       (n_5700), .B2 (n_13576), .C1 (n_8700), .X (n_8723));
-  sky130_fd_sc_hd__o211ai_1 g431923(.A1 (n_3011), .A2 (n_8335), .B1
-       (n_6891), .C1 (n_8697), .Y (n_8722));
-  sky130_fd_sc_hd__nand4_1 g431924(.A (n_8569), .B (n_7622), .C
-       (n_7982), .D (n_7998), .Y (n_8721));
-  sky130_fd_sc_hd__nand4_1 g431925(.A (n_8587), .B (n_7809), .C
-       (n_7624), .D (n_7977), .Y (n_8720));
-  sky130_fd_sc_hd__nand4_1 g431926(.A (n_8564), .B (n_5583), .C
-       (n_6942), .D (n_5765), .Y (n_8719));
-  sky130_fd_sc_hd__o21a_1 g431927(.A1 (n_1453), .A2 (n_8555), .B1
-       (n_8712), .X (n_8718));
-  sky130_fd_sc_hd__o2111ai_1 g431928(.A1 (n_3001), .A2 (n_8335), .B1
-       (n_8449), .C1 (n_8460), .D1 (n_8582), .Y (n_8717));
-  sky130_fd_sc_hd__o2111ai_1 g431929(.A1 (n_5826), .A2 (n_8333), .B1
-       (n_8339), .C1 (n_8458), .D1 (n_8580), .Y (n_8716));
-  sky130_fd_sc_hd__nand3_1 g431959(.A (n_8711), .B (n_8251), .C
-       (n_7437), .Y (n_8737));
-  sky130_fd_sc_hd__nand3_1 g431960(.A (n_8711), .B (n_8402), .C
-       (n_7433), .Y (n_8736));
-  sky130_fd_sc_hd__nand4_1 g431961(.A (n_8610), .B (n_8039), .C
-       (n_2351), .D (n_8154), .Y (n_8735));
-  sky130_fd_sc_hd__nand3_1 g431962(.A (n_8711), .B (n_8639), .C
-       (n_8138), .Y (n_8734));
-  sky130_fd_sc_hd__nand3_1 g431963(.A (n_8711), .B (n_8530), .C
-       (n_8059), .Y (n_8733));
-  sky130_fd_sc_hd__nand3_1 g431964(.A (n_8711), .B (n_8640), .C
-       (n_7443), .Y (n_8732));
-  sky130_fd_sc_hd__nand2_1 g431965(.A (n_8711), .B (n_8578), .Y
-       (n_8731));
-  sky130_fd_sc_hd__nand3_1 g431966(.A (n_8664), .B (n_8632), .C
-       (n_2344), .Y (n_8730));
-  sky130_fd_sc_hd__nand2_1 g431967(.A (n_8644), .B (n_8141), .Y
-       (n_8714));
-  sky130_fd_sc_hd__nand2_1 g431968(.A (n_8645), .B (n_8142), .Y
-       (n_8713));
-  sky130_fd_sc_hd__nand2_1 g432006(.A (n_8664), .B (n_8576), .Y
-       (n_8715));
-  sky130_fd_sc_hd__a222oi_1 g432007(.A1 (n_2281), .A2 (n_7802), .B1
-       (n_8548), .B2 (n_1852), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .C2 (n_8), .Y
-       (n_8709));
-  sky130_fd_sc_hd__nand2_1 g432008(.A (n_8642), .B (n_8140), .Y
-       (n_8708));
-  sky130_fd_sc_hd__nand2_1 g432009(.A (n_8641), .B (n_8139), .Y
-       (n_8707));
-  sky130_fd_sc_hd__o2111ai_1 g432010(.A1 (n_5873), .A2 (n_5383), .B1
-       (n_2182), .C1 (n_2328), .D1 (n_8523), .Y (n_8706));
-  sky130_fd_sc_hd__o2111ai_1 g432011(.A1 (n_5867), .A2 (n_5383), .B1
-       (n_2194), .C1 (n_2330), .D1 (n_8524), .Y (n_8705));
-  sky130_fd_sc_hd__nand2_1 g432012(.A (n_8653), .B (n_7620), .Y
-       (n_8704));
-  sky130_fd_sc_hd__nand3_1 g432013(.A (n_8592), .B (n_7387), .C
-       (n_7618), .Y (n_8703));
-  sky130_fd_sc_hd__nand3_1 g432014(.A (n_8567), .B (n_8461), .C
-       (n_8449), .Y (n_8702));
-  sky130_fd_sc_hd__and3_1 g432015(.A (n_8568), .B (n_8459), .C
-       (n_8339), .X (n_8701));
-  sky130_fd_sc_hd__o21ai_1 g432016(.A1 (n_1659), .A2 (n_6503), .B1
-       (n_8630), .Y (n_8700));
-  sky130_fd_sc_hd__nand4_1 g432017(.A (n_8299), .B (n_8527), .C
-       (n_6892), .D (n_7620), .Y (n_8699));
-  sky130_fd_sc_hd__o2bb2ai_1 g432018(.A1_N
-       (u_soc_u_top_u_core_csr_depc[11]), .A2_N (n_1999), .B1 (n_8563),
-       .B2 (n_1999), .Y (n_8698));
-  sky130_fd_sc_hd__a21oi_1 g432019(.A1 (n_8332), .A2 (n_2993), .B1
-       (n_8655), .Y (n_8697));
-  sky130_fd_sc_hd__a221o_1 g432020(.A1 (n_1745), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .B1 (n_2419), .B2
-       (n_6649), .C1 (n_8557), .X (n_8696));
-  sky130_fd_sc_hd__o211ai_1 g432021(.A1 (n_6298), .A2 (n_3080), .B1
-       (n_2185), .C1 (n_8561), .Y (n_8695));
-  sky130_fd_sc_hd__o211ai_1 g432022(.A1 (n_6299), .A2 (n_3080), .B1
-       (n_2184), .C1 (n_8556), .Y (n_8694));
-  sky130_fd_sc_hd__or4_1 g432023(.A (n_13396), .B (n_13395), .C
-       (n_13397), .D (n_8503), .X (n_8693));
-  sky130_fd_sc_hd__or4_1 g432024(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [8]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [9]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [10]), .D (n_8502), .X (n_8692));
-  sky130_fd_sc_hd__a222oi_1 g432025(.A1 (n_2281), .A2 (n_7263), .B1
-       (n_8546), .B2 (n_1852), .C1 (n_8), .C2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .Y (n_8691));
-  sky130_fd_sc_hd__a222oi_1 g432026(.A1 (n_2281), .A2 (n_7801), .B1
-       (n_8547), .B2 (n_1852), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .C2 (n_8), .Y
-       (n_8690));
-  sky130_fd_sc_hd__nand2_1 g432027(.A (n_8643), .B (n_7444), .Y
-       (n_8689));
-  sky130_fd_sc_hd__a222oi_1 g432028(.A1 (n_2281), .A2 (n_7804), .B1
-       (n_8545), .B2 (n_1852), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .C2 (n_8), .Y
-       (n_8688));
-  sky130_fd_sc_hd__a222oi_1 g432029(.A1 (n_1852), .A2 (n_7801), .B1
-       (n_8547), .B2 (n_1849), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .C2 (n_8), .Y
-       (n_8687));
-  sky130_fd_sc_hd__a222oi_1 g432030(.A1 (n_1852), .A2 (n_7802), .B1
-       (n_8548), .B2 (n_1849), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .C2 (n_8), .Y
-       (n_8686));
-  sky130_fd_sc_hd__a222oi_1 g432031(.A1 (n_1852), .A2 (n_7804), .B1
-       (n_8545), .B2 (n_1849), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .C2 (n_8), .Y
-       (n_8685));
-  sky130_fd_sc_hd__a221o_1 g432032(.A1 (n_8553), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B1
-       (n_2421), .B2 (n_13572), .C1 (n_8551), .X (n_8684));
-  sky130_fd_sc_hd__a221o_1 g432034(.A1 (n_3075), .A2 (n_13680), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]), .C1
-       (n_8599), .X (n_8682));
-  sky130_fd_sc_hd__a221o_1 g432035(.A1 (n_3074), .A2 (n_13615), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]), .C1
-       (n_8598), .X (n_8681));
-  sky130_fd_sc_hd__a222oi_1 g432036(.A1 (n_1869), .A2 (n_7261), .B1
-       (n_8549), .B2 (n_1852), .C1 (n_1849), .C2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .Y (n_8680));
-  sky130_fd_sc_hd__a222oi_1 g432037(.A1 (n_1869), .A2 (n_7975), .B1
-       (n_8546), .B2 (n_1849), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .C2 (n_8), .Y
-       (n_8679));
-  sky130_fd_sc_hd__a222oi_1 g432038(.A1 (n_1869), .A2 (n_7978), .B1
-       (n_8549), .B2 (n_1849), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .C2 (n_8), .Y
-       (n_8678));
-  sky130_fd_sc_hd__nand3_1 g432039(.A (n_8591), .B (n_8449), .C
-       (n_7977), .Y (n_8677));
-  sky130_fd_sc_hd__o21ai_1 g432040(.A1
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .A2 (n_8584),
-       .B1 (n_8672), .Y (n_8676));
-  sky130_fd_sc_hd__a221oi_1 g432041(.A1 (n_8331), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [0]), .B1 (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[16]),
-       .C1 (n_8658), .Y (n_8675));
-  sky130_fd_sc_hd__a221oi_1 g432042(.A1 (n_7972), .A2 (n_5965), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[28]), .C1
-       (n_8583), .Y (n_8674));
-  sky130_fd_sc_hd__a21oi_1 g432043(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[29]), .B1 (n_8670), .Y
-       (n_8673));
-  sky130_fd_sc_hd__nand2_1 g432044(.A (n_8555), .B (n_1453), .Y
-       (n_8712));
-  sky130_fd_sc_hd__o21a_1 g432045(.A1 (n_1280), .A2 (n_8606), .B1
-       (n_8664), .X (n_8711));
-  sky130_fd_sc_hd__nand2_1 g432046(.A (n_8664), .B (n_8566), .Y
-       (n_8710));
-  sky130_fd_sc_hd__o21ai_1 g432047(.A1 (n_8392), .A2 (n_8522), .B1
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .Y (n_8672));
-  sky130_fd_sc_hd__nand2_1 g432048(.A (n_8581), .B (n_8449), .Y
-       (n_8671));
-  sky130_fd_sc_hd__nand2_1 g432049(.A (n_8577), .B (n_8289), .Y
-       (n_8670));
-  sky130_fd_sc_hd__nor2b_1 g432050(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .B_N
-       (n_8616), .Y (n_8669));
-  sky130_fd_sc_hd__inv_1 g432095(.A (n_8638), .Y (n_8668));
-  sky130_fd_sc_hd__inv_1 g432096(.A (n_8637), .Y (n_8667));
-  sky130_fd_sc_hd__inv_1 g432097(.A (n_8636), .Y (n_8666));
-  sky130_fd_sc_hd__inv_1 g432098(.A (n_8635), .Y (n_8665));
-  sky130_fd_sc_hd__o2111ai_1 g432099(.A1 (n_6871), .A2 (n_7921), .B1
-       (n_8450), .C1 (n_7709), .D1 (n_8513), .Y (n_8663));
-  sky130_fd_sc_hd__nor2_1 g432100(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_8562), .Y
-       (n_8662));
-  sky130_fd_sc_hd__o211ai_1 g432101(.A1 (n_6883), .A2 (n_7808), .B1
-       (n_7917), .C1 (n_8534), .Y (n_8661));
-  sky130_fd_sc_hd__a21o_1 g432102(.A1 (n_1750), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .B1
-       (n_8614), .X (n_8660));
-  sky130_fd_sc_hd__nand4_1 g432103(.A (n_8163), .B (n_15908), .C
-       (n_7810), .D (n_8398), .Y (n_8659));
-  sky130_fd_sc_hd__o221ai_1 g432104(.A1 (n_6871), .A2 (n_8453), .B1
-       (n_7925), .B2 (n_7625), .C1 (n_8462), .Y (n_8658));
-  sky130_fd_sc_hd__a21o_1 g432105(.A1 (n_1406), .A2
-       (u_soc_u_top_u_core_exc_cause[3]), .B1 (n_8615), .X (n_8657));
-  sky130_fd_sc_hd__o2bb2ai_1 g432106(.A1_N
-       (u_soc_u_top_u_core_instr_rdata_id[11]), .A2_N (n_6709), .B1
-       (n_6865), .B2 (n_8525), .Y (n_8656));
-  sky130_fd_sc_hd__a21oi_1 g432107(.A1 (n_8550), .A2 (n_7382), .B1
-       (n_5964), .Y (n_8655));
-  sky130_fd_sc_hd__nand4_1 g432108(.A (n_8407), .B (n_7622), .C
-       (n_7273), .D (n_7875), .Y (n_8654));
-  sky130_fd_sc_hd__nor4_1 g432109(.A (n_7641), .B (n_8370), .C
-       (n_7966), .D (n_7630), .Y (n_8653));
-  sky130_fd_sc_hd__o2111ai_1 g432110(.A1 (n_5711), .A2 (n_5383), .B1
-       (n_2193), .C1 (n_5121), .D1 (n_8490), .Y (n_8652));
-  sky130_fd_sc_hd__nand3_1 g432111(.A (n_8510), .B (n_5767), .C
-       (n_5122), .Y (n_8651));
-  sky130_fd_sc_hd__a221o_1 g432113(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[3]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[3]), .C1 (n_8615), .X (n_8649));
-  sky130_fd_sc_hd__a221o_1 g432114(.A1 (n_2683), .A2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_priv_mode_id[0]), .C1
-       (n_8613), .X (n_8648));
-  sky130_fd_sc_hd__a221o_1 g432115(.A1 (n_2683), .A2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_priv_mode_id[1]), .C1
-       (n_8613), .X (n_8647));
-  sky130_fd_sc_hd__o2111ai_1 g432116(.A1 (n_5868), .A2 (n_5383), .B1
-       (n_2188), .C1 (n_2318), .D1 (n_8410), .Y (n_8646));
-  sky130_fd_sc_hd__a222oi_1 g432117(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]), .A2
-       (n_1849), .B1 (n_8), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .C1 (n_8546), .C2
-       (n_1753), .Y (n_8645));
-  sky130_fd_sc_hd__a222oi_1 g432118(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .A2
-       (n_1852), .B1 (n_8), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .C1 (n_8547), .C2
-       (n_1753), .Y (n_8644));
-  sky130_fd_sc_hd__a222oi_1 g432119(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .A2
-       (n_1852), .B1 (n_8), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .C1 (n_8548), .C2
-       (n_1753), .Y (n_8643));
-  sky130_fd_sc_hd__a222oi_1 g432120(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .A2
-       (n_1852), .B1 (n_8), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .C1 (n_8549), .C2
-       (n_1753), .Y (n_8642));
-  sky130_fd_sc_hd__a222oi_1 g432121(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .A2
-       (n_1852), .B1 (n_8), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .C1 (n_8545), .C2
-       (n_1753), .Y (n_8641));
-  sky130_fd_sc_hd__a222oi_1 g432122(.A1 (n_2281), .A2 (n_7800), .B1
-       (n_8448), .B2 (n_1852), .C1 (n_8), .C2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .Y (n_8640));
-  sky130_fd_sc_hd__a222oi_1 g432123(.A1 (n_1852), .A2 (n_7800), .B1
-       (n_8448), .B2 (n_1849), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .C2 (n_8), .Y
-       (n_8639));
-  sky130_fd_sc_hd__a222oi_1 g432124(.A1 (n_13569), .A2 (n_2421), .B1
-       (n_8400), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .C1
-       (n_8126), .C2 (n_1907), .Y (n_8638));
-  sky130_fd_sc_hd__a222oi_1 g432125(.A1 (n_13570), .A2 (n_2421), .B1
-       (n_8399), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .C1
-       (n_8126), .C2 (n_2297), .Y (n_8637));
-  sky130_fd_sc_hd__a222oi_1 g432126(.A1 (n_13568), .A2 (n_2421), .B1
-       (n_8415), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .C1
-       (n_8126), .C2 (n_1550), .Y (n_8636));
-  sky130_fd_sc_hd__a222oi_1 g432127(.A1 (n_13567), .A2 (n_2421), .B1
-       (n_8414), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .C1
-       (n_8126), .C2 (n_1279), .Y (n_8635));
-  sky130_fd_sc_hd__a221o_1 g432128(.A1 (n_3075), .A2 (n_13688), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]), .C1
-       (n_8538), .X (n_8634));
-  sky130_fd_sc_hd__a221o_1 g432129(.A1 (n_3074), .A2 (n_13623), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]), .C1
-       (n_8537), .X (n_8633));
-  sky130_fd_sc_hd__a21oi_1 g432130(.A1 (n_7974), .A2 (n_1874), .B1
-       (n_8590), .Y (n_8632));
-  sky130_fd_sc_hd__a21oi_1 g432131(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_8579), .Y
-       (n_8631));
-  sky130_fd_sc_hd__a21oi_1 g432132(.A1 (n_5991), .A2 (n_1952), .B1
-       (n_8585), .Y (n_8630));
-  sky130_fd_sc_hd__a222oi_1 g432133(.A1 (u_soc_u_top_u_core_pc_id[3]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[3]),
-       .C1 (n_8543), .C2 (n_1213), .Y (n_8629));
-  sky130_fd_sc_hd__o21ai_1 g432134(.A1 (n_8544), .A2 (n_2674), .B1
-       (n_5520), .Y (n_8628));
-  sky130_fd_sc_hd__o21ai_1 g432135(.A1 (n_8544), .A2 (n_2680), .B1
-       (n_5488), .Y (n_8627));
-  sky130_fd_sc_hd__a221o_1 g432136(.A1 (n_2421), .A2 (n_13571), .B1
-       (n_8338), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .C1
-       (n_8541), .X (n_8626));
-  sky130_fd_sc_hd__a221o_1 g432137(.A1 (n_1853), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]), .B1
-       (n_1212), .B2 (u_soc_u_top_u_core_priv_mode_id[1]), .C1
-       (n_8552), .X (n_8625));
-  sky130_fd_sc_hd__o2bb2ai_1 g432138(.A1_N
-       (u_soc_u_top_u_core_csr_depc[17]), .A2_N (n_1999), .B1 (n_8482),
-       .B2 (n_1999), .Y (n_8624));
-  sky130_fd_sc_hd__o2bb2ai_1 g432139(.A1_N
-       (u_soc_u_top_u_core_csr_depc[21]), .A2_N (n_1999), .B1 (n_8481),
-       .B2 (n_1999), .Y (n_8623));
-  sky130_fd_sc_hd__a22o_1 g432140(.A1 (n_8543), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[3]), .X (n_8622));
-  sky130_fd_sc_hd__a22oi_1 g432141(.A1 (n_8546), .A2 (n_1419), .B1
-       (n_1849), .B2 (u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
-       .Y (n_8621));
-  sky130_fd_sc_hd__a22oi_1 g432142(.A1 (n_8547), .A2 (n_1419), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]), .Y (n_8620));
-  sky130_fd_sc_hd__a22oi_1 g432143(.A1 (n_8548), .A2 (n_1419), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]), .Y (n_8619));
-  sky130_fd_sc_hd__a22oi_1 g432144(.A1 (n_8549), .A2 (n_1419), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]), .Y (n_8618));
-  sky130_fd_sc_hd__a22oi_1 g432145(.A1 (n_8545), .A2 (n_1419), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]), .Y (n_8617));
-  sky130_fd_sc_hd__nand3_1 g432146(.A (n_8501), .B
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .C
-       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q), .Y
-       (n_8664));
-  sky130_fd_sc_hd__a22oi_1 g432212(.A1 (n_8448), .A2 (n_1419), .B1
-       (n_1849), .B2 (u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
-       .Y (n_8610));
-  sky130_fd_sc_hd__a22o_1 g432213(.A1 (n_8446), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[11]), .X (n_8609));
-  sky130_fd_sc_hd__o2bb2ai_1 g432214(.A1_N
-       (u_soc_u_top_u_core_csr_depc[30]), .A2_N (n_1999), .B1 (n_8384),
-       .B2 (n_1999), .Y (n_8608));
-  sky130_fd_sc_hd__o2bb2ai_1 g432215(.A1_N
-       (u_soc_u_top_u_core_csr_depc[29]), .A2_N (n_1999), .B1 (n_8385),
-       .B2 (n_1999), .Y (n_8607));
-  sky130_fd_sc_hd__nand2_1 g432216(.A (n_8520), .B
-       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q), .Y
-       (n_8606));
-  sky130_fd_sc_hd__o2bb2ai_1 g432217(.A1_N
-       (u_soc_u_top_u_core_csr_depc[27]), .A2_N (n_1999), .B1 (n_8386),
-       .B2 (n_1999), .Y (n_8605));
-  sky130_fd_sc_hd__nand2_1 g432218(.A (n_8512), .B (n_8289), .Y
-       (n_8604));
-  sky130_fd_sc_hd__nand4_1 g432219(.A (n_8156), .B (n_5603), .C
-       (n_2312), .D (n_6941), .Y (n_8603));
-  sky130_fd_sc_hd__nor2_1 g432220(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_8531), .Y
-       (n_8602));
-  sky130_fd_sc_hd__a2111o_1 g432221(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[6]), .B1 (n_7628), .C1
-       (n_8323), .D1 (n_7630), .X (n_8601));
-  sky130_fd_sc_hd__o2bb2ai_1 g432222(.A1_N
-       (u_soc_u_top_u_core_csr_depc[20]), .A2_N (n_1999), .B1 (n_8387),
-       .B2 (n_1999), .Y (n_8600));
-  sky130_fd_sc_hd__nor2_1 g432223(.A (n_8544), .B (n_2676), .Y
-       (n_8599));
-  sky130_fd_sc_hd__nor2_1 g432224(.A (n_8544), .B (n_2678), .Y
-       (n_8598));
-  sky130_fd_sc_hd__nor3_1 g432225(.A (n_8246), .B (n_8296), .C
-       (n_8465), .Y (n_8597));
-  sky130_fd_sc_hd__o211ai_1 g432226(.A1 (n_6881), .A2 (n_7796), .B1
-       (n_8359), .C1 (n_7622), .Y (n_8596));
-  sky130_fd_sc_hd__nand4_1 g432227(.A (n_8157), .B (n_5602), .C
-       (n_2313), .D (n_6943), .Y (n_8595));
-  sky130_fd_sc_hd__nand4_1 g432228(.A (n_8159), .B (n_5600), .C
-       (n_2315), .D (n_7051), .Y (n_8594));
-  sky130_fd_sc_hd__a21oi_1 g432229(.A1 (n_8129), .A2 (n_1466), .B1
-       (n_8555), .Y (n_8593));
-  sky130_fd_sc_hd__a21oi_1 g432230(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[10]), .B1 (n_8499), .Y
-       (n_8592));
-  sky130_fd_sc_hd__a211oi_1 g432231(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[18]), .B1 (n_7914), .C1
-       (n_8354), .Y (n_8591));
-  sky130_fd_sc_hd__nand2_1 g432232(.A (n_8554), .B (n_8273), .Y
-       (n_8590));
-  sky130_fd_sc_hd__o2bb2ai_1 g432233(.A1_N
-       (u_soc_u_top_u_core_csr_depc[8]), .A2_N (n_1999), .B1 (n_8383),
-       .B2 (n_1999), .Y (n_8589));
-  sky130_fd_sc_hd__a221o_1 g432234(.A1 (n_1853), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .B1
-       (n_1212), .B2 (u_soc_u_top_u_core_priv_mode_id[0]), .C1
-       (n_8552), .X (n_8588));
-  sky130_fd_sc_hd__o2111a_1 g432235(.A1 (n_5966), .A2 (n_7970), .B1
-       (n_6888), .C1 (n_8229), .D1 (n_7810), .X (n_8587));
-  sky130_fd_sc_hd__o211ai_1 g432236(.A1 (n_5953), .A2 (n_7387), .B1
-       (n_6890), .C1 (n_8519), .Y (n_8586));
-  sky130_fd_sc_hd__nand4_1 g432237(.A (n_8397), .B (n_6836), .C
-       (n_5652), .D (n_6904), .Y (n_8585));
-  sky130_fd_sc_hd__a311oi_1 g432238(.A1 (n_8132), .A2 (n_7393), .A3
-       (n_6501), .B1 (n_7708), .C1 (n_7904), .Y (n_8584));
-  sky130_fd_sc_hd__a221o_1 g432239(.A1 (n_8336), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [28]), .B1 (n_8331), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [12]), .C1 (n_8464), .X (n_8583));
-  sky130_fd_sc_hd__a222oi_1 g432240(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [3]), .A2 (n_8331), .B1 (n_6709), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .C1 (n_8336), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [19]), .Y (n_8582));
-  sky130_fd_sc_hd__a222oi_1 g432241(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [4]), .A2 (n_8331), .B1 (n_8336), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [20]), .C1 (n_6709), .C2
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .Y (n_8581));
-  sky130_fd_sc_hd__a221oi_1 g432242(.A1 (n_8334), .A2 (n_5842), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[24]), .C1
-       (n_8535), .Y (n_8580));
-  sky130_fd_sc_hd__o2111ai_1 g432243(.A1 (n_6793), .A2 (n_2420), .B1
-       (n_5129), .C1 (n_8134), .D1 (n_5565), .Y (n_8579));
-  sky130_fd_sc_hd__a221oi_1 g432244(.A1 (n_7797), .A2 (n_1852), .B1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .B2 (n_8), .C1
-       (n_8469), .Y (n_8578));
-  sky130_fd_sc_hd__a222oi_1 g432245(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [13]), .A2 (n_8331), .B1 (n_7812), .B2 (n_6866), .C1 (n_8336),
-       .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [29]), .Y (n_8577));
-  sky130_fd_sc_hd__a221oi_1 g432246(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]), .C1
-       (n_8470), .Y (n_8576));
-  sky130_fd_sc_hd__a221o_1 g432247(.A1 (n_3075), .A2 (n_13694), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]), .C1
-       (n_8422), .X (n_8575));
-  sky130_fd_sc_hd__a221o_1 g432248(.A1 (n_3075), .A2 (n_13698), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]), .C1
-       (n_8421), .X (n_8574));
-  sky130_fd_sc_hd__a221o_1 g432249(.A1 (n_3074), .A2 (n_13629), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]), .C1
-       (n_8420), .X (n_8573));
-  sky130_fd_sc_hd__a221o_1 g432250(.A1 (n_3074), .A2 (n_13633), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]), .C1
-       (n_8419), .X (n_8572));
-  sky130_fd_sc_hd__a221o_1 g432251(.A1 (n_3075), .A2 (n_13679), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]), .C1
-       (n_8428), .X (n_8571));
-  sky130_fd_sc_hd__a221o_1 g432252(.A1 (n_3074), .A2 (n_13614), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]), .C1
-       (n_8427), .X (n_8570));
-  sky130_fd_sc_hd__a21oi_1 g432253(.A1 (n_7966), .A2 (n_5954), .B1
-       (n_8518), .Y (n_8569));
-  sky130_fd_sc_hd__a222oi_1 g432254(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [6]), .A2 (n_8331), .B1 (n_7388), .B2 (n_5946), .C1 (n_8336),
-       .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [22]), .Y (n_8568));
-  sky130_fd_sc_hd__a222oi_1 g432255(.A1 (\u_soc_iccm_to_xbar[d_data]
-       [1]), .A2 (n_8332), .B1 (n_8331), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [1]), .C1 (n_8452), .C2 (n_6873), .Y (n_8567));
-  sky130_fd_sc_hd__a221oi_1 g432256(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]), .C1
-       (n_8471), .Y (n_8566));
-  sky130_fd_sc_hd__o2bb2ai_1 g432257(.A1_N
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ), .A2_N
-       (n_1415), .B1 (n_8447), .B2 (n_1415), .Y (n_8565));
-  sky130_fd_sc_hd__a31oi_1 g432258(.A1 (n_2984), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .A3 (n_13498), .B1
-       (n_8528), .Y (n_8564));
-  sky130_fd_sc_hd__a222oi_1 g432259(.A1 (u_soc_u_top_u_core_pc_id[11]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[11]),
-       .C1 (n_8446), .C2 (n_1213), .Y (n_8563));
-  sky130_fd_sc_hd__a2bb2oi_1 g432260(.A1_N
-       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .A2_N (n_8127),
-       .B1 (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .B2 (n_8127),
-       .Y (n_8562));
-  sky130_fd_sc_hd__a221oi_1 g432261(.A1 (n_2419), .A2 (n_6645), .B1
-       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [20]), .C1
-       (n_8429), .Y (n_8561));
-  sky130_fd_sc_hd__o21ai_1 g432262(.A1 (n_8447), .A2 (n_2674), .B1
-       (n_5511), .Y (n_8560));
-  sky130_fd_sc_hd__o21ai_1 g432263(.A1 (n_8447), .A2 (n_2680), .B1
-       (n_5479), .Y (n_8559));
-  sky130_fd_sc_hd__o21ai_0 g432264(.A1 (n_1848), .A2 (n_8447), .B1
-       (n_2338), .Y (n_8558));
-  sky130_fd_sc_hd__o2111ai_1 g432265(.A1 (n_6317), .A2 (n_3080), .B1
-       (n_2210), .C1 (n_5591), .D1 (n_8215), .Y (n_8557));
-  sky130_fd_sc_hd__a221oi_1 g432266(.A1 (n_2419), .A2 (n_6646), .B1
-       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [19]), .C1
-       (n_8434), .Y (n_8556));
-  sky130_fd_sc_hd__nor2b_1 g432267(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .B_N
-       (n_8551), .Y (n_8616));
-  sky130_fd_sc_hd__nor2_1 g432268(.A (n_1848), .B (n_8544), .Y
-       (n_8615));
-  sky130_fd_sc_hd__a21o_1 g432269(.A1 (n_1750), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B1
-       (n_8553), .X (n_8614));
-  sky130_fd_sc_hd__o21a_1 g432270(.A1 (n_7908), .A2 (n_8446), .B1
-       (n_5857), .X (n_8613));
-  sky130_fd_sc_hd__nand4_1 g432275(.A (n_8057), .B (n_8058), .C
-       (n_2368), .D (n_8143), .Y (n_8612));
-  sky130_fd_sc_hd__nand4_1 g432276(.A (n_8056), .B (n_7445), .C
-       (n_2361), .D (n_8144), .Y (n_8611));
-  sky130_fd_sc_hd__nand2_1 g432277(.A (n_8448), .B (n_1753), .Y
-       (n_8554));
-  sky130_fd_sc_hd__nor2_1 g432372(.A (n_1466), .B (n_8129), .Y
-       (n_8555));
-  sky130_fd_sc_hd__inv_2 g432375(.A (n_8544), .Y (n_8543));
-  sky130_fd_sc_hd__o2111ai_1 g432376(.A1 (n_5872), .A2 (n_5383), .B1
-       (n_2192), .C1 (n_2327), .D1 (n_8116), .Y (n_8542));
-  sky130_fd_sc_hd__a21oi_1 g432377(.A1 (n_8341), .A2 (n_2619), .B1
-       (n_1751), .Y (n_8541));
-  sky130_fd_sc_hd__o2111ai_1 g432378(.A1 (n_6863), .A2 (n_7273), .B1
-       (n_7560), .C1 (n_15908), .D1 (n_8209), .Y (n_8540));
-  sky130_fd_sc_hd__nor2_1 g432379(.A (n_8447), .B (n_2254), .Y
-       (n_8539));
-  sky130_fd_sc_hd__nor2_1 g432380(.A (n_8447), .B (n_2676), .Y
-       (n_8538));
-  sky130_fd_sc_hd__nor2_1 g432381(.A (n_8447), .B (n_2678), .Y
-       (n_8537));
-  sky130_fd_sc_hd__nor2_1 g432382(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_8275), .Y
-       (n_8536));
-  sky130_fd_sc_hd__o22ai_1 g432383(.A1 (n_5947), .A2 (n_8302), .B1
-       (n_6865), .B2 (n_7571), .Y (n_8535));
-  sky130_fd_sc_hd__o32ai_1 g432384(.A1 (n_7271), .A2 (n_7276), .A3
-       (n_8054), .B1 (n_5952), .B2 (n_8086), .Y (n_8534));
-  sky130_fd_sc_hd__a221oi_1 g432385(.A1 (n_8124), .A2 (n_5952), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[2]), .C1
-       (n_8101), .Y (n_8533));
-  sky130_fd_sc_hd__o2111ai_1 g432386(.A1 (n_5858), .A2 (n_5383), .B1
-       (n_2191), .C1 (n_2323), .D1 (n_8117), .Y (n_8532));
-  sky130_fd_sc_hd__xnor2_1 g432387(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B (n_7980), .Y
-       (n_8531));
-  sky130_fd_sc_hd__a32oi_1 g432388(.A1 (n_8089), .A2 (n_1241), .A3
-       (n_1253), .B1 (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .Y (n_8530));
-  sky130_fd_sc_hd__a311o_1 g432389(.A1 (n_2285), .A2 (n_1405), .A3
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .B1
-       (u_soc_u_top_u_core_csr_restore_mret_id), .C1 (n_8231), .X
-       (n_8529));
-  sky130_fd_sc_hd__o21ai_1 g432390(.A1 (n_1182), .A2 (n_5364), .B1
-       (n_8438), .Y (n_8528));
-  sky130_fd_sc_hd__o31ai_1 g432391(.A1 (n_7649), .A2 (n_8086), .A3
-       (n_8062), .B1 (n_7253), .Y (n_8527));
-  sky130_fd_sc_hd__a221o_1 g432392(.A1 (n_8109), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .B1
-       (n_2421), .B2 (n_13565), .C1 (n_8126), .X (n_8526));
-  sky130_fd_sc_hd__and3_1 g432393(.A (n_15910), .B (n_7982), .C
-       (n_7331), .X (n_8525));
-  sky130_fd_sc_hd__a21oi_1 g432394(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [8]), .B1 (n_8406), .Y (n_8524));
-  sky130_fd_sc_hd__a21oi_1 g432395(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [13]), .B1 (n_8405), .Y
-       (n_8523));
-  sky130_fd_sc_hd__a21oi_1 g432396(.A1 (n_8212), .A2 (n_6863), .B1
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .Y (n_8522));
-  sky130_fd_sc_hd__o211ai_1 g432397(.A1 (n_5964), .A2 (n_8123), .B1
-       (n_6887), .C1 (n_7382), .Y (n_8521));
-  sky130_fd_sc_hd__o22ai_1 g432398(.A1 (n_1252), .A2 (n_8288), .B1
-       (n_1249), .B2 (n_8088), .Y (n_8520));
-  sky130_fd_sc_hd__a21oi_1 g432399(.A1 (n_8060), .A2 (n_6856), .B1
-       (n_8353), .Y (n_8519));
-  sky130_fd_sc_hd__a21oi_1 g432400(.A1 (n_8343), .A2 (n_7618), .B1
-       (n_5974), .Y (n_8518));
-  sky130_fd_sc_hd__nand3_1 g432401(.A (n_8274), .B (n_5768), .C
-       (n_5136), .Y (n_8517));
-  sky130_fd_sc_hd__o2111ai_1 g432402(.A1 (n_5712), .A2 (n_5383), .B1
-       (n_2180), .C1 (n_5133), .D1 (n_8213), .Y (n_8516));
-  sky130_fd_sc_hd__nand4_1 g432403(.A (n_8112), .B (n_6227), .C
-       (n_1205), .D (n_5134), .Y (n_8515));
-  sky130_fd_sc_hd__nand3_1 g432404(.A (n_8228), .B (n_5120), .C
-       (n_2356), .Y (n_8514));
-  sky130_fd_sc_hd__a21oi_1 g432405(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[27]), .B1 (n_8412), .Y
-       (n_8513));
-  sky130_fd_sc_hd__a211oi_1 g432406(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[30]), .B1 (n_8360), .C1
-       (n_7563), .Y (n_8512));
-  sky130_fd_sc_hd__a221o_1 g432407(.A1 (n_7642), .A2 (n_5965), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[9]), .C1
-       (n_8391), .X (n_8511));
-  sky130_fd_sc_hd__a21oi_1 g432408(.A1 (n_13380), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .B1 (n_8418), .Y
-       (n_8510));
-  sky130_fd_sc_hd__a221o_1 g432409(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[2]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[2]), .C1 (n_8451), .X (n_8509));
-  sky130_fd_sc_hd__o211ai_1 g432410(.A1 (n_6306), .A2 (n_3080), .B1
-       (n_2183), .C1 (n_8167), .Y (n_8508));
-  sky130_fd_sc_hd__o211ai_1 g432411(.A1 (n_6305), .A2 (n_3080), .B1
-       (n_2190), .C1 (n_8166), .Y (n_8507));
-  sky130_fd_sc_hd__a221o_1 g432412(.A1 (n_1745), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .B1 (n_2419), .B2
-       (n_6647), .C1 (n_8165), .X (n_8506));
-  sky130_fd_sc_hd__a221o_1 g432413(.A1 (n_1746), .A2
-       (\u_soc_lsu_to_xbar[a_address] [21]), .B1 (n_1745), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .C1 (n_8404), .X
-       (n_8505));
-  sky130_fd_sc_hd__a221o_1 g432414(.A1 (n_1746), .A2
-       (\u_soc_lsu_to_xbar[a_address] [22]), .B1 (n_1745), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .C1 (n_8403), .X
-       (n_8504));
-  sky130_fd_sc_hd__or4_1 g432415(.A (n_13399), .B (n_13398), .C
-       (n_13405), .D (n_8121), .X (n_8503));
-  sky130_fd_sc_hd__or4_1 g432416(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [11]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [12]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [13]), .D (n_8122), .X (n_8502));
-  sky130_fd_sc_hd__o22ai_1 g432417(.A1 (n_1249), .A2 (n_8288), .B1
-       (n_1252), .B2 (n_8087), .Y (n_8501));
-  sky130_fd_sc_hd__nor3_1 g432418(.A (n_15911), .B (n_7381), .C
-       (n_8344), .Y (n_8500));
-  sky130_fd_sc_hd__a21oi_1 g432419(.A1 (n_15910), .A2 (n_6868), .B1
-       (n_6867), .Y (n_8499));
-  sky130_fd_sc_hd__a221o_1 g432420(.A1 (n_3075), .A2 (n_13697), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]), .C1
-       (n_8312), .X (n_8498));
-  sky130_fd_sc_hd__a221o_1 g432421(.A1 (n_3075), .A2 (n_13704), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]), .C1
-       (n_8311), .X (n_8497));
-  sky130_fd_sc_hd__a221o_1 g432422(.A1 (n_3075), .A2 (n_13706), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]), .C1
-       (n_8310), .X (n_8496));
-  sky130_fd_sc_hd__a221o_1 g432423(.A1 (n_3075), .A2 (n_13707), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]), .C1
-       (n_8309), .X (n_8495));
-  sky130_fd_sc_hd__a221o_1 g432424(.A1 (n_3074), .A2 (n_13632), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]), .C1
-       (n_8308), .X (n_8494));
-  sky130_fd_sc_hd__a221o_1 g432425(.A1 (n_3074), .A2 (n_13641), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]), .C1
-       (n_8306), .X (n_8493));
-  sky130_fd_sc_hd__a221o_1 g432426(.A1 (n_3074), .A2 (n_13642), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]), .C1
-       (n_8305), .X (n_8492));
-  sky130_fd_sc_hd__a221o_1 g432427(.A1 (n_3074), .A2 (n_13639), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]), .C1
-       (n_8307), .X (n_8491));
-  sky130_fd_sc_hd__a221oi_1 g432428(.A1 (n_13380), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .B1 (n_1746), .B2
-       (\u_soc_xbar_to_dccm[a_address] [6]), .C1 (n_8162), .Y (n_8490));
-  sky130_fd_sc_hd__a221o_1 g432429(.A1 (n_3075), .A2 (n_13685), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]), .C1
-       (n_8318), .X (n_8489));
-  sky130_fd_sc_hd__a221o_1 g432430(.A1 (n_3074), .A2 (n_13620), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]), .C1
-       (n_8317), .X (n_8488));
-  sky130_fd_sc_hd__o2111ai_1 g432431(.A1 (n_5708), .A2 (n_5383), .B1
-       (n_2189), .C1 (n_5135), .D1 (n_8272), .Y (n_8487));
-  sky130_fd_sc_hd__a221o_1 g432432(.A1 (n_3075), .A2 (n_13690), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]), .C1
-       (n_8322), .X (n_8486));
-  sky130_fd_sc_hd__a221o_1 g432433(.A1 (n_3074), .A2 (n_13625), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]), .C1
-       (n_8321), .X (n_8485));
-  sky130_fd_sc_hd__a22o_1 g432434(.A1 (n_8284), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[21]), .X (n_8484));
-  sky130_fd_sc_hd__a22o_1 g432435(.A1 (n_8282), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[17]), .X (n_8483));
-  sky130_fd_sc_hd__a222oi_1 g432436(.A1 (u_soc_u_top_u_core_pc_id[17]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[17]),
-       .C1 (n_8282), .C2 (n_1213), .Y (n_8482));
-  sky130_fd_sc_hd__a222oi_1 g432437(.A1 (u_soc_u_top_u_core_pc_id[21]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[21]),
-       .C1 (n_8284), .C2 (n_1213), .Y (n_8481));
-  sky130_fd_sc_hd__o21ai_1 g432438(.A1 (n_8287), .A2 (n_2674), .B1
-       (n_5521), .Y (n_8480));
-  sky130_fd_sc_hd__o21ai_1 g432439(.A1 (n_8283), .A2 (n_2674), .B1
-       (n_5506), .Y (n_8479));
-  sky130_fd_sc_hd__o21ai_1 g432440(.A1 (n_8285), .A2 (n_2674), .B1
-       (n_5502), .Y (n_8478));
-  sky130_fd_sc_hd__o21ai_1 g432441(.A1 (n_8287), .A2 (n_2680), .B1
-       (n_5489), .Y (n_8477));
-  sky130_fd_sc_hd__o21ai_1 g432442(.A1 (n_8283), .A2 (n_2680), .B1
-       (n_5474), .Y (n_8476));
-  sky130_fd_sc_hd__o21ai_1 g432443(.A1 (n_8285), .A2 (n_2680), .B1
-       (n_5470), .Y (n_8475));
-  sky130_fd_sc_hd__o21ai_0 g432444(.A1 (n_1212), .A2 (n_8287), .B1
-       (n_1948), .Y (n_8474));
-  sky130_fd_sc_hd__o21ai_0 g432445(.A1 (n_1848), .A2 (n_8283), .B1
-       (n_2383), .Y (n_8473));
-  sky130_fd_sc_hd__o21ai_0 g432446(.A1 (n_1848), .A2 (n_8285), .B1
-       (n_2331), .Y (n_8472));
-  sky130_fd_sc_hd__nand3_1 g432447(.A (n_8277), .B (n_7307), .C
-       (n_8091), .Y (n_8471));
-  sky130_fd_sc_hd__nand3_1 g432448(.A (n_8276), .B (n_7306), .C
-       (n_8092), .Y (n_8470));
-  sky130_fd_sc_hd__o32ai_1 g432449(.A1 (n_1249), .A2 (n_1240), .A3
-       (n_8087), .B1 (n_1868), .B2 (n_7968), .Y (n_8469));
-  sky130_fd_sc_hd__a221o_1 g432450(.A1 (n_8338), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B1
-       (n_2421), .B2 (n_13566), .C1 (n_8329), .X (n_8468));
-  sky130_fd_sc_hd__o2bb2ai_1 g432451(.A1_N
-       (u_soc_u_top_u_core_debug_single_step), .A2_N (n_1415), .B1
-       (n_8287), .B2 (n_1415), .Y (n_8467));
-  sky130_fd_sc_hd__o2bb2ai_1 g432452(.A1_N
-       (u_soc_u_top_u_core_csr_depc[1]), .A2_N (n_1999), .B1 (n_8210),
-       .B2 (n_1999), .Y (n_8466));
-  sky130_fd_sc_hd__o22ai_1 g432453(.A1 (n_5846), .A2 (n_8335), .B1
-       (n_5953), .B2 (n_7819), .Y (n_8465));
-  sky130_fd_sc_hd__o22ai_1 g432454(.A1 (n_5850), .A2 (n_8335), .B1
-       (n_5834), .B2 (n_8333), .Y (n_8464));
-  sky130_fd_sc_hd__o2bb2ai_1 g432455(.A1_N
-       (u_soc_u_top_u_core_csr_depc[7]), .A2_N (n_1999), .B1 (n_8211),
-       .B2 (n_1999), .Y (n_8463));
-  sky130_fd_sc_hd__a32oi_1 g432456(.A1 (n_8124), .A2 (n_13608), .A3
-       (n_13), .B1 (n_8332), .B2 (\u_soc_iccm_to_xbar[d_data] [0]), .Y
-       (n_8462));
-  sky130_fd_sc_hd__a32oi_1 g432457(.A1 (n_8124), .A2 (n_13609), .A3
-       (n_13), .B1 (n_6709), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .Y (n_8461));
-  sky130_fd_sc_hd__o22a_1 g432458(.A1 (n_6865), .A2 (n_8128), .B1
-       (n_2989), .B2 (n_8333), .X (n_8460));
-  sky130_fd_sc_hd__a22oi_1 g432459(.A1 (n_8332), .A2 (n_2995), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[22]), .Y
-       (n_8459));
-  sky130_fd_sc_hd__a22oi_1 g432460(.A1 (n_8336), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [24]), .B1 (n_8331), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [8]), .Y (n_8458));
-  sky130_fd_sc_hd__o2bb2ai_1 g432461(.A1_N
-       (u_soc_u_top_u_core_csr_mstatus_tw), .A2_N (n_2285), .B1
-       (n_8285), .B2 (n_2285), .Y (n_8457));
-  sky130_fd_sc_hd__o2bb2ai_1 g432462(.A1_N
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]), .A2_N
-       (n_2285), .B1 (n_8283), .B2 (n_2285), .Y (n_8456));
-  sky130_fd_sc_hd__a22o_1 g432463(.A1 (n_8286), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[2]), .X (n_8455));
-  sky130_fd_sc_hd__o21ai_1 g432464(.A1 (n_8340), .A2 (n_1751), .B1
-       (n_3084), .Y (n_8553));
-  sky130_fd_sc_hd__nor3_1 g432465(.A (n_577), .B (n_13484), .C
-       (n_8230), .Y (n_8552));
-  sky130_fd_sc_hd__nor3_1 g432466(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B
-       (n_8341), .C (n_1751), .Y (n_8551));
-  sky130_fd_sc_hd__nor3_1 g432467(.A (n_7641), .B (n_7627), .C
-       (n_8344), .Y (n_8550));
-  sky130_fd_sc_hd__nand3_1 g432468(.A (n_4293), .B (n_8147), .C
-       (n_1747), .Y (n_8549));
-  sky130_fd_sc_hd__nand3_1 g432469(.A (n_5306), .B (n_8149), .C
-       (n_1747), .Y (n_8548));
-  sky130_fd_sc_hd__nand3_1 g432470(.A (n_5277), .B (n_8150), .C
-       (n_1747), .Y (n_8547));
-  sky130_fd_sc_hd__nand3_1 g432471(.A (n_5018), .B (n_8152), .C
-       (n_1747), .Y (n_8546));
-  sky130_fd_sc_hd__nand3_1 g432472(.A (n_4254), .B (n_8145), .C
-       (n_1747), .Y (n_8545));
-  sky130_fd_sc_hd__a32oi_1 g432473(.A1 (n_8090), .A2 (n_617), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13498), .B2 (n_1215), .Y
-       (n_8544));
-  sky130_fd_sc_hd__inv_1 g432595(.A (n_8401), .Y (n_8454));
-  sky130_fd_sc_hd__clkinv_1 g432596(.A (n_8452), .Y (n_8453));
-  sky130_fd_sc_hd__inv_2 g432597(.A (n_8447), .Y (n_8446));
-  sky130_fd_sc_hd__o2bb2ai_1 g432598(.A1_N
-       (u_soc_u_top_u_core_csr_depc[23]), .A2_N (n_1999), .B1 (n_8045),
-       .B2 (n_1999), .Y (n_8445));
-  sky130_fd_sc_hd__a22o_1 g432599(.A1 (n_8074), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[30]), .X (n_8444));
-  sky130_fd_sc_hd__a22o_1 g432600(.A1 (n_8082), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[29]), .X (n_8443));
-  sky130_fd_sc_hd__a22o_1 g432601(.A1 (n_8080), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[27]), .X (n_8442));
-  sky130_fd_sc_hd__a22o_1 g432602(.A1 (n_8078), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[20]), .X (n_8441));
-  sky130_fd_sc_hd__a22o_1 g432603(.A1 (n_8076), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[13]), .X (n_8440));
-  sky130_fd_sc_hd__a22o_1 g432604(.A1 (n_8084), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[8]), .X (n_8439));
-  sky130_fd_sc_hd__a22oi_1 g432605(.A1 (n_1217), .A2 (n_8090), .B1
-       (n_2418), .B2 (n_1372), .Y (n_8438));
-  sky130_fd_sc_hd__o2bb2ai_1 g432606(.A1_N
-       (u_soc_u_top_u_core_csr_depc[31]), .A2_N (n_1999), .B1 (n_8040),
-       .B2 (n_1999), .Y (n_8437));
-  sky130_fd_sc_hd__o2bb2ai_1 g432607(.A1_N
-       (u_soc_u_top_u_core_csr_depc[28]), .A2_N (n_1999), .B1 (n_8041),
-       .B2 (n_1999), .Y (n_8436));
-  sky130_fd_sc_hd__nand2_1 g432608(.A (n_8336), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [26]), .Y (n_8435));
-  sky130_fd_sc_hd__nand2_1 g432609(.A (n_8161), .B (n_5594), .Y
-       (n_8434));
-  sky130_fd_sc_hd__nand3_1 g432610(.A (n_8000), .B (n_7640), .C
-       (n_6923), .Y (n_8433));
-  sky130_fd_sc_hd__o2bb2ai_1 g432611(.A1_N
-       (u_soc_u_top_u_core_csr_depc[26]), .A2_N (n_1999), .B1 (n_8042),
-       .B2 (n_1999), .Y (n_8432));
-  sky130_fd_sc_hd__nand4_1 g432612(.A (n_7881), .B (n_5599), .C
-       (n_2316), .D (n_6939), .Y (n_8431));
-  sky130_fd_sc_hd__nand4_1 g432613(.A (n_7884), .B (n_5601), .C
-       (n_2314), .D (n_6940), .Y (n_8430));
-  sky130_fd_sc_hd__nand2_1 g432614(.A (n_8214), .B (n_5595), .Y
-       (n_8429));
-  sky130_fd_sc_hd__nor2_1 g432615(.A (n_8287), .B (n_2676), .Y
-       (n_8428));
-  sky130_fd_sc_hd__nor2_1 g432616(.A (n_8287), .B (n_2678), .Y
-       (n_8427));
-  sky130_fd_sc_hd__nor2_1 g432617(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_8135), .Y
-       (n_8426));
-  sky130_fd_sc_hd__nand4_1 g432618(.A (n_7873), .B (n_5598), .C
-       (n_2308), .D (n_7449), .Y (n_8425));
-  sky130_fd_sc_hd__nor2_1 g432619(.A (n_8283), .B (n_2254), .Y
-       (n_8424));
-  sky130_fd_sc_hd__nor2_1 g432620(.A (n_8285), .B (n_2254), .Y
-       (n_8423));
-  sky130_fd_sc_hd__nor2_1 g432621(.A (n_8283), .B (n_2676), .Y
-       (n_8422));
-  sky130_fd_sc_hd__nor2_1 g432622(.A (n_8285), .B (n_2676), .Y
-       (n_8421));
-  sky130_fd_sc_hd__nor2_1 g432623(.A (n_8283), .B (n_2678), .Y
-       (n_8420));
-  sky130_fd_sc_hd__nor2_1 g432624(.A (n_8285), .B (n_2678), .Y
-       (n_8419));
-  sky130_fd_sc_hd__nand4_1 g432625(.A (n_5535), .B (n_7997), .C
-       (n_7061), .D (n_5197), .Y (n_8418));
-  sky130_fd_sc_hd__o2bb2ai_1 g432626(.A1_N
-       (u_soc_u_top_u_core_csr_depc[25]), .A2_N (n_1999), .B1 (n_8043),
-       .B2 (n_1999), .Y (n_8417));
-  sky130_fd_sc_hd__nor3_1 g432627(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_7929), .C
-       (n_7980), .Y (n_8416));
-  sky130_fd_sc_hd__o21ai_1 g432628(.A1 (n_1279), .A2 (n_1751), .B1
-       (n_8337), .Y (n_8415));
-  sky130_fd_sc_hd__a21o_1 g432629(.A1 (n_1750), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B1
-       (n_8338), .X (n_8414));
-  sky130_fd_sc_hd__a221o_1 g432630(.A1 (n_2984), .A2 (n_1838), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .C1
-       (n_8002), .X (n_8413));
-  sky130_fd_sc_hd__o22ai_1 g432631(.A1 (n_15914), .A2 (n_8123), .B1
-       (n_5964), .B2 (n_8096), .Y (n_8412));
-  sky130_fd_sc_hd__o2bb2ai_1 g432632(.A1_N
-       (u_soc_u_top_u_core_csr_depc[24]), .A2_N (n_1999), .B1 (n_8044),
-       .B2 (n_1999), .Y (n_8411));
-  sky130_fd_sc_hd__a21oi_1 g432633(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [12]), .B1 (n_8280), .Y
-       (n_8410));
-  sky130_fd_sc_hd__nand4_1 g432634(.A (n_7887), .B (n_5586), .C
-       (n_1194), .D (n_5126), .Y (n_8409));
-  sky130_fd_sc_hd__nand4_1 g432635(.A (n_7886), .B (n_5588), .C
-       (n_1207), .D (n_5125), .Y (n_8408));
-  sky130_fd_sc_hd__a221oi_1 g432636(.A1 (n_7966), .A2 (n_5963), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[13]), .C1
-       (n_8298), .Y (n_8407));
-  sky130_fd_sc_hd__o2111ai_1 g432637(.A1 (n_6795), .A2 (n_2420), .B1
-       (n_5132), .C1 (n_7827), .D1 (n_5568), .Y (n_8406));
-  sky130_fd_sc_hd__o2111ai_1 g432638(.A1 (n_6792), .A2 (n_2420), .B1
-       (n_5127), .C1 (n_7826), .D1 (n_5563), .Y (n_8405));
-  sky130_fd_sc_hd__o21ai_1 g432639(.A1 (n_6606), .A2 (n_2420), .B1
-       (n_8279), .Y (n_8404));
-  sky130_fd_sc_hd__o21ai_1 g432640(.A1 (n_6644), .A2 (n_2420), .B1
-       (n_8278), .Y (n_8403));
-  sky130_fd_sc_hd__a222oi_1 g432641(.A1 (n_1869), .A2 (n_7973), .B1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .B2 (n_8), .C1
-       (n_7807), .C2 (n_1849), .Y (n_8402));
-  sky130_fd_sc_hd__a222oi_1 g432642(.A1 (n_7824), .A2 (n_1750), .B1
-       (n_7922), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .C1
-       (n_2421), .C2 (n_13564), .Y (n_8401));
-  sky130_fd_sc_hd__o21ai_1 g432643(.A1 (n_1550), .A2 (n_1751), .B1
-       (n_8337), .Y (n_8400));
-  sky130_fd_sc_hd__o21ai_1 g432644(.A1 (n_1907), .A2 (n_1751), .B1
-       (n_8337), .Y (n_8399));
-  sky130_fd_sc_hd__a21oi_1 g432645(.A1 (n_7818), .A2 (n_5946), .B1
-       (n_8281), .Y (n_8398));
-  sky130_fd_sc_hd__nor4_1 g432646(.A (n_7243), .B (n_7205), .C
-       (n_8093), .D (n_7204), .Y (n_8397));
-  sky130_fd_sc_hd__a221o_1 g432647(.A1 (n_3075), .A2 (n_13684), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]), .C1
-       (n_8104), .X (n_8396));
-  sky130_fd_sc_hd__a221o_1 g432648(.A1 (n_3074), .A2 (n_13619), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]), .C1
-       (n_8105), .X (n_8395));
-  sky130_fd_sc_hd__a221o_1 g432649(.A1 (n_3075), .A2 (n_13678), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]), .C1
-       (n_8102), .X (n_8394));
-  sky130_fd_sc_hd__a221o_1 g432650(.A1 (n_3074), .A2 (n_13613), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]), .C1
-       (n_8103), .X (n_8393));
-  sky130_fd_sc_hd__and3_1 g432651(.A (n_8132), .B (n_7389), .C
-       (n_7257), .X (n_8392));
-  sky130_fd_sc_hd__o21ai_1 g432652(.A1 (n_5947), .A2 (n_7620), .B1
-       (n_8233), .Y (n_8391));
-  sky130_fd_sc_hd__a221o_1 g432653(.A1 (n_3075), .A2 (n_13689), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]), .C1
-       (n_8098), .X (n_8390));
-  sky130_fd_sc_hd__a221o_1 g432654(.A1 (n_3074), .A2 (n_13624), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]), .C1
-       (n_8099), .X (n_8389));
-  sky130_fd_sc_hd__o2bb2ai_1 g432655(.A1_N
-       (u_soc_u_top_u_core_csr_depc[22]), .A2_N (n_1999), .B1 (n_8046),
-       .B2 (n_1999), .Y (n_8388));
-  sky130_fd_sc_hd__a222oi_1 g432656(.A1 (u_soc_u_top_u_core_pc_id[20]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[20]),
-       .C1 (n_8078), .C2 (n_1213), .Y (n_8387));
-  sky130_fd_sc_hd__a222oi_1 g432657(.A1 (u_soc_u_top_u_core_pc_id[27]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[27]),
-       .C1 (n_8080), .C2 (n_1213), .Y (n_8386));
-  sky130_fd_sc_hd__a222oi_1 g432658(.A1 (u_soc_u_top_u_core_pc_id[29]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[29]),
-       .C1 (n_8082), .C2 (n_1213), .Y (n_8385));
-  sky130_fd_sc_hd__a222oi_1 g432659(.A1 (u_soc_u_top_u_core_pc_id[30]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[30]),
-       .C1 (n_8074), .C2 (n_1213), .Y (n_8384));
-  sky130_fd_sc_hd__a222oi_1 g432660(.A1 (u_soc_u_top_u_core_pc_id[8]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[8]),
-       .C1 (n_8084), .C2 (n_1213), .Y (n_8383));
-  sky130_fd_sc_hd__o21ai_1 g432661(.A1 (n_8085), .A2 (n_2674), .B1
-       (n_5515), .Y (n_8382));
-  sky130_fd_sc_hd__o21ai_1 g432662(.A1 (n_8077), .A2 (n_2674), .B1
-       (n_5510), .Y (n_8381));
-  sky130_fd_sc_hd__o21ai_1 g432663(.A1 (n_8079), .A2 (n_2674), .B1
-       (n_5503), .Y (n_8380));
-  sky130_fd_sc_hd__o21ai_1 g432664(.A1 (n_8081), .A2 (n_2674), .B1
-       (n_5496), .Y (n_8379));
-  sky130_fd_sc_hd__o21ai_1 g432665(.A1 (n_8083), .A2 (n_2674), .B1
-       (n_5494), .Y (n_8378));
-  sky130_fd_sc_hd__o21ai_1 g432666(.A1 (n_8075), .A2 (n_2674), .B1
-       (n_5493), .Y (n_8377));
-  sky130_fd_sc_hd__o21ai_1 g432667(.A1 (n_8085), .A2 (n_2680), .B1
-       (n_5483), .Y (n_8376));
-  sky130_fd_sc_hd__o21ai_1 g432668(.A1 (n_8077), .A2 (n_2680), .B1
-       (n_5478), .Y (n_8375));
-  sky130_fd_sc_hd__o21ai_1 g432669(.A1 (n_8079), .A2 (n_2680), .B1
-       (n_5471), .Y (n_8374));
-  sky130_fd_sc_hd__o21ai_1 g432670(.A1 (n_8081), .A2 (n_2680), .B1
-       (n_5540), .Y (n_8373));
-  sky130_fd_sc_hd__o21ai_1 g432671(.A1 (n_8083), .A2 (n_2680), .B1
-       (n_5578), .Y (n_8372));
-  sky130_fd_sc_hd__o21ai_1 g432672(.A1 (n_8075), .A2 (n_2680), .B1
-       (n_5579), .Y (n_8371));
-  sky130_fd_sc_hd__o21ai_1 g432673(.A1 (n_5955), .A2 (n_7796), .B1
-       (n_8303), .Y (n_8370));
-  sky130_fd_sc_hd__o21ai_0 g432674(.A1 (n_1212), .A2 (n_8077), .B1
-       (n_1970), .Y (n_8369));
-  sky130_fd_sc_hd__o21ai_0 g432675(.A1 (n_6871), .A2 (n_8130), .B1
-       (n_7829), .Y (n_8368));
-  sky130_fd_sc_hd__o21ai_0 g432676(.A1 (n_1848), .A2 (n_8085), .B1
-       (n_2371), .Y (n_8367));
-  sky130_fd_sc_hd__o21ai_0 g432677(.A1 (n_1848), .A2 (n_8077), .B1
-       (n_2337), .Y (n_8366));
-  sky130_fd_sc_hd__o21ai_0 g432678(.A1 (n_1848), .A2 (n_8079), .B1
-       (n_2408), .Y (n_8365));
-  sky130_fd_sc_hd__o21ai_0 g432679(.A1 (n_1848), .A2 (n_8081), .B1
-       (n_2406), .Y (n_8364));
-  sky130_fd_sc_hd__o21ai_0 g432680(.A1 (n_1848), .A2 (n_8083), .B1
-       (n_2321), .Y (n_8363));
-  sky130_fd_sc_hd__o21ai_0 g432681(.A1 (n_1848), .A2 (n_8075), .B1
-       (n_2320), .Y (n_8362));
-  sky130_fd_sc_hd__o2bb2ai_1 g432682(.A1_N
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ), .A2_N
-       (n_1415), .B1 (n_8077), .B2 (n_1415), .Y (n_8361));
-  sky130_fd_sc_hd__o22ai_1 g432683(.A1 (n_6867), .A2 (n_8061), .B1
-       (n_6882), .B2 (n_7796), .Y (n_8360));
-  sky130_fd_sc_hd__a21oi_1 g432684(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[31]), .B1 (n_8328), .Y
-       (n_8359));
-  sky130_fd_sc_hd__o2bb2ai_1 g432685(.A1_N
-       (u_soc_u_top_u_core_csr_depc[15]), .A2_N (n_1999), .B1 (n_8050),
-       .B2 (n_1999), .Y (n_8358));
-  sky130_fd_sc_hd__o2bb2ai_1 g432686(.A1_N
-       (u_soc_u_top_u_core_csr_depc[16]), .A2_N (n_1999), .B1 (n_8049),
-       .B2 (n_1999), .Y (n_8357));
-  sky130_fd_sc_hd__o2bb2ai_1 g432687(.A1_N
-       (u_soc_u_top_u_core_csr_depc[18]), .A2_N (n_1999), .B1 (n_8048),
-       .B2 (n_1999), .Y (n_8356));
-  sky130_fd_sc_hd__o2bb2ai_1 g432688(.A1_N
-       (u_soc_u_top_u_core_csr_depc[19]), .A2_N (n_1999), .B1 (n_8047),
-       .B2 (n_1999), .Y (n_8355));
-  sky130_fd_sc_hd__o22ai_1 g432689(.A1 (n_6867), .A2 (n_8128), .B1
-       (n_5883), .B2 (n_7970), .Y (n_8354));
-  sky130_fd_sc_hd__o22ai_1 g432690(.A1 (n_5974), .A2 (n_8130), .B1
-       (n_6860), .B2 (n_7382), .Y (n_8353));
-  sky130_fd_sc_hd__nand2_1 g432700(.A (n_8343), .B (n_7977), .Y
-       (n_8452));
-  sky130_fd_sc_hd__nor2_1 g432703(.A (n_1848), .B (n_8287), .Y
-       (n_8451));
-  sky130_fd_sc_hd__o21ai_1 g432707(.A1 (n_8086), .A2 (n_7918), .B1
-       (n_7253), .Y (n_8450));
-  sky130_fd_sc_hd__and2_1 g432716(.A (n_7622), .B (n_8339), .X
-       (n_8449));
-  sky130_fd_sc_hd__nand3_1 g432718(.A (n_2955), .B (n_8051), .C
-       (n_1747), .Y (n_8448));
-  sky130_fd_sc_hd__a21oi_1 g432719(.A1 (n_13506), .A2 (n_1215), .B1
-       (n_15937), .Y (n_8447));
-  sky130_fd_sc_hd__inv_1 g432720(.A (n_8330), .Y (n_8352));
-  sky130_fd_sc_hd__inv_1 g432721(.A (n_8327), .Y (n_8351));
-  sky130_fd_sc_hd__inv_1 g432722(.A (n_8326), .Y (n_8350));
-  sky130_fd_sc_hd__inv_1 g432723(.A (n_8325), .Y (n_8349));
-  sky130_fd_sc_hd__inv_1 g432724(.A (n_8319), .Y (n_8348));
-  sky130_fd_sc_hd__inv_1 g432725(.A (n_8304), .Y (n_8347));
-  sky130_fd_sc_hd__inv_1 g432726(.A (n_8295), .Y (n_8346));
-  sky130_fd_sc_hd__inv_1 g432727(.A (n_8294), .Y (n_8345));
-  sky130_fd_sc_hd__clkinv_1 g432728(.A (n_8341), .Y (n_8340));
-  sky130_fd_sc_hd__inv_1 g432729(.A (n_8338), .Y (n_8337));
-  sky130_fd_sc_hd__inv_1 g432730(.A (n_8335), .Y (n_8334));
-  sky130_fd_sc_hd__inv_2 g432731(.A (n_8333), .Y (n_8332));
-  sky130_fd_sc_hd__a222oi_1 g432732(.A1 (\u_soc_iccm_to_xbar[d_data]
-       [0]), .A2 (n_7608), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [0]), .C1 (n_7907), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [0]), .Y (n_8330));
-  sky130_fd_sc_hd__nor2_1 g432733(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B (n_8125),
-       .Y (n_8329));
-  sky130_fd_sc_hd__nor2_1 g432734(.A (n_8111), .B (n_7252), .Y
-       (n_8328));
-  sky130_fd_sc_hd__a222oi_1 g432735(.A1
-       (\u_soc_iccm_to_xbar[d_data] [16]), .A2 (n_7606), .B1 (n_7770),
-       .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [16]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [16]), .Y (n_8327));
-  sky130_fd_sc_hd__a222oi_1 g432736(.A1 (\u_soc_iccm_to_xbar[d_data]
-       [1]), .A2 (n_7606), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [1]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [1]), .Y (n_8326));
-  sky130_fd_sc_hd__a222oi_1 g432737(.A1 (\u_soc_iccm_to_xbar[d_data]
-       [0]), .A2 (n_7606), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [0]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [0]), .Y (n_8325));
-  sky130_fd_sc_hd__nand2_1 g432738(.A (n_2253), .B (n_8083), .Y
-       (n_8324));
-  sky130_fd_sc_hd__nor2_1 g432739(.A (n_5947), .B (n_8123), .Y
-       (n_8323));
-  sky130_fd_sc_hd__nor2_1 g432740(.A (n_8077), .B (n_2676), .Y
-       (n_8322));
-  sky130_fd_sc_hd__nor2_1 g432741(.A (n_8077), .B (n_2678), .Y
-       (n_8321));
-  sky130_fd_sc_hd__nor2_1 g432742(.A (n_8077), .B (n_2254), .Y
-       (n_8320));
-  sky130_fd_sc_hd__a222oi_1 g432743(.A1
-       (\u_soc_iccm_to_xbar[d_data] [17]), .A2 (n_7608), .B1 (n_7771),
-       .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [17]), .C1 (n_7907), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [17]), .Y (n_8319));
-  sky130_fd_sc_hd__nor2_1 g432744(.A (n_8085), .B (n_2676), .Y
-       (n_8318));
-  sky130_fd_sc_hd__nor2_1 g432745(.A (n_8085), .B (n_2678), .Y
-       (n_8317));
-  sky130_fd_sc_hd__nor2_1 g432746(.A (n_8085), .B (n_2254), .Y
-       (n_8316));
-  sky130_fd_sc_hd__nor2_1 g432747(.A (n_8079), .B (n_2254), .Y
-       (n_8315));
-  sky130_fd_sc_hd__nor2_1 g432748(.A (n_8081), .B (n_2254), .Y
-       (n_8314));
-  sky130_fd_sc_hd__nor2_1 g432749(.A (n_8075), .B (n_2254), .Y
-       (n_8313));
-  sky130_fd_sc_hd__nor2_1 g432750(.A (n_8079), .B (n_2676), .Y
-       (n_8312));
-  sky130_fd_sc_hd__nor2_1 g432751(.A (n_8081), .B (n_2676), .Y
-       (n_8311));
-  sky130_fd_sc_hd__nor2_1 g432752(.A (n_8083), .B (n_2676), .Y
-       (n_8310));
-  sky130_fd_sc_hd__nor2_1 g432753(.A (n_8075), .B (n_2676), .Y
-       (n_8309));
-  sky130_fd_sc_hd__nor2_1 g432754(.A (n_8079), .B (n_2678), .Y
-       (n_8308));
-  sky130_fd_sc_hd__nor2_1 g432755(.A (n_8081), .B (n_2678), .Y
-       (n_8307));
-  sky130_fd_sc_hd__nor2_1 g432756(.A (n_8083), .B (n_2678), .Y
-       (n_8306));
-  sky130_fd_sc_hd__nor2_1 g432757(.A (n_8075), .B (n_2678), .Y
-       (n_8305));
-  sky130_fd_sc_hd__a222oi_1 g432758(.A1
-       (\u_soc_iccm_to_xbar[d_data] [16]), .A2 (n_7608), .B1 (n_7771),
-       .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [16]), .C1 (n_7907), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [16]), .Y (n_8304));
-  sky130_fd_sc_hd__a21oi_1 g432759(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[5]), .B1 (n_8097), .Y
-       (n_8303));
-  sky130_fd_sc_hd__a211oi_1 g432760(.A1 (n_7253), .A2 (n_7811), .B1
-       (n_15911), .C1 (n_7972), .Y (n_8302));
-  sky130_fd_sc_hd__a21o_1 g432761(.A1 (n_1406), .A2
-       (u_soc_u_top_u_core_exc_cause[1]), .B1 (n_8131), .X (n_8301));
-  sky130_fd_sc_hd__o21a_1 g432762(.A1 (n_1452), .A2 (n_7633), .B1
-       (n_8129), .X (n_8300));
-  sky130_fd_sc_hd__a31oi_1 g432763(.A1 (n_7388), .A2 (n_6858), .A3
-       (n_6866), .B1 (n_8113), .Y (n_8299));
-  sky130_fd_sc_hd__o32ai_1 g432764(.A1
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .A2 (n_6859),
-       .A3 (n_6923), .B1 (n_6865), .B2 (n_7926), .Y (n_8298));
-  sky130_fd_sc_hd__o21a_1 g432766(.A1 (n_7914), .A2 (n_7972), .B1
-       (n_5954), .X (n_8296));
-  sky130_fd_sc_hd__a222oi_1 g432767(.A1 (\u_soc_iccm_to_xbar[d_data]
-       [1]), .A2 (n_7608), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [1]), .C1 (n_7907), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [1]), .Y (n_8295));
-  sky130_fd_sc_hd__a222oi_1 g432768(.A1
-       (\u_soc_iccm_to_xbar[d_data] [17]), .A2 (n_7606), .B1 (n_7770),
-       .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [17]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [17]), .Y (n_8294));
-  sky130_fd_sc_hd__nand3_1 g432778(.A (n_7977), .B (n_7401), .C
-       (n_7620), .Y (n_8344));
-  sky130_fd_sc_hd__nor2b_1 g432788(.A (n_7914), .B_N (n_8128), .Y
-       (n_8343));
-  sky130_fd_sc_hd__nand3b_1 g432868(.A_N
-       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .B
-       (n_7981), .C (n_2297), .Y (n_8341));
-  sky130_fd_sc_hd__nand2_1 g432878(.A (n_7253), .B (n_8086), .Y
-       (n_8339));
-  sky130_fd_sc_hd__o21ai_1 g432880(.A1 (n_7981), .A2 (n_1751), .B1
-       (n_3084), .Y (n_8338));
-  sky130_fd_sc_hd__nor2_1 g432881(.A (n_1025), .B (n_8123), .Y
-       (n_8336));
-  sky130_fd_sc_hd__nand2_1 g432882(.A (n_8124), .B (n_1027), .Y
-       (n_8335));
-  sky130_fd_sc_hd__nand2_1 g432883(.A (n_8124), .B (n_1037), .Y
-       (n_8333));
-  sky130_fd_sc_hd__nor2_1 g432884(.A (n_1036), .B (n_8123), .Y
-       (n_8331));
-  sky130_fd_sc_hd__inv_1 g432885(.A (n_8242), .Y (n_8293));
-  sky130_fd_sc_hd__inv_1 g432886(.A (n_8241), .Y (n_8292));
-  sky130_fd_sc_hd__inv_1 g432887(.A (n_8237), .Y (n_8291));
-  sky130_fd_sc_hd__inv_1 g432888(.A (n_8180), .Y (n_8290));
-  sky130_fd_sc_hd__inv_2 g432889(.A (n_8287), .Y (n_8286));
-  sky130_fd_sc_hd__inv_2 g432890(.A (n_8285), .Y (n_8284));
-  sky130_fd_sc_hd__inv_2 g432891(.A (n_8283), .Y (n_8282));
-  sky130_fd_sc_hd__o22ai_1 g432892(.A1 (n_6857), .A2 (n_7970), .B1
-       (n_6863), .B2 (n_7386), .Y (n_8281));
-  sky130_fd_sc_hd__o2111ai_1 g432893(.A1 (n_6855), .A2 (n_2420), .B1
-       (n_5128), .C1 (n_7721), .D1 (n_5564), .Y (n_8280));
-  sky130_fd_sc_hd__a221oi_1 g432894(.A1 (n_2986), .A2
-       (\u_soc_lsu_to_xbar[a_address] [22]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .C1 (n_8119), .Y
-       (n_8279));
-  sky130_fd_sc_hd__a221oi_1 g432895(.A1 (n_2986), .A2
-       (\u_soc_lsu_to_xbar[a_address] [23]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .C1 (n_8120), .Y
-       (n_8278));
-  sky130_fd_sc_hd__a222oi_1 g432896(.A1 (n_1872), .A2 (n_7269), .B1
-       (n_7807), .B2 (n_1753), .C1 (n_8), .C2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .Y (n_8277));
-  sky130_fd_sc_hd__a222oi_1 g432897(.A1 (n_1872), .A2 (n_7797), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .C1
-       (n_7806), .C2 (n_1753), .Y (n_8276));
-  sky130_fd_sc_hd__o21ai_0 g432898(.A1
-       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .A2 (n_15909), .B1
-       (n_8127), .Y (n_8275));
-  sky130_fd_sc_hd__a21oi_1 g432899(.A1 (n_13380), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .B1 (n_8110), .Y
-       (n_8274));
-  sky130_fd_sc_hd__a222oi_1 g432900(.A1 (n_1878), .A2 (n_7264), .B1
-       (n_7800), .B2 (n_1872), .C1 (n_8), .C2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .Y (n_8273));
-  sky130_fd_sc_hd__a221oi_1 g432901(.A1 (n_13380), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .B1 (n_1746), .B2
-       (\u_soc_xbar_to_dccm[a_address] [3]), .C1 (n_7903), .Y (n_8272));
-  sky130_fd_sc_hd__a221o_1 g432902(.A1 (n_3075), .A2 (n_13692), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]), .C1
-       (n_7946), .X (n_8271));
-  sky130_fd_sc_hd__a221o_1 g432903(.A1 (n_3075), .A2 (n_13693), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]), .C1
-       (n_7947), .X (n_8270));
-  sky130_fd_sc_hd__a221o_1 g432904(.A1 (n_3075), .A2 (n_13695), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]), .C1
-       (n_7948), .X (n_8269));
-  sky130_fd_sc_hd__a221o_1 g432905(.A1 (n_3075), .A2 (n_13696), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]), .C1
-       (n_7949), .X (n_8268));
-  sky130_fd_sc_hd__a221o_1 g432906(.A1 (n_3075), .A2 (n_13702), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]), .C1
-       (n_7953), .X (n_8267));
-  sky130_fd_sc_hd__a221o_1 g432907(.A1 (n_3075), .A2 (n_13699), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]), .C1
-       (n_7951), .X (n_8266));
-  sky130_fd_sc_hd__a221o_1 g432908(.A1 (n_3075), .A2 (n_13703), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]), .C1
-       (n_7954), .X (n_8265));
-  sky130_fd_sc_hd__a221o_1 g432909(.A1 (n_3075), .A2 (n_13700), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]), .C1
-       (n_7950), .X (n_8264));
-  sky130_fd_sc_hd__a221o_1 g432910(.A1 (n_3075), .A2 (n_13701), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]), .C1
-       (n_7952), .X (n_8263));
-  sky130_fd_sc_hd__a221o_1 g432911(.A1 (n_3075), .A2 (n_13705), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]), .C1
-       (n_7955), .X (n_8262));
-  sky130_fd_sc_hd__a221o_1 g432912(.A1 (n_3074), .A2 (n_13627), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]), .C1
-       (n_7956), .X (n_8261));
-  sky130_fd_sc_hd__a221o_1 g432913(.A1 (n_3074), .A2 (n_13628), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]), .C1
-       (n_7957), .X (n_8260));
-  sky130_fd_sc_hd__a221o_1 g432914(.A1 (n_3074), .A2 (n_13630), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]), .C1
-       (n_7958), .X (n_8259));
-  sky130_fd_sc_hd__a221o_1 g432915(.A1 (n_3074), .A2 (n_13631), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]), .C1
-       (n_7959), .X (n_8258));
-  sky130_fd_sc_hd__a221o_1 g432916(.A1 (n_3074), .A2 (n_13635), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]), .C1
-       (n_7961), .X (n_8257));
-  sky130_fd_sc_hd__a221o_1 g432917(.A1 (n_3074), .A2 (n_13634), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]), .C1
-       (n_7960), .X (n_8256));
-  sky130_fd_sc_hd__a221o_1 g432918(.A1 (n_3074), .A2 (n_13636), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]), .C1
-       (n_7962), .X (n_8255));
-  sky130_fd_sc_hd__a221o_1 g432919(.A1 (n_3074), .A2 (n_13637), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]), .C1
-       (n_7963), .X (n_8254));
-  sky130_fd_sc_hd__a221o_1 g432920(.A1 (n_3074), .A2 (n_13638), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]), .C1
-       (n_7964), .X (n_8253));
-  sky130_fd_sc_hd__a221o_1 g432921(.A1 (n_3074), .A2 (n_13640), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]), .C1
-       (n_7905), .X (n_8252));
-  sky130_fd_sc_hd__a222oi_1 g432922(.A1 (n_1869), .A2 (n_7267), .B1
-       (n_7807), .B2 (n_1852), .C1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .C2 (n_8), .Y
-       (n_8251));
-  sky130_fd_sc_hd__a221o_1 g432923(.A1 (n_3075), .A2 (n_13708), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]), .C1
-       (n_7933), .X (n_8250));
-  sky130_fd_sc_hd__a221o_1 g432924(.A1 (n_3074), .A2 (n_13643), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]), .C1
-       (n_7934), .X (n_8249));
-  sky130_fd_sc_hd__a221o_1 g432925(.A1 (n_3075), .A2 (n_13682), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]), .C1
-       (n_7931), .X (n_8248));
-  sky130_fd_sc_hd__a221o_1 g432926(.A1 (n_3074), .A2 (n_13617), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]), .C1
-       (n_7932), .X (n_8247));
-  sky130_fd_sc_hd__a21oi_1 g432927(.A1 (n_7921), .A2 (n_7382), .B1
-       (n_5974), .Y (n_8246));
-  sky130_fd_sc_hd__a221o_1 g432928(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [2]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [2]), .C1 (n_7719), .X (n_8245));
-  sky130_fd_sc_hd__a221o_1 g432929(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [3]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [3]), .C1 (n_7718), .X (n_8244));
-  sky130_fd_sc_hd__a221o_1 g432930(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [4]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [4]), .C1 (n_7717), .X (n_8243));
-  sky130_fd_sc_hd__a222oi_1 g432931(.A1 (n_2993), .A2 (n_7606), .B1
-       (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [5]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [5]), .Y (n_8242));
-  sky130_fd_sc_hd__a222oi_1 g432932(.A1 (n_2995), .A2 (n_7606), .B1
-       (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [6]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [6]), .Y (n_8241));
-  sky130_fd_sc_hd__a221o_1 g432933(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [7]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [7]), .C1 (n_7716), .X (n_8240));
-  sky130_fd_sc_hd__a221o_1 g432934(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [18]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [18]), .C1 (n_7715), .X (n_8239));
-  sky130_fd_sc_hd__a221o_1 g432935(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [19]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [19]), .C1 (n_7714), .X (n_8238));
-  sky130_fd_sc_hd__a222oi_1 g432936(.A1 (n_3005), .A2 (n_7606), .B1
-       (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [20]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [20]), .Y (n_8237));
-  sky130_fd_sc_hd__a221o_1 g432937(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [21]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [21]), .C1 (n_7713), .X (n_8236));
-  sky130_fd_sc_hd__a221o_1 g432938(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [22]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [22]), .C1 (n_7712), .X (n_8235));
-  sky130_fd_sc_hd__a221o_1 g432939(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [23]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [23]), .C1 (n_7711), .X (n_8234));
-  sky130_fd_sc_hd__o21ai_0 g432940(.A1 (n_7971), .A2 (n_7916), .B1
-       (n_6873), .Y (n_8233));
-  sky130_fd_sc_hd__o2111ai_1 g432941(.A1 (n_1141), .A2 (n_2983), .B1
-       (n_7189), .C1 (n_5530), .D1 (n_7872), .Y (n_8232));
-  sky130_fd_sc_hd__o2bb2ai_1 g432942(.A1_N (n_7910), .A2_N (n_5857),
-       .B1 (n_175), .B2 (n_1405), .Y (n_8231));
-  sky130_fd_sc_hd__o21ai_1 g432943(.A1 (n_7610), .A2 (n_7912), .B1
-       (n_1462), .Y (n_8230));
-  sky130_fd_sc_hd__o21ai_0 g432944(.A1 (n_7256), .A2 (n_7915), .B1
-       (n_7627), .Y (n_8229));
-  sky130_fd_sc_hd__a221oi_1 g432945(.A1 (n_3079), .A2 (n_6616), .B1
-       (n_2418), .B2 (n_13611), .C1 (n_7885), .Y (n_8228));
-  sky130_fd_sc_hd__a221o_1 g432946(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [2]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [2]), .C1 (n_7734), .X (n_8227));
-  sky130_fd_sc_hd__a221o_1 g432947(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [3]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [3]), .C1 (n_7733), .X (n_8226));
-  sky130_fd_sc_hd__a221o_1 g432948(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [4]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [4]), .C1 (n_7732), .X (n_8225));
-  sky130_fd_sc_hd__a221o_1 g432949(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [5]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [5]), .C1 (n_7731), .X (n_8224));
-  sky130_fd_sc_hd__a221o_1 g432950(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [6]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [6]), .C1 (n_7730), .X (n_8223));
-  sky130_fd_sc_hd__a221o_1 g432951(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [7]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [7]), .C1 (n_7729), .X (n_8222));
-  sky130_fd_sc_hd__a221o_1 g432952(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [18]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [18]), .C1 (n_7728), .X (n_8221));
-  sky130_fd_sc_hd__a221o_1 g432953(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [19]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [19]), .C1 (n_7727), .X (n_8220));
-  sky130_fd_sc_hd__a221o_1 g432954(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [20]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [20]), .C1 (n_7726), .X (n_8219));
-  sky130_fd_sc_hd__a221o_1 g432955(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [21]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [21]), .C1 (n_7725), .X (n_8218));
-  sky130_fd_sc_hd__a221o_1 g432956(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [22]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [22]), .C1 (n_7724), .X (n_8217));
-  sky130_fd_sc_hd__a221o_1 g432957(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [23]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [23]), .C1 (n_7723), .X (n_8216));
-  sky130_fd_sc_hd__a221oi_1 g432958(.A1 (n_1217), .A2 (n_7816), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .C1
-       (n_5923), .Y (n_8215));
-  sky130_fd_sc_hd__a221oi_1 g432959(.A1 (n_1217), .A2 (n_7817), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .C1
-       (n_5927), .Y (n_8214));
-  sky130_fd_sc_hd__a221oi_1 g432960(.A1 (n_13380), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .B1 (n_1746), .B2
-       (\u_soc_xbar_to_dccm[a_address] [5]), .C1 (n_7906), .Y (n_8213));
-  sky130_fd_sc_hd__a32oi_1 g432961(.A1 (n_7805), .A2 (n_7254), .A3
-       (n_6858), .B1 (n_7393), .B2 (n_6856), .Y (n_8212));
-  sky130_fd_sc_hd__a222oi_1 g432962(.A1 (u_soc_u_top_u_core_pc_id[7]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[7]),
-       .C1 (n_7910), .C2 (n_1213), .Y (n_8211));
-  sky130_fd_sc_hd__a222oi_1 g432963(.A1 (u_soc_u_top_u_core_pc_id[1]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[1]),
-       .C1 (n_7912), .C2 (n_1213), .Y (n_8210));
-  sky130_fd_sc_hd__a222oi_1 g432964(.A1 (n_6502), .A2 (n_7803), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[14]), .C1
-       (n_7966), .C2 (n_5965), .Y (n_8209));
-  sky130_fd_sc_hd__o21ai_1 g432965(.A1 (n_7913), .A2 (n_2674), .B1
-       (n_5522), .Y (n_8208));
-  sky130_fd_sc_hd__o21ai_1 g432966(.A1 (n_7911), .A2 (n_2674), .B1
-       (n_5516), .Y (n_8207));
-  sky130_fd_sc_hd__o21ai_1 g432967(.A1 (n_7909), .A2 (n_2674), .B1
-       (n_5512), .Y (n_8206));
-  sky130_fd_sc_hd__a221o_1 g432968(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [8]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [8]), .C1 (n_7822), .X (n_8205));
-  sky130_fd_sc_hd__a221o_1 g432969(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [9]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [9]), .C1 (n_7823), .X (n_8204));
-  sky130_fd_sc_hd__a221o_1 g432970(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [10]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [10]), .C1 (n_7690), .X (n_8203));
-  sky130_fd_sc_hd__a221o_1 g432971(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [11]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [11]), .C1 (n_7768), .X (n_8202));
-  sky130_fd_sc_hd__a221o_1 g432972(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [12]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [12]), .C1 (n_7767), .X (n_8201));
-  sky130_fd_sc_hd__a221o_1 g432973(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [13]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [13]), .C1 (n_7766), .X (n_8200));
-  sky130_fd_sc_hd__a221o_1 g432974(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [14]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [14]), .C1 (n_7765), .X (n_8199));
-  sky130_fd_sc_hd__a221o_1 g432975(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [15]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [15]), .C1 (n_7764), .X (n_8198));
-  sky130_fd_sc_hd__a221o_1 g432976(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [24]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [24]), .C1 (n_7763), .X (n_8197));
-  sky130_fd_sc_hd__a221o_1 g432977(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [25]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [25]), .C1 (n_7762), .X (n_8196));
-  sky130_fd_sc_hd__a221o_1 g432978(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [26]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [26]), .C1 (n_7761), .X (n_8195));
-  sky130_fd_sc_hd__a221o_1 g432979(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [27]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [27]), .C1 (n_7760), .X (n_8194));
-  sky130_fd_sc_hd__a221o_1 g432980(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [28]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [28]), .C1 (n_7759), .X (n_8193));
-  sky130_fd_sc_hd__a221o_1 g432981(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [29]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [29]), .C1 (n_7758), .X (n_8192));
-  sky130_fd_sc_hd__a221o_1 g432982(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [30]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [30]), .C1 (n_7757), .X (n_8191));
-  sky130_fd_sc_hd__a221o_1 g432983(.A1 (n_7907), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [31]), .B1 (n_7771), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [31]), .C1 (n_7756), .X (n_8190));
-  sky130_fd_sc_hd__a221o_1 g432984(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [8]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [8]), .C1 (n_7755), .X (n_8189));
-  sky130_fd_sc_hd__a221o_1 g432985(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [9]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [9]), .C1 (n_7754), .X (n_8188));
-  sky130_fd_sc_hd__a221o_1 g432986(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [10]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [10]), .C1 (n_7753), .X (n_8187));
-  sky130_fd_sc_hd__a221o_1 g432987(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [11]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [11]), .C1 (n_7752), .X (n_8186));
-  sky130_fd_sc_hd__a221o_1 g432988(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [12]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [12]), .C1 (n_7751), .X (n_8185));
-  sky130_fd_sc_hd__a221o_1 g432989(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [13]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [13]), .C1 (n_7750), .X (n_8184));
-  sky130_fd_sc_hd__a221o_1 g432990(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [14]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [14]), .C1 (n_7749), .X (n_8183));
-  sky130_fd_sc_hd__a221o_1 g432991(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [15]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [15]), .C1 (n_7748), .X (n_8182));
-  sky130_fd_sc_hd__o21ai_1 g432992(.A1 (n_7913), .A2 (n_2680), .B1
-       (n_5490), .Y (n_8181));
-  sky130_fd_sc_hd__a222oi_1 g432993(.A1 (n_5842), .A2 (n_7606), .B1
-       (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [24]), .C1 (n_7965), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [24]), .Y (n_8180));
-  sky130_fd_sc_hd__a221o_1 g432994(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [25]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [25]), .C1 (n_7747), .X (n_8179));
-  sky130_fd_sc_hd__a221o_1 g432995(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [26]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [26]), .C1 (n_7746), .X (n_8178));
-  sky130_fd_sc_hd__a221o_1 g432996(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [27]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [27]), .C1 (n_7745), .X (n_8177));
-  sky130_fd_sc_hd__a221o_1 g432997(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [28]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [28]), .C1 (n_7744), .X (n_8176));
-  sky130_fd_sc_hd__a221o_1 g432998(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [29]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [29]), .C1 (n_7743), .X (n_8175));
-  sky130_fd_sc_hd__a221o_1 g432999(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [30]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [30]), .C1 (n_7742), .X (n_8174));
-  sky130_fd_sc_hd__a221o_1 g433000(.A1 (n_7965), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
-       [31]), .B1 (n_7770), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [31]), .C1 (n_7741), .X (n_8173));
-  sky130_fd_sc_hd__o21ai_1 g433001(.A1 (n_7911), .A2 (n_2680), .B1
-       (n_5484), .Y (n_8172));
-  sky130_fd_sc_hd__o21ai_1 g433002(.A1 (n_7909), .A2 (n_2680), .B1
-       (n_5480), .Y (n_8171));
-  sky130_fd_sc_hd__o21ai_0 g433003(.A1 (n_1212), .A2 (n_7909), .B1
-       (n_1969), .Y (n_8170));
-  sky130_fd_sc_hd__o21ai_1 g433004(.A1 (n_1848), .A2 (n_7911), .B1
-       (n_2311), .Y (n_8169));
-  sky130_fd_sc_hd__o21ai_0 g433005(.A1 (n_1848), .A2 (n_7909), .B1
-       (n_2398), .Y (n_8168));
-  sky130_fd_sc_hd__a221oi_1 g433006(.A1 (n_2419), .A2 (n_6650), .B1
-       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [15]), .C1
-       (n_7927), .Y (n_8167));
-  sky130_fd_sc_hd__a221oi_1 g433007(.A1 (n_2419), .A2 (n_6648), .B1
-       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [17]), .C1
-       (n_7930), .Y (n_8166));
-  sky130_fd_sc_hd__o2111ai_1 g433008(.A1 (n_6316), .A2 (n_3080), .B1
-       (n_2209), .C1 (n_5593), .D1 (n_7707), .Y (n_8165));
-  sky130_fd_sc_hd__o2bb2ai_1 g433009(.A1_N
-       (u_soc_u_top_u_core_debug_ebreaku), .A2_N (n_1415), .B1
-       (n_7909), .B2 (n_1415), .Y (n_8164));
-  sky130_fd_sc_hd__a22oi_1 g433010(.A1 (n_7966), .A2 (n_5952), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[12]), .Y
-       (n_8163));
-  sky130_fd_sc_hd__a221o_1 g433011(.A1 (n_2419), .A2 (n_6639), .B1
-       (n_2986), .B2 (\u_soc_xbar_to_dccm[a_address] [7]), .C1
-       (n_7895), .X (n_8162));
-  sky130_fd_sc_hd__a221oi_1 g433012(.A1 (n_2418), .A2 (n_1398), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .C1
-       (n_7899), .Y (n_8161));
-  sky130_fd_sc_hd__a22o_1 g433013(.A1 (n_7912), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[1]), .X (n_8160));
-  sky130_fd_sc_hd__a221oi_1 g433014(.A1 (n_2418), .A2 (n_1395), .B1
-       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [27]), .C1
-       (n_7898), .Y (n_8159));
-  sky130_fd_sc_hd__a22o_1 g433015(.A1 (n_7910), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[7]), .X (n_8158));
-  sky130_fd_sc_hd__a221oi_1 g433016(.A1 (n_2418), .A2 (n_1393), .B1
-       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [29]), .C1
-       (n_7897), .Y (n_8157));
-  sky130_fd_sc_hd__a221oi_1 g433017(.A1 (n_2418), .A2 (n_1362), .B1
-       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [30]), .C1
-       (n_7896), .Y (n_8156));
-  sky130_fd_sc_hd__a22o_1 g433018(.A1 (n_7908), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[12]), .X (n_8155));
-  sky130_fd_sc_hd__a22oi_1 g433019(.A1 (n_7974), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .Y (n_8154));
-  sky130_fd_sc_hd__a22oi_1 g433020(.A1 (n_7975), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .Y (n_8153));
-  sky130_fd_sc_hd__a221oi_1 g433021(.A1 (n_2008), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [2]), .B1 (n_2987), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]), .C1 (n_7880), .Y (n_8152));
-  sky130_fd_sc_hd__a22oi_1 g433022(.A1 (n_7976), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .Y (n_8151));
-  sky130_fd_sc_hd__a221oi_1 g433023(.A1 (n_2008), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [3]), .B1 (n_2987), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]), .C1 (n_7879), .Y (n_8150));
-  sky130_fd_sc_hd__a221oi_1 g433024(.A1 (n_2008), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [4]), .B1 (n_2987), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]), .C1 (n_7878), .Y (n_8149));
-  sky130_fd_sc_hd__a22oi_1 g433025(.A1 (n_7978), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .Y (n_8148));
-  sky130_fd_sc_hd__a221oi_1 g433026(.A1 (n_2008), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [5]), .B1 (n_2987), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]), .C1 (n_7877), .Y (n_8147));
-  sky130_fd_sc_hd__a22oi_1 g433027(.A1 (n_7979), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .Y (n_8146));
-  sky130_fd_sc_hd__a221oi_1 g433028(.A1 (n_2008), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [6]), .B1 (n_2987), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]), .C1 (n_7876), .Y (n_8145));
-  sky130_fd_sc_hd__a22oi_1 g433029(.A1 (n_7973), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .Y (n_8144));
-  sky130_fd_sc_hd__a22oi_1 g433030(.A1 (n_7969), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .Y (n_8143));
-  sky130_fd_sc_hd__a22oi_1 g433031(.A1 (n_7975), .A2 (n_1874), .B1
-       (n_1852), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .Y (n_8142));
-  sky130_fd_sc_hd__a22oi_1 g433032(.A1 (n_7976), .A2 (n_1874), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]), .Y (n_8141));
-  sky130_fd_sc_hd__a22oi_1 g433033(.A1 (n_7978), .A2 (n_1874), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]), .Y (n_8140));
-  sky130_fd_sc_hd__a22oi_1 g433034(.A1 (n_7979), .A2 (n_1874), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]), .Y (n_8139));
-  sky130_fd_sc_hd__a22oi_1 g433035(.A1 (n_7974), .A2 (n_1869), .B1
-       (n_7264), .B2 (n_2281), .Y (n_8138));
-  sky130_fd_sc_hd__a22oi_1 g433036(.A1 (n_7976), .A2 (n_1869), .B1
-       (n_7260), .B2 (n_2281), .Y (n_8137));
-  sky130_fd_sc_hd__a22oi_1 g433037(.A1 (n_7979), .A2 (n_1869), .B1
-       (n_7266), .B2 (n_2281), .Y (n_8136));
-  sky130_fd_sc_hd__a2bb2oi_1 g433038(.A1_N
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]), .A2_N (n_7631),
-       .B1 (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]), .B2
-       (n_7631), .Y (n_8135));
-  sky130_fd_sc_hd__a21oi_1 g433039(.A1 (n_2418), .A2 (n_1402), .B1
-       (n_8094), .Y (n_8134));
-  sky130_fd_sc_hd__a221o_1 g433040(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[1]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[1]), .C1 (n_8131), .X (n_8133));
-  sky130_fd_sc_hd__o21ai_1 g433041(.A1 (n_7813), .A2 (n_7919), .B1
-       (n_7253), .Y (n_8289));
-  sky130_fd_sc_hd__a22oi_1 g433042(.A1 (n_7969), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .B1
-       (n_7797), .B2 (n_28), .Y (n_8288));
-  sky130_fd_sc_hd__a32oi_1 g433043(.A1 (n_7820), .A2 (n_110), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13497), .B2 (n_1215), .Y
-       (n_8287));
-  sky130_fd_sc_hd__a32oi_1 g433044(.A1 (n_7817), .A2 (n_623), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13516), .B2 (n_1215), .Y
-       (n_8285));
-  sky130_fd_sc_hd__a32oi_1 g433045(.A1 (n_7816), .A2 (n_131), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13512), .B2 (n_1215), .Y
-       (n_8283));
-  sky130_fd_sc_hd__inv_2 g433046(.A (n_8125), .Y (n_8126));
-  sky130_fd_sc_hd__inv_2 g433047(.A (n_8124), .Y (n_8123));
-  sky130_fd_sc_hd__or4_1 g433048(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [16]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [15]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [14]), .D (n_7599), .X (n_8122));
-  sky130_fd_sc_hd__or4_1 g433049(.A (n_13402), .B (n_13404), .C
-       (n_13403), .D (n_7598), .X (n_8121));
-  sky130_fd_sc_hd__o2111ai_1 g433050(.A1 (n_6599), .A2 (n_3080), .B1
-       (n_5123), .C1 (n_7450), .D1 (n_5560), .Y (n_8120));
-  sky130_fd_sc_hd__o2111ai_1 g433051(.A1 (n_6600), .A2 (n_3080), .B1
-       (n_5124), .C1 (n_7451), .D1 (n_5561), .Y (n_8119));
-  sky130_fd_sc_hd__a221o_1 g433052(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[31]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[31]), .C1 (n_7983), .X (n_8118));
-  sky130_fd_sc_hd__a21oi_1 g433053(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [10]), .B1 (n_7888), .Y
-       (n_8117));
-  sky130_fd_sc_hd__a21oi_1 g433054(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_7889), .Y (n_8116));
-  sky130_fd_sc_hd__nand4_1 g433055(.A (n_7252), .B (n_7640), .C
-       (n_7383), .D (n_7374), .Y (n_8115));
-  sky130_fd_sc_hd__a31oi_1 g433056(.A1 (n_7620), .A2 (n_7387), .A3
-       (n_7626), .B1 (n_6857), .Y (n_8114));
-  sky130_fd_sc_hd__o22ai_1 g433057(.A1 (n_5955), .A2 (n_15907), .B1
-       (n_6002), .B2 (n_7796), .Y (n_8113));
-  sky130_fd_sc_hd__a221oi_1 g433058(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [5]), .B1 (n_1746), .B2
-       (\u_soc_xbar_to_dccm[a_address] [4]), .C1 (n_7831), .Y (n_8112));
-  sky130_fd_sc_hd__a21oi_1 g433059(.A1 (n_7344), .A2 (n_6856), .B1
-       (n_7919), .Y (n_8111));
-  sky130_fd_sc_hd__nand4_1 g433060(.A (n_5538), .B (n_7720), .C
-       (n_6944), .D (n_5223), .Y (n_8110));
-  sky130_fd_sc_hd__a21o_1 g433061(.A1 (n_1750), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .B1
-       (n_7922), .X (n_8109));
-  sky130_fd_sc_hd__a21o_1 g433062(.A1 (n_1406), .A2
-       (u_soc_u_top_u_core_exc_cause[5]), .B1 (n_7983), .X (n_8108));
-  sky130_fd_sc_hd__nor3_1 g433063(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_7558), .C
-       (n_15909), .Y (n_8107));
-  sky130_fd_sc_hd__nor2_1 g433064(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7657), .Y
-       (n_8106));
-  sky130_fd_sc_hd__nor2_1 g433065(.A (n_7911), .B (n_2678), .Y
-       (n_8105));
-  sky130_fd_sc_hd__nor2_1 g433066(.A (n_7911), .B (n_2676), .Y
-       (n_8104));
-  sky130_fd_sc_hd__nor2_1 g433067(.A (n_7913), .B (n_2678), .Y
-       (n_8103));
-  sky130_fd_sc_hd__nor2_1 g433068(.A (n_7913), .B (n_2676), .Y
-       (n_8102));
-  sky130_fd_sc_hd__nor2b_1 g433069(.A (n_7915), .B_N (n_7628), .Y
-       (n_8101));
-  sky130_fd_sc_hd__nor2_1 g433070(.A (n_7909), .B (n_2254), .Y
-       (n_8100));
-  sky130_fd_sc_hd__nor2_1 g433071(.A (n_7909), .B (n_2678), .Y
-       (n_8099));
-  sky130_fd_sc_hd__nor2_1 g433072(.A (n_7909), .B (n_2676), .Y
-       (n_8098));
-  sky130_fd_sc_hd__o21a_1 g433073(.A1 (n_7803), .A2 (n_7818), .B1
-       (n_7265), .X (n_8097));
-  sky130_fd_sc_hd__nor2b_1 g433074(.A (n_7972), .B_N (n_7819), .Y
-       (n_8096));
-  sky130_fd_sc_hd__a221o_1 g433075(.A1 (n_3075), .A2 (n_13677), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]), .C1
-       (n_7696), .X (n_8095));
-  sky130_fd_sc_hd__nor2_1 g433076(.A (n_7920), .B (n_1216), .Y
-       (n_8094));
-  sky130_fd_sc_hd__o2111ai_1 g433077(.A1 (n_1416), .A2 (n_6589), .B1
-       (n_6905), .C1 (n_7349), .D1 (n_7891), .Y (n_8093));
-  sky130_fd_sc_hd__nand2_1 g433078(.A (n_7969), .B (n_1874), .Y
-       (n_8092));
-  sky130_fd_sc_hd__nand2_1 g433079(.A (n_7973), .B (n_1874), .Y
-       (n_8091));
-  sky130_fd_sc_hd__nor3b_1 g433080(.A
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B (n_6856),
-       .C_N (n_7805), .Y (n_8132));
-  sky130_fd_sc_hd__nor2_1 g433086(.A (n_1848), .B (n_7913), .Y
-       (n_8131));
-  sky130_fd_sc_hd__nor2_1 g433099(.A (n_7971), .B (n_7894), .Y
-       (n_8130));
-  sky130_fd_sc_hd__nand2_1 g433101(.A (n_7633), .B (n_1452), .Y
-       (n_8129));
-  sky130_fd_sc_hd__and3_1 g433110(.A (n_7810), .B (n_7687), .C
-       (n_7565), .X (n_8128));
-  sky130_fd_sc_hd__nand2_1 g433118(.A (n_15909), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .Y (n_8127));
-  sky130_fd_sc_hd__nand2_1 g433145(.A (n_1750), .B (n_7981), .Y
-       (n_8125));
-  sky130_fd_sc_hd__nand2_1 g433146(.A (n_7796), .B (n_7982), .Y
-       (n_8124));
-  sky130_fd_sc_hd__inv_1 g433147(.A (n_8088), .Y (n_8089));
-  sky130_fd_sc_hd__inv_2 g433148(.A (n_8085), .Y (n_8084));
-  sky130_fd_sc_hd__inv_2 g433149(.A (n_8083), .Y (n_8082));
-  sky130_fd_sc_hd__inv_2 g433150(.A (n_8081), .Y (n_8080));
-  sky130_fd_sc_hd__inv_2 g433151(.A (n_8079), .Y (n_8078));
-  sky130_fd_sc_hd__clkinv_1 g433152(.A (n_8077), .Y (n_8076));
-  sky130_fd_sc_hd__inv_2 g433153(.A (n_8075), .Y (n_8074));
-  sky130_fd_sc_hd__o21ai_1 g433154(.A1 (n_7773), .A2 (n_2680), .B1
-       (n_5475), .Y (n_8073));
-  sky130_fd_sc_hd__a221o_1 g433155(.A1 (n_3075), .A2 (n_13687), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]), .C1
-       (n_7694), .X (n_8072));
-  sky130_fd_sc_hd__a221o_1 g433156(.A1 (n_3075), .A2 (n_13691), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]), .C1
-       (n_7693), .X (n_8071));
-  sky130_fd_sc_hd__a221o_1 g433157(.A1 (n_3074), .A2 (n_13612), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]), .C1
-       (n_7692), .X (n_8070));
-  sky130_fd_sc_hd__a221o_1 g433158(.A1 (n_3074), .A2 (n_13621), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]), .C1
-       (n_7691), .X (n_8069));
-  sky130_fd_sc_hd__a221o_1 g433159(.A1 (n_3074), .A2 (n_13622), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]), .C1
-       (n_7769), .X (n_8068));
-  sky130_fd_sc_hd__a221o_1 g433160(.A1 (n_3074), .A2 (n_13626), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]), .C1
-       (n_7689), .X (n_8067));
-  sky130_fd_sc_hd__a221o_1 g433161(.A1 (n_3075), .A2 (n_13681), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]), .C1
-       (n_7702), .X (n_8066));
-  sky130_fd_sc_hd__a221o_1 g433162(.A1 (n_3075), .A2 (n_13683), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]), .C1
-       (n_7701), .X (n_8065));
-  sky130_fd_sc_hd__a221o_1 g433163(.A1 (n_3074), .A2 (n_13616), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]), .C1
-       (n_7700), .X (n_8064));
-  sky130_fd_sc_hd__a221o_1 g433164(.A1 (n_3074), .A2 (n_13618), .B1
-       (n_2679), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]), .C1
-       (n_7699), .X (n_8063));
-  sky130_fd_sc_hd__o21a_1 g433165(.A1 (n_7276), .A2 (n_7811), .B1
-       (n_5954), .X (n_8062));
-  sky130_fd_sc_hd__a22oi_1 g433166(.A1 (n_7619), .A2 (n_7346), .B1
-       (n_7803), .B2 (n_6501), .Y (n_8061));
-  sky130_fd_sc_hd__nand2_1 g433167(.A (n_7902), .B (n_7386), .Y
-       (n_8060));
-  sky130_fd_sc_hd__a22oi_1 g433168(.A1 (n_7797), .A2 (n_2281), .B1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .B2 (n_8), .Y
-       (n_8059));
-  sky130_fd_sc_hd__a22oi_1 g433169(.A1 (n_7797), .A2 (n_1871), .B1
-       (n_7268), .B2 (n_1873), .Y (n_8058));
-  sky130_fd_sc_hd__a22oi_1 g433170(.A1 (n_7806), .A2 (n_1419), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]), .Y (n_8057));
-  sky130_fd_sc_hd__a22oi_1 g433171(.A1 (n_7807), .A2 (n_1419), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]), .Y (n_8056));
-  sky130_fd_sc_hd__a22oi_1 g433172(.A1 (n_7804), .A2 (n_1871), .B1
-       (n_7266), .B2 (n_1873), .Y (n_8055));
-  sky130_fd_sc_hd__a21oi_1 g433173(.A1 (n_7798), .A2 (n_6857), .B1
-       (n_7392), .Y (n_8054));
-  sky130_fd_sc_hd__a22oi_1 g433174(.A1 (n_7802), .A2 (n_1871), .B1
-       (n_7259), .B2 (n_1873), .Y (n_8053));
-  sky130_fd_sc_hd__a22oi_1 g433175(.A1 (n_7801), .A2 (n_1871), .B1
-       (n_7260), .B2 (n_1873), .Y (n_8052));
-  sky130_fd_sc_hd__a221oi_1 g433176(.A1 (n_2149), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [1]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [1]), .C1 (n_7698), .Y (n_8051));
-  sky130_fd_sc_hd__a222oi_1 g433177(.A1 (u_soc_u_top_u_core_pc_id[15]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[15]),
-       .C1 (n_7782), .C2 (n_1213), .Y (n_8050));
-  sky130_fd_sc_hd__a222oi_1 g433178(.A1 (u_soc_u_top_u_core_pc_id[16]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[16]),
-       .C1 (n_7772), .C2 (n_1213), .Y (n_8049));
-  sky130_fd_sc_hd__a222oi_1 g433179(.A1 (u_soc_u_top_u_core_pc_id[18]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[18]),
-       .C1 (n_7776), .C2 (n_1213), .Y (n_8048));
-  sky130_fd_sc_hd__a222oi_1 g433180(.A1 (u_soc_u_top_u_core_pc_id[19]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[19]),
-       .C1 (n_7778), .C2 (n_1213), .Y (n_8047));
-  sky130_fd_sc_hd__a222oi_1 g433181(.A1 (u_soc_u_top_u_core_pc_id[22]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[22]),
-       .C1 (n_7780), .C2 (n_1213), .Y (n_8046));
-  sky130_fd_sc_hd__a222oi_1 g433182(.A1 (u_soc_u_top_u_core_pc_id[23]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[23]),
-       .C1 (n_7774), .C2 (n_1213), .Y (n_8045));
-  sky130_fd_sc_hd__a222oi_1 g433183(.A1 (u_soc_u_top_u_core_pc_id[24]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[24]),
-       .C1 (n_7786), .C2 (n_1213), .Y (n_8044));
-  sky130_fd_sc_hd__a222oi_1 g433184(.A1 (u_soc_u_top_u_core_pc_id[25]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[25]),
-       .C1 (n_7788), .C2 (n_1213), .Y (n_8043));
-  sky130_fd_sc_hd__a222oi_1 g433185(.A1 (u_soc_u_top_u_core_pc_id[26]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[26]),
-       .C1 (n_7790), .C2 (n_1213), .Y (n_8042));
-  sky130_fd_sc_hd__a222oi_1 g433186(.A1 (u_soc_u_top_u_core_pc_id[28]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[28]),
-       .C1 (n_7784), .C2 (n_1213), .Y (n_8041));
-  sky130_fd_sc_hd__a222oi_1 g433187(.A1 (u_soc_u_top_u_core_pc_id[31]),
-       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[31]),
-       .C1 (n_7792), .C2 (n_1213), .Y (n_8040));
-  sky130_fd_sc_hd__a22oi_1 g433188(.A1 (n_7800), .A2 (n_1871), .B1
-       (n_7264), .B2 (n_1873), .Y (n_8039));
-  sky130_fd_sc_hd__o21ai_1 g433189(.A1 (n_7795), .A2 (n_2674), .B1
-       (n_5518), .Y (n_8038));
-  sky130_fd_sc_hd__o21ai_1 g433190(.A1 (n_7783), .A2 (n_2674), .B1
-       (n_5508), .Y (n_8037));
-  sky130_fd_sc_hd__o21ai_1 g433191(.A1 (n_7773), .A2 (n_2674), .B1
-       (n_5507), .Y (n_8036));
-  sky130_fd_sc_hd__o21ai_1 g433192(.A1 (n_7777), .A2 (n_2674), .B1
-       (n_5505), .Y (n_8035));
-  sky130_fd_sc_hd__o21ai_1 g433193(.A1 (n_7779), .A2 (n_2674), .B1
-       (n_5504), .Y (n_8034));
-  sky130_fd_sc_hd__o21ai_1 g433194(.A1 (n_7775), .A2 (n_2674), .B1
-       (n_5500), .Y (n_8033));
-  sky130_fd_sc_hd__o21ai_1 g433195(.A1 (n_7781), .A2 (n_2674), .B1
-       (n_5501), .Y (n_8032));
-  sky130_fd_sc_hd__o21ai_1 g433196(.A1 (n_7787), .A2 (n_2674), .B1
-       (n_5499), .Y (n_8031));
-  sky130_fd_sc_hd__o21ai_1 g433197(.A1 (n_7789), .A2 (n_2674), .B1
-       (n_5498), .Y (n_8030));
-  sky130_fd_sc_hd__o21ai_1 g433198(.A1 (n_7791), .A2 (n_2674), .B1
-       (n_5497), .Y (n_8029));
-  sky130_fd_sc_hd__o21ai_1 g433199(.A1 (n_7785), .A2 (n_2674), .B1
-       (n_5495), .Y (n_8028));
-  sky130_fd_sc_hd__o21ai_1 g433200(.A1 (n_7793), .A2 (n_2674), .B1
-       (n_5492), .Y (n_8027));
-  sky130_fd_sc_hd__o21ai_1 g433201(.A1 (n_7795), .A2 (n_2680), .B1
-       (n_5486), .Y (n_8026));
-  sky130_fd_sc_hd__o21ai_1 g433202(.A1 (n_7783), .A2 (n_2680), .B1
-       (n_5476), .Y (n_8025));
-  sky130_fd_sc_hd__a221o_1 g433203(.A1 (n_3075), .A2 (n_13686), .B1
-       (n_2673), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]), .C1
-       (n_7695), .X (n_8024));
-  sky130_fd_sc_hd__o21ai_1 g433204(.A1 (n_7777), .A2 (n_2680), .B1
-       (n_5473), .Y (n_8023));
-  sky130_fd_sc_hd__o21ai_1 g433205(.A1 (n_7779), .A2 (n_2680), .B1
-       (n_5472), .Y (n_8022));
-  sky130_fd_sc_hd__o21ai_1 g433206(.A1 (n_7775), .A2 (n_2680), .B1
-       (n_5526), .Y (n_8021));
-  sky130_fd_sc_hd__o21ai_1 g433207(.A1 (n_7781), .A2 (n_2680), .B1
-       (n_5469), .Y (n_8020));
-  sky130_fd_sc_hd__o21ai_1 g433208(.A1 (n_7787), .A2 (n_2680), .B1
-       (n_5528), .Y (n_8019));
-  sky130_fd_sc_hd__o21ai_1 g433209(.A1 (n_7789), .A2 (n_2680), .B1
-       (n_5531), .Y (n_8018));
-  sky130_fd_sc_hd__o21ai_1 g433210(.A1 (n_7791), .A2 (n_2680), .B1
-       (n_5536), .Y (n_8017));
-  sky130_fd_sc_hd__o21ai_1 g433211(.A1 (n_7785), .A2 (n_2680), .B1
-       (n_5577), .Y (n_8016));
-  sky130_fd_sc_hd__o21ai_1 g433212(.A1 (n_7793), .A2 (n_2680), .B1
-       (n_5580), .Y (n_8015));
-  sky130_fd_sc_hd__o21ai_0 g433213(.A1 (n_1212), .A2 (n_7795), .B1
-       (n_1963), .Y (n_8014));
-  sky130_fd_sc_hd__o21ai_0 g433214(.A1 (n_1848), .A2 (n_7795), .B1
-       (n_2342), .Y (n_8013));
-  sky130_fd_sc_hd__o21ai_0 g433215(.A1 (n_1848), .A2 (n_7783), .B1
-       (n_2335), .Y (n_8012));
-  sky130_fd_sc_hd__o21ai_0 g433216(.A1 (n_1848), .A2 (n_7773), .B1
-       (n_2334), .Y (n_8011));
-  sky130_fd_sc_hd__o21ai_0 g433217(.A1 (n_1848), .A2 (n_7777), .B1
-       (n_2333), .Y (n_8010));
-  sky130_fd_sc_hd__o21ai_0 g433218(.A1 (n_1848), .A2 (n_7779), .B1
-       (n_2332), .Y (n_8009));
-  sky130_fd_sc_hd__o21ai_0 g433219(.A1 (n_1848), .A2 (n_7781), .B1
-       (n_2384), .Y (n_8008));
-  sky130_fd_sc_hd__o21ai_0 g433220(.A1 (n_1848), .A2 (n_7775), .B1
-       (n_2329), .Y (n_8007));
-  sky130_fd_sc_hd__o21ai_0 g433221(.A1 (n_1848), .A2 (n_7787), .B1
-       (n_2326), .Y (n_8006));
-  sky130_fd_sc_hd__o21ai_0 g433222(.A1 (n_1848), .A2 (n_7789), .B1
-       (n_2325), .Y (n_8005));
-  sky130_fd_sc_hd__o21ai_0 g433223(.A1 (n_1848), .A2 (n_7791), .B1
-       (n_2324), .Y (n_8004));
-  sky130_fd_sc_hd__o21ai_0 g433224(.A1 (n_1848), .A2 (n_7785), .B1
-       (n_2400), .Y (n_8003));
-  sky130_fd_sc_hd__nand4_1 g433225(.A (n_7655), .B (n_5597), .C
-       (n_2187), .D (n_5559), .Y (n_8002));
-  sky130_fd_sc_hd__a221o_1 g433226(.A1 (n_2421), .A2 (n_13563), .B1
-       (n_3085), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .C1
-       (n_7739), .X (n_8001));
-  sky130_fd_sc_hd__a22oi_1 g433227(.A1 (n_7253), .A2 (n_7808), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[1]), .Y
-       (n_8000));
-  sky130_fd_sc_hd__o2bb2ai_1 g433228(.A1_N
-       (u_soc_u_top_u_core_debug_ebreakm), .A2_N (n_1415), .B1
-       (n_7783), .B2 (n_1415), .Y (n_7999));
-  sky130_fd_sc_hd__a21oi_1 g433229(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .B1 (n_7923), .Y
-       (n_7998));
-  sky130_fd_sc_hd__a22oi_1 g433230(.A1 (n_1217), .A2 (n_7820), .B1
-       (n_2418), .B2 (n_1384), .Y (n_7997));
-  sky130_fd_sc_hd__a22o_1 g433231(.A1 (n_7794), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[5]), .X (n_7996));
-  sky130_fd_sc_hd__a22o_1 g433232(.A1 (n_7782), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[15]), .X (n_7995));
-  sky130_fd_sc_hd__a22o_1 g433233(.A1 (n_7772), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[16]), .X (n_7994));
-  sky130_fd_sc_hd__a22o_1 g433234(.A1 (n_7776), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[18]), .X (n_7993));
-  sky130_fd_sc_hd__a22o_1 g433235(.A1 (n_7778), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[19]), .X (n_7992));
-  sky130_fd_sc_hd__a22o_1 g433236(.A1 (n_7780), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[22]), .X (n_7991));
-  sky130_fd_sc_hd__a22o_1 g433237(.A1 (n_7774), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[23]), .X (n_7990));
-  sky130_fd_sc_hd__a22o_1 g433238(.A1 (n_7786), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[24]), .X (n_7989));
-  sky130_fd_sc_hd__a22o_1 g433239(.A1 (n_7788), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[25]), .X (n_7988));
-  sky130_fd_sc_hd__a22o_1 g433240(.A1 (n_7790), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[26]), .X (n_7987));
-  sky130_fd_sc_hd__a22o_1 g433241(.A1 (n_7784), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[28]), .X (n_7986));
-  sky130_fd_sc_hd__a22o_1 g433242(.A1 (n_7792), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[31]), .X (n_7985));
-  sky130_fd_sc_hd__nand4_1 g433243(.A (n_7432), .B (n_1981), .C
-       (n_2663), .D (n_2969), .Y (n_8090));
-  sky130_fd_sc_hd__a22oi_1 g433244(.A1 (n_7806), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .B1
-       (n_7268), .B2 (n_28), .Y (n_8088));
-  sky130_fd_sc_hd__a22oi_1 g433245(.A1 (n_7806), .A2 (n_28), .B1
-       (n_7268), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .Y
-       (n_8087));
-  sky130_fd_sc_hd__nor3_1 g433246(.A (n_6857), .B (n_7392), .C
-       (n_7799), .Y (n_8086));
-  sky130_fd_sc_hd__a32oi_1 g433247(.A1 (n_7639), .A2 (n_116), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13503), .B2 (n_1215), .Y
-       (n_8085));
-  sky130_fd_sc_hd__a32oi_1 g433248(.A1 (n_7637), .A2 (n_639), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13524), .B2 (n_1215), .Y
-       (n_8083));
-  sky130_fd_sc_hd__a32oi_1 g433249(.A1 (n_7638), .A2 (n_614), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13522), .B2 (n_1215), .Y
-       (n_8081));
-  sky130_fd_sc_hd__a32oi_1 g433250(.A1 (n_7635), .A2 (n_619), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13515), .B2 (n_1215), .Y
-       (n_8079));
-  sky130_fd_sc_hd__a32oi_1 g433251(.A1 (n_7636), .A2 (n_135), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13508), .B2 (n_1215), .Y
-       (n_8077));
-  sky130_fd_sc_hd__a32oi_1 g433252(.A1 (n_7634), .A2 (n_119), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13525), .B2 (n_1215), .Y
-       (n_8075));
-  sky130_fd_sc_hd__clkinv_1 g433253(.A (n_7971), .Y (n_7970));
-  sky130_fd_sc_hd__inv_1 g433254(.A (n_7969), .Y (n_7968));
-  sky130_fd_sc_hd__nor2_1 g433256(.A (n_7791), .B (n_2678), .Y
-       (n_7964));
-  sky130_fd_sc_hd__nor2_1 g433257(.A (n_7789), .B (n_2678), .Y
-       (n_7963));
-  sky130_fd_sc_hd__nor2_1 g433258(.A (n_7787), .B (n_2678), .Y
-       (n_7962));
-  sky130_fd_sc_hd__nor2_1 g433259(.A (n_7775), .B (n_2678), .Y
-       (n_7961));
-  sky130_fd_sc_hd__nor2_1 g433260(.A (n_7781), .B (n_2678), .Y
-       (n_7960));
-  sky130_fd_sc_hd__nor2_1 g433261(.A (n_7779), .B (n_2678), .Y
-       (n_7959));
-  sky130_fd_sc_hd__nor2_1 g433262(.A (n_7777), .B (n_2678), .Y
-       (n_7958));
-  sky130_fd_sc_hd__nor2_1 g433263(.A (n_7773), .B (n_2678), .Y
-       (n_7957));
-  sky130_fd_sc_hd__nor2_1 g433264(.A (n_7783), .B (n_2678), .Y
-       (n_7956));
-  sky130_fd_sc_hd__nor2_1 g433265(.A (n_7785), .B (n_2676), .Y
-       (n_7955));
-  sky130_fd_sc_hd__nor2_1 g433266(.A (n_7791), .B (n_2676), .Y
-       (n_7954));
-  sky130_fd_sc_hd__nor2_1 g433267(.A (n_7789), .B (n_2676), .Y
-       (n_7953));
-  sky130_fd_sc_hd__nor2_1 g433268(.A (n_7787), .B (n_2676), .Y
-       (n_7952));
-  sky130_fd_sc_hd__nor2_1 g433269(.A (n_7781), .B (n_2676), .Y
-       (n_7951));
-  sky130_fd_sc_hd__nor2_1 g433270(.A (n_7775), .B (n_2676), .Y
-       (n_7950));
-  sky130_fd_sc_hd__nor2_1 g433271(.A (n_7779), .B (n_2676), .Y
-       (n_7949));
-  sky130_fd_sc_hd__nor2_1 g433272(.A (n_7777), .B (n_2676), .Y
-       (n_7948));
-  sky130_fd_sc_hd__nor2_1 g433273(.A (n_7773), .B (n_2676), .Y
-       (n_7947));
-  sky130_fd_sc_hd__nor2_1 g433274(.A (n_7783), .B (n_2676), .Y
-       (n_7946));
-  sky130_fd_sc_hd__nor2_1 g433275(.A (n_7793), .B (n_2254), .Y
-       (n_7945));
-  sky130_fd_sc_hd__nor2_1 g433276(.A (n_7785), .B (n_2254), .Y
-       (n_7944));
-  sky130_fd_sc_hd__nor2_1 g433277(.A (n_7791), .B (n_2254), .Y
-       (n_7943));
-  sky130_fd_sc_hd__nor2_1 g433278(.A (n_7789), .B (n_2254), .Y
-       (n_7942));
-  sky130_fd_sc_hd__nor2_1 g433279(.A (n_7787), .B (n_2254), .Y
-       (n_7941));
-  sky130_fd_sc_hd__nor2_1 g433280(.A (n_7775), .B (n_2254), .Y
-       (n_7940));
-  sky130_fd_sc_hd__nor2_1 g433281(.A (n_7781), .B (n_2254), .Y
-       (n_7939));
-  sky130_fd_sc_hd__nor2_1 g433282(.A (n_7779), .B (n_2254), .Y
-       (n_7938));
-  sky130_fd_sc_hd__nor2_1 g433283(.A (n_7777), .B (n_2254), .Y
-       (n_7937));
-  sky130_fd_sc_hd__nor2_1 g433284(.A (n_7773), .B (n_2254), .Y
-       (n_7936));
-  sky130_fd_sc_hd__nor2_1 g433285(.A (n_7783), .B (n_2254), .Y
-       (n_7935));
-  sky130_fd_sc_hd__nor2_1 g433286(.A (n_7793), .B (n_2678), .Y
-       (n_7934));
-  sky130_fd_sc_hd__nor2_1 g433287(.A (n_7793), .B (n_2676), .Y
-       (n_7933));
-  sky130_fd_sc_hd__nor2_1 g433288(.A (n_7795), .B (n_2678), .Y
-       (n_7932));
-  sky130_fd_sc_hd__nor2_1 g433289(.A (n_7795), .B (n_2676), .Y
-       (n_7931));
-  sky130_fd_sc_hd__nand2_1 g433290(.A (n_7705), .B (n_5592), .Y
-       (n_7930));
-  sky130_fd_sc_hd__nor2_1 g433291(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B (n_7825), .Y
-       (n_7929));
-  sky130_fd_sc_hd__nand2_1 g433292(.A (n_7654), .B (n_5652), .Y
-       (n_7928));
-  sky130_fd_sc_hd__nand2_1 g433293(.A (n_7703), .B (n_5590), .Y
-       (n_7927));
-  sky130_fd_sc_hd__nand2_1 g433294(.A (n_7803), .B (n_5946), .Y
-       (n_7926));
-  sky130_fd_sc_hd__nor2_1 g433295(.A (n_5946), .B (n_7799), .Y
-       (n_7925));
-  sky130_fd_sc_hd__nand2_1 g433296(.A (n_7812), .B (n_6873), .Y
-       (n_7924));
-  sky130_fd_sc_hd__nor2_1 g433297(.A (n_6861), .B (n_7796), .Y
-       (n_7923));
-  sky130_fd_sc_hd__nor2_1 g433299(.A (n_1848), .B (n_7793), .Y
-       (n_7983));
-  sky130_fd_sc_hd__or2_1 g433301(.A (n_7808), .B (n_7252), .X (n_7982));
-  sky130_fd_sc_hd__nor2b_1 g433302(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .B_N
-       (n_7824), .Y (n_7981));
-  sky130_fd_sc_hd__nor2b_1 g433303(.A (n_7395), .B_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .Y (n_7980));
-  sky130_fd_sc_hd__nand4_1 g433305(.A (n_7365), .B (n_6404), .C
-       (n_1747), .D (n_6702), .Y (n_7979));
-  sky130_fd_sc_hd__nand4_1 g433306(.A (n_7364), .B (n_6405), .C
-       (n_1747), .D (n_6701), .Y (n_7978));
-  sky130_fd_sc_hd__nor2_1 g433307(.A (n_7803), .B (n_7814), .Y
-       (n_7977));
-  sky130_fd_sc_hd__nand4_1 g433308(.A (n_7363), .B (n_6406), .C
-       (n_1747), .D (n_6699), .Y (n_7976));
-  sky130_fd_sc_hd__nand4_1 g433309(.A (n_7362), .B (n_6689), .C
-       (n_1747), .D (n_6407), .Y (n_7975));
-  sky130_fd_sc_hd__nand4_1 g433310(.A (n_7361), .B (n_6408), .C
-       (n_1747), .D (n_6687), .Y (n_7974));
-  sky130_fd_sc_hd__nand4_1 g433311(.A (n_7366), .B (n_6703), .C
-       (n_1747), .D (n_6403), .Y (n_7973));
-  sky130_fd_sc_hd__nor2_1 g433321(.A (n_7798), .B (n_7625), .Y
-       (n_7972));
-  sky130_fd_sc_hd__nand2_1 g433341(.A (n_7796), .B (n_7618), .Y
-       (n_7971));
-  sky130_fd_sc_hd__nand4_1 g433342(.A (n_7367), .B (n_6704), .C
-       (n_1747), .D (n_6402), .Y (n_7969));
-  sky130_fd_sc_hd__nor2_1 g433343(.A (n_7799), .B (n_7625), .Y
-       (n_7966));
-  sky130_fd_sc_hd__nor2_1 g433348(.A (n_7606), .B (n_7770), .Y
-       (n_7965));
-  sky130_fd_sc_hd__inv_1 g433349(.A (n_7917), .Y (n_7918));
-  sky130_fd_sc_hd__inv_2 g433350(.A (n_7913), .Y (n_7912));
-  sky130_fd_sc_hd__inv_2 g433351(.A (n_7911), .Y (n_7910));
-  sky130_fd_sc_hd__inv_2 g433352(.A (n_7909), .Y (n_7908));
-  sky130_fd_sc_hd__o2111ai_1 g433353(.A1 (n_6604), .A2 (n_2420), .B1
-       (n_5225), .C1 (n_7376), .D1 (n_5570), .Y (n_7906));
-  sky130_fd_sc_hd__nor2_1 g433354(.A (n_7785), .B (n_2678), .Y
-       (n_7905));
-  sky130_fd_sc_hd__o22ai_1 g433355(.A1 (n_7270), .A2 (n_7632), .B1
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B2 (n_7394), .Y
-       (n_7904));
-  sky130_fd_sc_hd__a221o_1 g433356(.A1 (n_2419), .A2 (n_6640), .B1
-       (n_2986), .B2 (\u_soc_xbar_to_dccm[a_address] [4]), .C1
-       (n_7566), .X (n_7903));
-  sky130_fd_sc_hd__nand2b_1 g433357(.A_N (n_7805), .B (n_7628), .Y
-       (n_7902));
-  sky130_fd_sc_hd__nor3_1 g433358(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_7309), .C
-       (n_7825), .Y (n_7901));
-  sky130_fd_sc_hd__a22o_1 g433359(.A1 (n_7612), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[14]), .X (n_7900));
-  sky130_fd_sc_hd__o2bb2ai_1 g433360(.A1_N (n_7635), .A2_N (n_1217),
-       .B1 (n_1179), .B2 (n_5364), .Y (n_7899));
-  sky130_fd_sc_hd__o2bb2ai_1 g433361(.A1_N (n_7638), .A2_N (n_1217),
-       .B1 (n_1176), .B2 (n_5364), .Y (n_7898));
-  sky130_fd_sc_hd__o2bb2ai_1 g433362(.A1_N (n_7637), .A2_N (n_1217),
-       .B1 (n_1200), .B2 (n_5364), .Y (n_7897));
-  sky130_fd_sc_hd__o2bb2ai_1 g433363(.A1_N (n_7634), .A2_N (n_1217),
-       .B1 (n_1185), .B2 (n_5364), .Y (n_7896));
-  sky130_fd_sc_hd__o221ai_1 g433364(.A1 (n_1021), .A2 (n_5364), .B1
-       (n_7399), .B2 (n_1216), .C1 (n_3049), .Y (n_7895));
-  sky130_fd_sc_hd__o21ai_1 g433365(.A1 (n_7602), .A2 (n_6923), .B1
-       (n_7809), .Y (n_7894));
-  sky130_fd_sc_hd__a22o_1 g433366(.A1 (n_7554), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[10]), .X (n_7893));
-  sky130_fd_sc_hd__a22o_1 g433367(.A1 (n_7556), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[9]), .X (n_7892));
-  sky130_fd_sc_hd__a221oi_1 g433368(.A1 (n_5939), .A2 (n_13417), .B1
-       (n_5938), .B2 (n_13418), .C1 (n_7651), .Y (n_7891));
-  sky130_fd_sc_hd__a221o_1 g433369(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[4]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[4]), .C1 (n_7815), .X (n_7890));
-  sky130_fd_sc_hd__o2111ai_1 g433370(.A1 (n_6794), .A2 (n_2420), .B1
-       (n_5131), .C1 (n_7377), .D1 (n_5567), .Y (n_7889));
-  sky130_fd_sc_hd__o2111ai_1 g433371(.A1 (n_6798), .A2 (n_2420), .B1
-       (n_5130), .C1 (n_7378), .D1 (n_5566), .Y (n_7888));
-  sky130_fd_sc_hd__a221oi_1 g433372(.A1 (n_5384), .A2 (n_5869), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .C1
-       (n_7653), .Y (n_7887));
-  sky130_fd_sc_hd__a221oi_1 g433373(.A1 (n_5384), .A2 (n_5870), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .C1
-       (n_7650), .Y (n_7886));
-  sky130_fd_sc_hd__o21ai_1 g433374(.A1 (n_6607), .A2 (n_2420), .B1
-       (n_7656), .Y (n_7885));
-  sky130_fd_sc_hd__a221oi_1 g433375(.A1 (n_2418), .A2 (n_1367), .B1
-       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [28]), .C1
-       (n_7559), .Y (n_7884));
-  sky130_fd_sc_hd__a22o_1 g433376(.A1 (n_7616), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[6]), .X (n_7883));
-  sky130_fd_sc_hd__a22o_1 g433377(.A1 (n_7614), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[4]), .X (n_7882));
-  sky130_fd_sc_hd__a221oi_1 g433378(.A1 (n_2418), .A2 (n_1363), .B1
-       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [26]), .C1
-       (n_7562), .Y (n_7881));
-  sky130_fd_sc_hd__nand4_1 g433379(.A (n_2960), .B (n_2616), .C
-       (n_7354), .D (n_2617), .Y (n_7880));
-  sky130_fd_sc_hd__nand4_1 g433380(.A (n_2961), .B (n_2615), .C
-       (n_7355), .D (n_2634), .Y (n_7879));
-  sky130_fd_sc_hd__nand4_1 g433381(.A (n_2962), .B (n_2614), .C
-       (n_7356), .D (n_2646), .Y (n_7878));
-  sky130_fd_sc_hd__nand4_1 g433382(.A (n_2931), .B (n_2625), .C
-       (n_7357), .D (n_2613), .Y (n_7877));
-  sky130_fd_sc_hd__nand4_1 g433383(.A (n_2933), .B (n_2671), .C
-       (n_7358), .D (n_2628), .Y (n_7876));
-  sky130_fd_sc_hd__a21oi_1 g433384(.A1 (n_7619), .A2 (n_7270), .B1
-       (n_7359), .Y (n_7875));
-  sky130_fd_sc_hd__a22o_1 g433385(.A1 (n_7610), .A2 (n_1405), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[0]), .X (n_7874));
-  sky130_fd_sc_hd__a221oi_1 g433386(.A1 (n_2418), .A2 (n_1392), .B1
-       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [25]), .C1
-       (n_7564), .Y (n_7873));
-  sky130_fd_sc_hd__a221oi_1 g433387(.A1 (n_5384), .A2 (n_3144), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .C1
-       (n_7740), .Y (n_7872));
-  sky130_fd_sc_hd__nand3_1 g433388(.A (n_1655), .B (n_1483), .C
-       (n_7579), .Y (n_7871));
-  sky130_fd_sc_hd__nand3_1 g433389(.A (n_1740), .B (n_1508), .C
-       (n_7580), .Y (n_7870));
-  sky130_fd_sc_hd__nand3_1 g433390(.A (n_1668), .B (n_1502), .C
-       (n_7581), .Y (n_7869));
-  sky130_fd_sc_hd__nand3_1 g433391(.A (n_1662), .B (n_1492), .C
-       (n_7582), .Y (n_7868));
-  sky130_fd_sc_hd__nand3_1 g433392(.A (n_1654), .B (n_1484), .C
-       (n_7583), .Y (n_7867));
-  sky130_fd_sc_hd__nand3_1 g433393(.A (n_1667), .B (n_1490), .C
-       (n_7584), .Y (n_7866));
-  sky130_fd_sc_hd__nand3_1 g433394(.A (n_1653), .B (n_1493), .C
-       (n_7585), .Y (n_7865));
-  sky130_fd_sc_hd__nand3_1 g433395(.A (n_1652), .B (n_1501), .C
-       (n_7586), .Y (n_7864));
-  sky130_fd_sc_hd__nand3_1 g433396(.A (n_1651), .B (n_1487), .C
-       (n_7587), .Y (n_7863));
-  sky130_fd_sc_hd__nand3_1 g433397(.A (n_1669), .B (n_1504), .C
-       (n_7588), .Y (n_7862));
-  sky130_fd_sc_hd__nand3_1 g433398(.A (n_1650), .B (n_1505), .C
-       (n_7589), .Y (n_7861));
-  sky130_fd_sc_hd__nand3_1 g433399(.A (n_1640), .B (n_1500), .C
-       (n_7590), .Y (n_7860));
-  sky130_fd_sc_hd__nand3_1 g433400(.A (n_1649), .B (n_1499), .C
-       (n_7591), .Y (n_7859));
-  sky130_fd_sc_hd__nand3_1 g433401(.A (n_1644), .B (n_1498), .C
-       (n_7592), .Y (n_7858));
-  sky130_fd_sc_hd__nand3_1 g433402(.A (n_1648), .B (n_1482), .C
-       (n_7593), .Y (n_7857));
-  sky130_fd_sc_hd__nand3_1 g433403(.A (n_1636), .B (n_1506), .C
-       (n_7594), .Y (n_7856));
-  sky130_fd_sc_hd__nand3_1 g433404(.A (n_1647), .B (n_1497), .C
-       (n_7595), .Y (n_7855));
-  sky130_fd_sc_hd__nand3_1 g433405(.A (n_1645), .B (n_1488), .C
-       (n_7596), .Y (n_7854));
-  sky130_fd_sc_hd__nand3_1 g433406(.A (n_1629), .B (n_1495), .C
-       (n_7597), .Y (n_7853));
-  sky130_fd_sc_hd__o21ai_1 g433407(.A1 (n_7611), .A2 (n_2674), .B1
-       (n_5523), .Y (n_7852));
-  sky130_fd_sc_hd__o21ai_1 g433408(.A1 (n_7615), .A2 (n_2674), .B1
-       (n_5519), .Y (n_7851));
-  sky130_fd_sc_hd__o21ai_1 g433409(.A1 (n_7617), .A2 (n_2674), .B1
-       (n_5517), .Y (n_7850));
-  sky130_fd_sc_hd__o21ai_1 g433410(.A1 (n_7557), .A2 (n_2674), .B1
-       (n_5514), .Y (n_7849));
-  sky130_fd_sc_hd__o21ai_1 g433411(.A1 (n_7555), .A2 (n_2674), .B1
-       (n_5513), .Y (n_7848));
-  sky130_fd_sc_hd__o2111ai_1 g433412(.A1 (n_1374), .A2 (n_6), .B1
-       (n_7351), .C1 (n_7373), .D1 (n_1496), .Y (n_7847));
-  sky130_fd_sc_hd__o21ai_1 g433413(.A1 (n_7613), .A2 (n_2674), .B1
-       (n_5509), .Y (n_7846));
-  sky130_fd_sc_hd__o21ai_1 g433414(.A1 (n_7611), .A2 (n_2680), .B1
-       (n_5491), .Y (n_7845));
-  sky130_fd_sc_hd__o21ai_1 g433415(.A1 (n_7615), .A2 (n_2680), .B1
-       (n_5487), .Y (n_7844));
-  sky130_fd_sc_hd__o21ai_1 g433416(.A1 (n_7617), .A2 (n_2680), .B1
-       (n_5485), .Y (n_7843));
-  sky130_fd_sc_hd__o21ai_1 g433417(.A1 (n_7557), .A2 (n_2680), .B1
-       (n_5482), .Y (n_7842));
-  sky130_fd_sc_hd__o21ai_1 g433418(.A1 (n_7555), .A2 (n_2680), .B1
-       (n_5481), .Y (n_7841));
-  sky130_fd_sc_hd__o21ai_1 g433419(.A1 (n_7613), .A2 (n_2680), .B1
-       (n_5477), .Y (n_7840));
-  sky130_fd_sc_hd__o21ai_0 g433420(.A1 (n_1212), .A2 (n_7615), .B1
-       (n_1962), .Y (n_7839));
-  sky130_fd_sc_hd__o21ai_0 g433421(.A1 (n_1212), .A2 (n_7617), .B1
-       (n_1964), .Y (n_7838));
-  sky130_fd_sc_hd__o21ai_0 g433422(.A1 (n_1212), .A2 (n_7557), .B1
-       (n_1967), .Y (n_7837));
-  sky130_fd_sc_hd__o21ai_0 g433423(.A1 (n_1212), .A2 (n_7555), .B1
-       (n_1968), .Y (n_7836));
-  sky130_fd_sc_hd__o21ai_0 g433424(.A1 (n_1212), .A2 (n_7613), .B1
-       (n_1971), .Y (n_7835));
-  sky130_fd_sc_hd__o21ai_0 g433425(.A1 (n_1848), .A2 (n_7617), .B1
-       (n_2341), .Y (n_7834));
-  sky130_fd_sc_hd__o21ai_0 g433426(.A1 (n_1848), .A2 (n_7557), .B1
-       (n_2340), .Y (n_7833));
-  sky130_fd_sc_hd__o21ai_0 g433427(.A1 (n_1848), .A2 (n_7555), .B1
-       (n_2339), .Y (n_7832));
-  sky130_fd_sc_hd__o21ai_1 g433428(.A1 (n_7038), .A2 (n_2420), .B1
-       (n_7679), .Y (n_7831));
-  sky130_fd_sc_hd__o21ai_0 g433429(.A1 (n_1848), .A2 (n_7613), .B1
-       (n_2336), .Y (n_7830));
-  sky130_fd_sc_hd__a22oi_1 g433430(.A1 (n_7642), .A2 (n_5963), .B1
-       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[8]), .Y
-       (n_7829));
-  sky130_fd_sc_hd__a22o_1 g433431(.A1 (n_7610), .A2 (n_1847), .B1
-       (n_1406), .B2 (u_soc_u_top_u_core_exc_cause[0]), .X (n_7828));
-  sky130_fd_sc_hd__a22oi_1 g433432(.A1 (n_1217), .A2 (n_7639), .B1
-       (n_2418), .B2 (n_1346), .Y (n_7827));
-  sky130_fd_sc_hd__a22oi_1 g433433(.A1 (n_1217), .A2 (n_7636), .B1
-       (n_2418), .B2 (n_1382), .Y (n_7826));
-  sky130_fd_sc_hd__o21ai_1 g433434(.A1 (n_7277), .A2 (n_1751), .B1
-       (n_3084), .Y (n_7922));
-  sky130_fd_sc_hd__o21a_1 g433435(.A1 (n_6861), .A2 (n_7626), .B1
-       (n_7624), .X (n_7921));
-  sky130_fd_sc_hd__a221oi_1 g433436(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[11]), .B1 (n_1214),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]), .C1
-       (n_7737), .Y (n_7920));
-  sky130_fd_sc_hd__nor2_1 g433437(.A (n_6857), .B (n_7683), .Y
-       (n_7919));
-  sky130_fd_sc_hd__a21oi_1 g433438(.A1 (n_7254), .A2 (n_6856), .B1
-       (n_7813), .Y (n_7917));
-  sky130_fd_sc_hd__o21ai_1 g433439(.A1 (n_15912), .A2 (n_6923), .B1
-       (n_7809), .Y (n_7916));
-  sky130_fd_sc_hd__nor2b_1 g433440(.A (n_6857), .B_N (n_7805), .Y
-       (n_7915));
-  sky130_fd_sc_hd__o211ai_1 g433441(.A1 (n_7255), .A2 (n_7273), .B1
-       (n_7386), .C1 (n_7620), .Y (n_7914));
-  sky130_fd_sc_hd__a32oi_1 g433442(.A1 (n_7402), .A2 (n_634), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13496), .B2 (n_1215), .Y
-       (n_7913));
-  sky130_fd_sc_hd__a32oi_1 g433443(.A1 (n_7398), .A2 (n_109), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13502), .B2 (n_1215), .Y
-       (n_7911));
-  sky130_fd_sc_hd__a32oi_1 g433444(.A1 (n_7397), .A2 (n_113), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13507), .B2 (n_1215), .Y
-       (n_7909));
-  sky130_fd_sc_hd__nor2_1 g433445(.A (n_7771), .B (n_7608), .Y
-       (n_7907));
-  sky130_fd_sc_hd__inv_1 g433446(.A (n_7395), .Y (n_7825));
-  sky130_fd_sc_hd__nor2_1 g433447(.A (n_5828), .B (n_7609), .Y
-       (n_7823));
-  sky130_fd_sc_hd__nor2_1 g433448(.A (n_5826), .B (n_7609), .Y
-       (n_7822));
-  sky130_fd_sc_hd__o21ai_1 g433449(.A1 (n_7342), .A2
-       (u_soc_u_top_u_core_pc_set), .B1 (n_7338), .Y (n_7821));
-  sky130_fd_sc_hd__nor2b_1 g433578(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .B_N
-       (n_7277), .Y (n_7824));
-  sky130_fd_sc_hd__inv_1 g433605(.A (n_7799), .Y (n_7798));
-  sky130_fd_sc_hd__clkinv_1 g433606(.A (n_7795), .Y (n_7794));
-  sky130_fd_sc_hd__inv_2 g433607(.A (n_7793), .Y (n_7792));
-  sky130_fd_sc_hd__inv_2 g433608(.A (n_7791), .Y (n_7790));
-  sky130_fd_sc_hd__inv_2 g433609(.A (n_7789), .Y (n_7788));
-  sky130_fd_sc_hd__inv_2 g433610(.A (n_7787), .Y (n_7786));
-  sky130_fd_sc_hd__inv_2 g433611(.A (n_7785), .Y (n_7784));
-  sky130_fd_sc_hd__inv_2 g433612(.A (n_7783), .Y (n_7782));
-  sky130_fd_sc_hd__inv_2 g433613(.A (n_7781), .Y (n_7780));
-  sky130_fd_sc_hd__inv_2 g433614(.A (n_7779), .Y (n_7778));
-  sky130_fd_sc_hd__inv_2 g433615(.A (n_7777), .Y (n_7776));
-  sky130_fd_sc_hd__inv_2 g433616(.A (n_7775), .Y (n_7774));
-  sky130_fd_sc_hd__inv_2 g433617(.A (n_7773), .Y (n_7772));
-  sky130_fd_sc_hd__nor2_1 g433618(.A (n_7555), .B (n_2678), .Y
-       (n_7769));
-  sky130_fd_sc_hd__nor2_1 g433619(.A (n_5832), .B (n_7609), .Y
-       (n_7768));
-  sky130_fd_sc_hd__nor2_1 g433620(.A (n_5834), .B (n_7609), .Y
-       (n_7767));
-  sky130_fd_sc_hd__nor2_1 g433621(.A (n_5836), .B (n_7609), .Y
-       (n_7766));
-  sky130_fd_sc_hd__nor2_1 g433622(.A (n_5838), .B (n_7609), .Y
-       (n_7765));
-  sky130_fd_sc_hd__nor2_1 g433623(.A (n_5840), .B (n_7609), .Y
-       (n_7764));
-  sky130_fd_sc_hd__nor2_1 g433624(.A (n_5841), .B (n_7609), .Y
-       (n_7763));
-  sky130_fd_sc_hd__nor2_1 g433625(.A (n_5844), .B (n_7609), .Y
-       (n_7762));
-  sky130_fd_sc_hd__nor2_1 g433626(.A (n_5846), .B (n_7609), .Y
-       (n_7761));
-  sky130_fd_sc_hd__nor2_1 g433627(.A (n_5848), .B (n_7609), .Y
-       (n_7760));
-  sky130_fd_sc_hd__nor2_1 g433628(.A (n_5850), .B (n_7609), .Y
-       (n_7759));
-  sky130_fd_sc_hd__nor2_1 g433629(.A (n_5852), .B (n_7609), .Y
-       (n_7758));
-  sky130_fd_sc_hd__nor2_1 g433630(.A (n_5854), .B (n_7609), .Y
-       (n_7757));
-  sky130_fd_sc_hd__nor2_1 g433631(.A (n_5856), .B (n_7609), .Y
-       (n_7756));
-  sky130_fd_sc_hd__nor2_1 g433632(.A (n_5826), .B (n_7607), .Y
-       (n_7755));
-  sky130_fd_sc_hd__nor2_1 g433633(.A (n_5828), .B (n_7607), .Y
-       (n_7754));
-  sky130_fd_sc_hd__nor2_1 g433634(.A (n_5830), .B (n_7607), .Y
-       (n_7753));
-  sky130_fd_sc_hd__nor2_1 g433635(.A (n_5832), .B (n_7607), .Y
-       (n_7752));
-  sky130_fd_sc_hd__nor2_1 g433636(.A (n_5834), .B (n_7607), .Y
-       (n_7751));
-  sky130_fd_sc_hd__nor2_1 g433637(.A (n_5836), .B (n_7607), .Y
-       (n_7750));
-  sky130_fd_sc_hd__nor2_1 g433638(.A (n_5838), .B (n_7607), .Y
-       (n_7749));
-  sky130_fd_sc_hd__nor2_1 g433639(.A (n_5840), .B (n_7607), .Y
-       (n_7748));
-  sky130_fd_sc_hd__nor2_1 g433640(.A (n_5844), .B (n_7607), .Y
-       (n_7747));
-  sky130_fd_sc_hd__nor2_1 g433641(.A (n_5846), .B (n_7607), .Y
-       (n_7746));
-  sky130_fd_sc_hd__nor2_1 g433642(.A (n_5848), .B (n_7607), .Y
-       (n_7745));
-  sky130_fd_sc_hd__nor2_1 g433643(.A (n_5850), .B (n_7607), .Y
-       (n_7744));
-  sky130_fd_sc_hd__nor2_1 g433644(.A (n_5852), .B (n_7607), .Y
-       (n_7743));
-  sky130_fd_sc_hd__nor2_1 g433645(.A (n_5854), .B (n_7607), .Y
-       (n_7742));
-  sky130_fd_sc_hd__nor2_1 g433646(.A (n_5856), .B (n_7607), .Y
-       (n_7741));
-  sky130_fd_sc_hd__o2111ai_1 g433647(.A1 (n_6826), .A2 (n_2420), .B1
-       (n_3044), .C1 (n_5582), .D1 (n_7244), .Y (n_7740));
-  sky130_fd_sc_hd__nor2_1 g433648(.A (n_7339), .B (n_1751), .Y
-       (n_7739));
-  sky130_fd_sc_hd__nand4_1 g433649(.A (n_7164), .B (n_6773), .C
-       (n_5652), .D (n_6242), .Y (n_7738));
-  sky130_fd_sc_hd__a221o_1 g433650(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[11]), .B1 (n_1516), .B2
-       (u_soc_u_top_u_core_csr_depc[11]), .C1 (n_7352), .X (n_7737));
-  sky130_fd_sc_hd__nand2_1 g433651(.A (n_1656), .B (n_7601), .Y
-       (n_7736));
-  sky130_fd_sc_hd__nand4_1 g433652(.A (n_7167), .B (n_6771), .C
-       (n_5652), .D (n_6240), .Y (n_7735));
-  sky130_fd_sc_hd__nor2_1 g433653(.A (n_3013), .B (n_7609), .Y
-       (n_7734));
-  sky130_fd_sc_hd__nor2_1 g433654(.A (n_2989), .B (n_7609), .Y
-       (n_7733));
-  sky130_fd_sc_hd__nor2_1 g433655(.A (n_2991), .B (n_7609), .Y
-       (n_7732));
-  sky130_fd_sc_hd__nor2_1 g433656(.A (n_2992), .B (n_7609), .Y
-       (n_7731));
-  sky130_fd_sc_hd__nor2_1 g433657(.A (n_2994), .B (n_7609), .Y
-       (n_7730));
-  sky130_fd_sc_hd__nor2_1 g433658(.A (n_2997), .B (n_7609), .Y
-       (n_7729));
-  sky130_fd_sc_hd__nor2_1 g433659(.A (n_2999), .B (n_7609), .Y
-       (n_7728));
-  sky130_fd_sc_hd__nor2_1 g433660(.A (n_3001), .B (n_7609), .Y
-       (n_7727));
-  sky130_fd_sc_hd__nor2_1 g433661(.A (n_3004), .B (n_7609), .Y
-       (n_7726));
-  sky130_fd_sc_hd__nor2_1 g433662(.A (n_3011), .B (n_7609), .Y
-       (n_7725));
-  sky130_fd_sc_hd__nor2_1 g433663(.A (n_3007), .B (n_7609), .Y
-       (n_7724));
-  sky130_fd_sc_hd__nor2_1 g433664(.A (n_3009), .B (n_7609), .Y
-       (n_7723));
-  sky130_fd_sc_hd__nand4_1 g433665(.A (n_7172), .B (n_6769), .C
-       (n_5652), .D (n_6238), .Y (n_7722));
-  sky130_fd_sc_hd__a22oi_1 g433666(.A1 (n_1217), .A2 (n_7397), .B1
-       (n_2418), .B2 (n_1378), .Y (n_7721));
-  sky130_fd_sc_hd__a22oi_1 g433667(.A1 (n_1217), .A2 (n_7402), .B1
-       (n_2418), .B2 (n_1344), .Y (n_7720));
-  sky130_fd_sc_hd__nor2_1 g433668(.A (n_3013), .B (n_7607), .Y
-       (n_7719));
-  sky130_fd_sc_hd__nor2_1 g433669(.A (n_2989), .B (n_7607), .Y
-       (n_7718));
-  sky130_fd_sc_hd__nor2_1 g433670(.A (n_2991), .B (n_7607), .Y
-       (n_7717));
-  sky130_fd_sc_hd__nor2_1 g433671(.A (n_2997), .B (n_7607), .Y
-       (n_7716));
-  sky130_fd_sc_hd__nor2_1 g433672(.A (n_2999), .B (n_7607), .Y
-       (n_7715));
-  sky130_fd_sc_hd__nor2_1 g433673(.A (n_3001), .B (n_7607), .Y
-       (n_7714));
-  sky130_fd_sc_hd__nor2_1 g433674(.A (n_3011), .B (n_7607), .Y
-       (n_7713));
-  sky130_fd_sc_hd__nor2_1 g433675(.A (n_3007), .B (n_7607), .Y
-       (n_7712));
-  sky130_fd_sc_hd__nor2_1 g433676(.A (n_3009), .B (n_7607), .Y
-       (n_7711));
-  sky130_fd_sc_hd__nand4_1 g433677(.A (n_7163), .B (n_6243), .C
-       (n_5652), .D (n_6575), .Y (n_7710));
-  sky130_fd_sc_hd__nand2_1 g433678(.A (n_7630), .B (n_5946), .Y
-       (n_7709));
-  sky130_fd_sc_hd__nor3_1 g433679(.A (n_7256), .B (n_6856), .C
-       (n_7392), .Y (n_7708));
-  sky130_fd_sc_hd__a221oi_1 g433680(.A1 (n_1217), .A2 (n_7294), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .C1
-       (n_5926), .Y (n_7707));
-  sky130_fd_sc_hd__nand4_1 g433681(.A (n_7175), .B (n_6766), .C
-       (n_5652), .D (n_6236), .Y (n_7706));
-  sky130_fd_sc_hd__a221oi_1 g433682(.A1 (n_1217), .A2 (n_7284), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .C1
-       (n_5925), .Y (n_7705));
-  sky130_fd_sc_hd__nand4_1 g433683(.A (n_7202), .B (n_6787), .C
-       (n_5652), .D (n_6256), .Y (n_7704));
-  sky130_fd_sc_hd__a221oi_1 g433684(.A1 (n_1217), .A2 (n_7285), .B1
-       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .C1
-       (n_5918), .Y (n_7703));
-  sky130_fd_sc_hd__nor2_1 g433685(.A (n_7615), .B (n_2676), .Y
-       (n_7702));
-  sky130_fd_sc_hd__nor2_1 g433686(.A (n_7617), .B (n_2676), .Y
-       (n_7701));
-  sky130_fd_sc_hd__nor2_1 g433687(.A (n_7615), .B (n_2678), .Y
-       (n_7700));
-  sky130_fd_sc_hd__nor2_1 g433688(.A (n_7617), .B (n_2678), .Y
-       (n_7699));
-  sky130_fd_sc_hd__nand4_1 g433689(.A (n_5606), .B (n_2909), .C
-       (n_7014), .D (n_6693), .Y (n_7698));
-  sky130_fd_sc_hd__nand4_1 g433690(.A (n_7179), .B (n_6764), .C
-       (n_5652), .D (n_6234), .Y (n_7697));
-  sky130_fd_sc_hd__nor2_1 g433691(.A (n_7611), .B (n_2676), .Y
-       (n_7696));
-  sky130_fd_sc_hd__nor2_1 g433692(.A (n_7557), .B (n_2676), .Y
-       (n_7695));
-  sky130_fd_sc_hd__nor2_1 g433693(.A (n_7555), .B (n_2676), .Y
-       (n_7694));
-  sky130_fd_sc_hd__nor2_1 g433694(.A (n_7613), .B (n_2676), .Y
-       (n_7693));
-  sky130_fd_sc_hd__nor2_1 g433695(.A (n_7611), .B (n_2678), .Y
-       (n_7692));
-  sky130_fd_sc_hd__nor2_1 g433696(.A (n_7557), .B (n_2678), .Y
-       (n_7691));
-  sky130_fd_sc_hd__nor2_1 g433697(.A (n_5830), .B (n_7609), .Y
-       (n_7690));
-  sky130_fd_sc_hd__nor2_1 g433698(.A (n_7613), .B (n_2678), .Y
-       (n_7689));
-  sky130_fd_sc_hd__nand4_1 g433699(.A (n_7166), .B (n_6241), .C
-       (n_5652), .D (n_6573), .Y (n_7688));
-  sky130_fd_sc_hd__o21ai_0 g433700(.A1 (n_6856), .A2 (n_7257), .B1
-       (n_7627), .Y (n_7687));
-  sky130_fd_sc_hd__nor2_1 g433701(.A (n_7557), .B (n_2254), .Y
-       (n_7686));
-  sky130_fd_sc_hd__nor2_1 g433702(.A (n_7555), .B (n_2254), .Y
-       (n_7685));
-  sky130_fd_sc_hd__nor2_1 g433703(.A (n_7613), .B (n_2254), .Y
-       (n_7684));
-  sky130_fd_sc_hd__nor3_1 g433704(.A (n_7271), .B (n_7254), .C
-       (n_7391), .Y (n_7683));
-  sky130_fd_sc_hd__nand4_1 g433706(.A (n_7201), .B (n_6786), .C
-       (n_5652), .D (n_6254), .Y (n_7681));
-  sky130_fd_sc_hd__nand4_1 g433707(.A (n_7169), .B (n_6239), .C
-       (n_5652), .D (n_6571), .Y (n_7680));
-  sky130_fd_sc_hd__a221oi_1 g433708(.A1 (n_1217), .A2 (n_7288), .B1
-       (n_2418), .B2 (n_1345), .C1 (n_5539), .Y (n_7679));
-  sky130_fd_sc_hd__nand4_1 g433709(.A (n_7188), .B (n_6761), .C
-       (n_5652), .D (n_6232), .Y (n_7678));
-  sky130_fd_sc_hd__nand4_1 g433710(.A (n_7246), .B (n_6257), .C
-       (n_5652), .D (n_6587), .Y (n_7677));
-  sky130_fd_sc_hd__nand4_1 g433711(.A (n_7145), .B (n_6255), .C
-       (n_5652), .D (n_6585), .Y (n_7676));
-  sky130_fd_sc_hd__nand4_1 g433712(.A (n_7144), .B (n_6784), .C
-       (n_5652), .D (n_6252), .Y (n_7675));
-  sky130_fd_sc_hd__nand4_1 g433713(.A (n_7143), .B (n_6251), .C
-       (n_5652), .D (n_6582), .Y (n_7674));
-  sky130_fd_sc_hd__nand4_1 g433714(.A (n_7142), .B (n_6781), .C
-       (n_5652), .D (n_6250), .Y (n_7673));
-  sky130_fd_sc_hd__nand4_1 g433715(.A (n_7141), .B (n_6249), .C
-       (n_5652), .D (n_6581), .Y (n_7672));
-  sky130_fd_sc_hd__nand4_1 g433716(.A (n_7140), .B (n_6778), .C
-       (n_5652), .D (n_6580), .Y (n_7671));
-  sky130_fd_sc_hd__nand4_1 g433717(.A (n_7139), .B (n_6247), .C
-       (n_5652), .D (n_6579), .Y (n_7670));
-  sky130_fd_sc_hd__nand4_1 g433718(.A (n_7146), .B (n_6777), .C
-       (n_5652), .D (n_6246), .Y (n_7669));
-  sky130_fd_sc_hd__nand4_1 g433719(.A (n_7160), .B (n_6245), .C
-       (n_5652), .D (n_6577), .Y (n_7668));
-  sky130_fd_sc_hd__nand4_1 g433720(.A (n_7161), .B (n_6775), .C
-       (n_5652), .D (n_6244), .Y (n_7667));
-  sky130_fd_sc_hd__nand4_1 g433721(.A (n_7173), .B (n_6237), .C
-       (n_5652), .D (n_6569), .Y (n_7666));
-  sky130_fd_sc_hd__nand4_1 g433722(.A (n_7177), .B (n_6235), .C
-       (n_5652), .D (n_6568), .Y (n_7665));
-  sky130_fd_sc_hd__nand4_1 g433723(.A (n_7181), .B (n_6233), .C
-       (n_5652), .D (n_6566), .Y (n_7664));
-  sky130_fd_sc_hd__nand4_1 g433724(.A (n_7190), .B (n_6231), .C
-       (n_5652), .D (n_6564), .Y (n_7663));
-  sky130_fd_sc_hd__nand4_1 g433725(.A (n_7192), .B (n_6759), .C
-       (n_5652), .D (n_6230), .Y (n_7662));
-  sky130_fd_sc_hd__nand4_1 g433726(.A (n_7193), .B (n_6229), .C
-       (n_5652), .D (n_6562), .Y (n_7661));
-  sky130_fd_sc_hd__nand4_1 g433727(.A (n_7197), .B (n_6228), .C
-       (n_5652), .D (n_6560), .Y (n_7660));
-  sky130_fd_sc_hd__a21oi_1 g433729(.A1 (n_6928), .A2 (n_1465), .B1
-       (n_7633), .Y (n_7658));
-  sky130_fd_sc_hd__o21ai_0 g433730(.A1
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]), .A2 (n_6924),
-       .B1 (n_7631), .Y (n_7657));
-  sky130_fd_sc_hd__a221oi_1 g433731(.A1 (n_2986), .A2
-       (\u_soc_lsu_to_xbar[a_address] [31]), .B1 (n_1746), .B2
-       (\u_soc_lsu_to_xbar[a_address] [30]), .C1 (n_7568), .Y (n_7656));
-  sky130_fd_sc_hd__a221oi_1 g433732(.A1 (n_1217), .A2 (n_7281), .B1
-       (n_2418), .B2 (n_1839), .C1 (n_7379), .Y (n_7655));
-  sky130_fd_sc_hd__a221oi_1 g433733(.A1 (n_6493), .A2
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .C1 (n_7551), .Y
-       (n_7654));
-  sky130_fd_sc_hd__o21ai_1 g433734(.A1 (n_6825), .A2 (n_2420), .B1
-       (n_7600), .Y (n_7653));
-  sky130_fd_sc_hd__o21ai_1 g433735(.A1 (n_7341), .A2
-       (u_soc_u_top_u_core_pc_set), .B1 (n_7353), .Y (n_7652));
-  sky130_fd_sc_hd__o2111ai_1 g433736(.A1 (n_1846), .A2 (n_3055), .B1
-       (n_6360), .C1 (n_6837), .D1 (n_7350), .Y (n_7651));
-  sky130_fd_sc_hd__o221ai_1 g433737(.A1 (n_6805), .A2 (n_2420), .B1
-       (n_7287), .B2 (n_1216), .C1 (n_5899), .Y (n_7650));
-  sky130_fd_sc_hd__and3_1 g433738(.A (n_7389), .B (n_7187), .C
-       (n_7265), .X (n_7649));
-  sky130_fd_sc_hd__nand4_1 g433739(.A (n_7240), .B (n_2939), .C
-       (n_1503), .D (n_1985), .Y (n_7820));
-  sky130_fd_sc_hd__or2_2 g433740(.A (n_6860), .B (n_7626), .X (n_7819));
-  sky130_fd_sc_hd__nor2_1 g433741(.A (n_5955), .B (n_7618), .Y
-       (n_7818));
-  sky130_fd_sc_hd__nand4_1 g433742(.A (n_7062), .B (n_2355), .C
-       (n_2655), .D (n_2915), .Y (n_7817));
-  sky130_fd_sc_hd__nand4_1 g433743(.A (n_7064), .B (n_2392), .C
-       (n_2633), .D (n_2927), .Y (n_7816));
-  sky130_fd_sc_hd__nor2_1 g433744(.A (n_1848), .B (n_7615), .Y
-       (n_7815));
-  sky130_fd_sc_hd__nor2_1 g433745(.A (n_7265), .B (n_7618), .Y
-       (n_7814));
-  sky130_fd_sc_hd__nor2b_1 g433746(.A (n_7632), .B_N (n_7270), .Y
-       (n_7813));
-  sky130_fd_sc_hd__nand2_1 g433747(.A (n_7382), .B (n_7624), .Y
-       (n_7812));
-  sky130_fd_sc_hd__o21ai_1 g433748(.A1 (n_7265), .A2 (n_7390), .B1
-       (n_7255), .Y (n_7811));
-  sky130_fd_sc_hd__nand2_1 g433749(.A (n_7627), .B (n_6861), .Y
-       (n_7810));
-  sky130_fd_sc_hd__and2_1 g433750(.A (n_7625), .B (n_7401), .X
-       (n_7809));
-  sky130_fd_sc_hd__nand2b_1 g433751(.A_N (n_7632), .B (n_7265), .Y
-       (n_7808));
-  sky130_fd_sc_hd__nand4_1 g433752(.A (n_5626), .B (n_5252), .C
-       (n_1747), .D (n_7132), .Y (n_7807));
-  sky130_fd_sc_hd__nand4_1 g433753(.A (n_5634), .B (n_5248), .C
-       (n_1747), .D (n_7131), .Y (n_7806));
-  sky130_fd_sc_hd__nor4_1 g433754(.A (n_6866), .B (n_6870), .C
-       (n_7297), .D (n_6864), .Y (n_7805));
-  sky130_fd_sc_hd__nand4_1 g433755(.A (n_5625), .B (n_5254), .C
-       (n_1747), .D (n_7133), .Y (n_7804));
-  sky130_fd_sc_hd__nor2_1 g433756(.A (n_6856), .B (n_7618), .Y
-       (n_7803));
-  sky130_fd_sc_hd__nand4_1 g433757(.A (n_5614), .B (n_5263), .C
-       (n_1747), .D (n_7134), .Y (n_7802));
-  sky130_fd_sc_hd__nand4_1 g433758(.A (n_5611), .B (n_5267), .C
-       (n_1747), .D (n_7135), .Y (n_7801));
-  sky130_fd_sc_hd__nand4_1 g433759(.A (n_5605), .B (n_5272), .C
-       (n_1747), .D (n_7136), .Y (n_7800));
-  sky130_fd_sc_hd__nor4_1 g433760(.A (n_6864), .B (n_6866), .C
-       (n_6871), .D (n_7297), .Y (n_7799));
-  sky130_fd_sc_hd__nand4_1 g433761(.A (n_5636), .B (n_5245), .C
-       (n_1747), .D (n_7130), .Y (n_7797));
-  sky130_fd_sc_hd__nor2_1 g433762(.A (n_7396), .B (n_7561), .Y
-       (n_7796));
-  sky130_fd_sc_hd__a32oi_1 g433763(.A1 (n_7288), .A2 (n_112), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13500), .B2 (n_1215), .Y
-       (n_7795));
-  sky130_fd_sc_hd__a32oi_1 g433764(.A1 (n_7293), .A2 (n_141), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13526), .B2 (n_1215), .Y
-       (n_7793));
-  sky130_fd_sc_hd__a32oi_1 g433765(.A1 (n_7291), .A2 (n_622), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13521), .B2 (n_1215), .Y
-       (n_7791));
-  sky130_fd_sc_hd__a32oi_1 g433766(.A1 (n_7280), .A2 (n_128), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13520), .B2 (n_1215), .Y
-       (n_7789));
-  sky130_fd_sc_hd__a32oi_1 g433767(.A1 (n_7281), .A2 (n_635), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13519), .B2 (n_1215), .Y
-       (n_7787));
-  sky130_fd_sc_hd__a32oi_1 g433768(.A1 (n_7292), .A2 (n_134), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13523), .B2 (n_1215), .Y
-       (n_7785));
-  sky130_fd_sc_hd__a32oi_1 g433769(.A1 (n_7286), .A2 (n_625), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13510), .B2 (n_1215), .Y
-       (n_7783));
-  sky130_fd_sc_hd__a32oi_1 g433770(.A1 (n_7283), .A2 (n_624), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13517), .B2 (n_1215), .Y
-       (n_7781));
-  sky130_fd_sc_hd__a32oi_1 g433771(.A1 (n_7294), .A2 (n_638), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13514), .B2 (n_1215), .Y
-       (n_7779));
-  sky130_fd_sc_hd__a32oi_1 g433772(.A1 (n_7284), .A2 (n_637), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13513), .B2 (n_1215), .Y
-       (n_7777));
-  sky130_fd_sc_hd__a32oi_1 g433773(.A1 (n_7282), .A2 (n_620), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13518), .B2 (n_1215), .Y
-       (n_7775));
-  sky130_fd_sc_hd__a32oi_1 g433774(.A1 (n_7285), .A2 (n_130), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13511), .B2 (n_1215), .Y
-       (n_7773));
-  sky130_fd_sc_hd__a22o_1 g433775(.A1 (n_7347), .A2 (n_47), .B1
-       (n_6920), .B2 (n_5707), .X (n_7771));
-  sky130_fd_sc_hd__a21bo_2 g433776(.A1 (n_7348), .A2 (n_661), .B1_N
-       (n_7289), .X (n_7770));
-  sky130_fd_sc_hd__inv_1 g433777(.A (n_7578), .Y (n_7648));
-  sky130_fd_sc_hd__inv_1 g433778(.A (n_7577), .Y (n_7647));
-  sky130_fd_sc_hd__inv_1 g433779(.A (n_7576), .Y (n_7646));
-  sky130_fd_sc_hd__inv_1 g433780(.A (n_7575), .Y (n_7645));
-  sky130_fd_sc_hd__inv_1 g433781(.A (n_7574), .Y (n_7644));
-  sky130_fd_sc_hd__inv_1 g433782(.A (n_7572), .Y (n_7643));
-  sky130_fd_sc_hd__inv_2 g433785(.A (n_7619), .Y (n_7618));
-  sky130_fd_sc_hd__clkinv_1 g433786(.A (n_7617), .Y (n_7616));
-  sky130_fd_sc_hd__clkinv_1 g433787(.A (n_7615), .Y (n_7614));
-  sky130_fd_sc_hd__clkinv_1 g433788(.A (n_7613), .Y (n_7612));
-  sky130_fd_sc_hd__inv_2 g433789(.A (n_7611), .Y (n_7610));
-  sky130_fd_sc_hd__inv_2 g433790(.A (n_7609), .Y (n_7608));
-  sky130_fd_sc_hd__inv_2 g433791(.A (n_7607), .Y (n_7606));
-  sky130_fd_sc_hd__nand2_1 g433792(.A (n_1295), .B (n_7370), .Y
-       (n_7605));
-  sky130_fd_sc_hd__nand2_1 g433793(.A (n_1296), .B (n_7369), .Y
-       (n_7604));
-  sky130_fd_sc_hd__nand4_1 g433794(.A (n_6785), .B (n_6253), .C
-       (n_5652), .D (n_6852), .Y (n_7603));
-  sky130_fd_sc_hd__a21oi_1 g433795(.A1 (n_7256), .A2 (n_6859), .B1
-       (n_6861), .Y (n_7602));
-  sky130_fd_sc_hd__a222oi_1 g433796(.A1 (n_13577), .A2 (n_6915), .B1
-       (n_1220), .B2 (u_soc_u_top_u_core_csr_depc[1]), .C1 (n_6919),
-       .C2 (u_soc_u_top_u_core_pc_if[1]), .Y (n_7601));
-  sky130_fd_sc_hd__a221oi_1 g433797(.A1 (n_1217), .A2 (n_6932), .B1
-       (n_2418), .B2 (n_1388), .C1 (n_5562), .Y (n_7600));
-  sky130_fd_sc_hd__or4_1 g433798(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [17]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [19]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [18]), .D (n_6822), .X (n_7599));
-  sky130_fd_sc_hd__or4_1 g433799(.A (n_13401), .B (n_13400), .C
-       (n_13406), .D (n_6823), .X (n_7598));
-  sky130_fd_sc_hd__a222oi_1 g433800(.A1 (u_soc_u_top_u_core_pc_if[31]),
-       .A2 (n_6919), .B1 (n_13607), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[31]), .Y (n_7597));
-  sky130_fd_sc_hd__a222oi_1 g433801(.A1 (u_soc_u_top_u_core_pc_if[30]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[30]), .C1 (n_13606), .C2 (n_6915),
-       .Y (n_7596));
-  sky130_fd_sc_hd__a222oi_1 g433802(.A1 (u_soc_u_top_u_core_pc_if[28]),
-       .A2 (n_6919), .B1 (n_13604), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[28]), .Y (n_7595));
-  sky130_fd_sc_hd__a222oi_1 g433803(.A1 (u_soc_u_top_u_core_pc_if[27]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[27]), .C1 (n_13603), .C2 (n_6915),
-       .Y (n_7594));
-  sky130_fd_sc_hd__a222oi_1 g433804(.A1 (u_soc_u_top_u_core_pc_if[26]),
-       .A2 (n_6919), .B1 (n_13602), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[26]), .Y (n_7593));
-  sky130_fd_sc_hd__a222oi_1 g433805(.A1 (u_soc_u_top_u_core_pc_if[25]),
-       .A2 (n_6919), .B1 (n_13601), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[25]), .Y (n_7592));
-  sky130_fd_sc_hd__a222oi_1 g433806(.A1 (u_soc_u_top_u_core_pc_if[24]),
-       .A2 (n_6919), .B1 (n_13600), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[24]), .Y (n_7591));
-  sky130_fd_sc_hd__a222oi_1 g433807(.A1 (u_soc_u_top_u_core_pc_if[23]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[23]), .C1 (n_13599), .C2 (n_6915),
-       .Y (n_7590));
-  sky130_fd_sc_hd__a222oi_1 g433808(.A1 (u_soc_u_top_u_core_pc_if[22]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[22]), .C1 (n_13598), .C2 (n_6915),
-       .Y (n_7589));
-  sky130_fd_sc_hd__a222oi_1 g433809(.A1 (u_soc_u_top_u_core_pc_if[21]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[21]), .C1 (n_13597), .C2 (n_6915),
-       .Y (n_7588));
-  sky130_fd_sc_hd__a222oi_1 g433810(.A1 (u_soc_u_top_u_core_pc_if[20]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[20]), .C1 (n_13596), .C2 (n_6915),
-       .Y (n_7587));
-  sky130_fd_sc_hd__a222oi_1 g433811(.A1 (u_soc_u_top_u_core_pc_if[19]),
-       .A2 (n_6919), .B1 (n_13595), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[19]), .Y (n_7586));
-  sky130_fd_sc_hd__a222oi_1 g433812(.A1 (u_soc_u_top_u_core_pc_if[18]),
-       .A2 (n_6919), .B1 (n_13594), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[18]), .Y (n_7585));
-  sky130_fd_sc_hd__a222oi_1 g433813(.A1 (u_soc_u_top_u_core_pc_if[17]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[17]), .C1 (n_13593), .C2 (n_6915),
-       .Y (n_7584));
-  sky130_fd_sc_hd__a222oi_1 g433814(.A1 (u_soc_u_top_u_core_pc_if[16]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[16]), .C1 (n_13592), .C2 (n_6915),
-       .Y (n_7583));
-  sky130_fd_sc_hd__a222oi_1 g433815(.A1 (u_soc_u_top_u_core_pc_if[15]),
-       .A2 (n_6919), .B1 (n_13591), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[15]), .Y (n_7582));
-  sky130_fd_sc_hd__a222oi_1 g433816(.A1 (u_soc_u_top_u_core_pc_if[14]),
-       .A2 (n_6919), .B1 (n_13590), .B2 (n_6915), .C1 (n_1220), .C2
-       (u_soc_u_top_u_core_csr_depc[14]), .Y (n_7581));
-  sky130_fd_sc_hd__a222oi_1 g433817(.A1 (u_soc_u_top_u_core_pc_if[13]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[13]), .C1 (n_13589), .C2 (n_6915),
-       .Y (n_7580));
-  sky130_fd_sc_hd__a222oi_1 g433818(.A1 (u_soc_u_top_u_core_pc_if[12]),
-       .A2 (n_6919), .B1 (n_1220), .B2
-       (u_soc_u_top_u_core_csr_depc[12]), .C1 (n_13588), .C2 (n_6915),
-       .Y (n_7579));
-  sky130_fd_sc_hd__a222oi_1 g433819(.A1 (u_soc_u_top_u_core_pc_if[11]),
-       .A2 (n_6919), .B1 (u_soc_u_top_u_core_pc_set), .B2 (n_13530),
-       .C1 (n_13587), .C2 (n_6915), .Y (n_7578));
-  sky130_fd_sc_hd__a222oi_1 g433820(.A1 (u_soc_u_top_u_core_pc_if[10]),
-       .A2 (n_6919), .B1 (u_soc_u_top_u_core_pc_set), .B2 (n_13529),
-       .C1 (n_13586), .C2 (n_6915), .Y (n_7577));
-  sky130_fd_sc_hd__a222oi_1 g433821(.A1 (u_soc_u_top_u_core_pc_if[8]),
-       .A2 (n_6919), .B1 (u_soc_u_top_u_core_pc_set), .B2 (n_13527),
-       .C1 (n_13584), .C2 (n_6915), .Y (n_7576));
-  sky130_fd_sc_hd__a222oi_1 g433822(.A1 (n_13582), .A2 (n_6915), .B1
-       (u_soc_u_top_u_core_pc_set), .B2 (n_13533), .C1 (n_6919), .C2
-       (u_soc_u_top_u_core_pc_if[6]), .Y (n_7575));
-  sky130_fd_sc_hd__a222oi_1 g433823(.A1 (n_13580), .A2 (n_6915), .B1
-       (u_soc_u_top_u_core_pc_set), .B2 (n_13532), .C1 (n_6919), .C2
-       (u_soc_u_top_u_core_pc_if[4]), .Y (n_7574));
-  sky130_fd_sc_hd__nand2_1 g433824(.A (n_1294), .B (n_7371), .Y
-       (n_7573));
-  sky130_fd_sc_hd__a222oi_1 g433825(.A1 (n_13578), .A2 (n_6915), .B1
-       (u_soc_u_top_u_core_pc_set), .B2 (n_13531), .C1 (n_6919), .C2
-       (u_soc_u_top_u_core_pc_if[2]), .Y (n_7572));
-  sky130_fd_sc_hd__nor2_1 g433826(.A (n_7388), .B (n_7381), .Y
-       (n_7571));
-  sky130_fd_sc_hd__nand2_1 g433827(.A (n_1298), .B (n_7372), .Y
-       (n_7570));
-  sky130_fd_sc_hd__a211oi_1 g433828(.A1 (n_5989), .A2 (n_143), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .C1 (n_6927), .Y
-       (n_7569));
-  sky130_fd_sc_hd__o2bb2ai_1 g433829(.A1_N (n_7293), .A2_N (n_1217),
-       .B1 (n_1209), .B2 (n_5364), .Y (n_7568));
-  sky130_fd_sc_hd__nor3_1 g433830(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6886), .C
-       (n_6924), .Y (n_7567));
-  sky130_fd_sc_hd__o21ai_1 g433831(.A1 (n_1178), .A2 (n_5364), .B1
-       (n_7375), .Y (n_7566));
-  sky130_fd_sc_hd__nand2_1 g433832(.A (n_7253), .B (n_7393), .Y
-       (n_7565));
-  sky130_fd_sc_hd__o2bb2ai_1 g433833(.A1_N (n_7280), .A2_N (n_1217),
-       .B1 (n_1173), .B2 (n_5364), .Y (n_7564));
-  sky130_fd_sc_hd__nor2_1 g433834(.A (n_6871), .B (n_7382), .Y
-       (n_7563));
-  sky130_fd_sc_hd__o2bb2ai_1 g433835(.A1_N (n_7291), .A2_N (n_1217),
-       .B1 (n_1177), .B2 (n_5364), .Y (n_7562));
-  sky130_fd_sc_hd__nor2_1 g433836(.A (n_7394), .B (n_6868), .Y
-       (n_7561));
-  sky130_fd_sc_hd__nand2_1 g433837(.A (n_7396), .B (n_6858), .Y
-       (n_7560));
-  sky130_fd_sc_hd__o2bb2ai_1 g433838(.A1_N (n_7292), .A2_N (n_1217),
-       .B1 (n_1175), .B2 (n_5364), .Y (n_7559));
-  sky130_fd_sc_hd__nor2_1 g433839(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .B (n_6927), .Y
-       (n_7558));
-  sky130_fd_sc_hd__nand2_1 g433872(.A (n_7386), .B (n_7387), .Y
-       (n_7642));
-  sky130_fd_sc_hd__nor2_1 g433875(.A (n_6861), .B (n_7383), .Y
-       (n_7641));
-  sky130_fd_sc_hd__nand2_1 g433877(.A (n_6869), .B (n_7394), .Y
-       (n_7640));
-  sky130_fd_sc_hd__nand4_1 g433878(.A (n_6840), .B (n_2930), .C
-       (n_2546), .D (n_1799), .Y (n_7639));
-  sky130_fd_sc_hd__nand3_1 g433881(.A (n_7207), .B (n_2906), .C
-       (n_2641), .Y (n_7638));
-  sky130_fd_sc_hd__nand3_1 g433882(.A (n_7208), .B (n_2904), .C
-       (n_2637), .Y (n_7637));
-  sky130_fd_sc_hd__nand4_1 g433883(.A (n_6854), .B (n_2929), .C
-       (n_2136), .D (n_1807), .Y (n_7636));
-  sky130_fd_sc_hd__nand4_1 g433884(.A (n_6853), .B (n_2917), .C
-       (n_2546), .D (n_2657), .Y (n_7635));
-  sky130_fd_sc_hd__nand4_1 g433886(.A (n_6849), .B (n_2903), .C
-       (n_2546), .D (n_2635), .Y (n_7634));
-  sky130_fd_sc_hd__nor2_1 g433890(.A (n_1465), .B (n_6928), .Y
-       (n_7633));
-  sky130_fd_sc_hd__nand2_1 g433891(.A (n_7389), .B (n_6856), .Y
-       (n_7632));
-  sky130_fd_sc_hd__nand2_1 g433893(.A (n_6924), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]), .Y (n_7631));
-  sky130_fd_sc_hd__nand2_1 g433894(.A (n_7382), .B (n_7386), .Y
-       (n_7630));
-  sky130_fd_sc_hd__nor2b_1 g433896(.A (n_7383), .B_N (n_15912), .Y
-       (n_7628));
-  sky130_fd_sc_hd__nor2_1 g433897(.A (n_6858), .B (n_7383), .Y
-       (n_7627));
-  sky130_fd_sc_hd__nand2_1 g433901(.A (n_15911), .B (n_6858), .Y
-       (n_7626));
-  sky130_fd_sc_hd__nand2_1 g433903(.A (n_7253), .B (n_7391), .Y
-       (n_7625));
-  sky130_fd_sc_hd__nand2_1 g433931(.A (n_6869), .B (n_7393), .Y
-       (n_7624));
-  sky130_fd_sc_hd__nand2_1 g433934(.A (n_7381), .B (n_6856), .Y
-       (n_7622));
-  sky130_fd_sc_hd__nand3_1 g433935(.A (n_6869), .B (n_7271), .C
-       (n_6863), .Y (n_7620));
-  sky130_fd_sc_hd__nor2_1 g433936(.A (n_7390), .B (n_7252), .Y
-       (n_7619));
-  sky130_fd_sc_hd__a32oi_1 g433937(.A1 (n_6884), .A2 (n_118), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13501), .B2 (n_1215), .Y
-       (n_7617));
-  sky130_fd_sc_hd__a32oi_1 g433938(.A1 (n_6930), .A2 (n_117), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13499), .B2 (n_1215), .Y
-       (n_7615));
-  sky130_fd_sc_hd__a32oi_1 g433939(.A1 (n_6932), .A2 (n_616), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13509), .B2 (n_1215), .Y
-       (n_7613));
-  sky130_fd_sc_hd__a32oi_1 g433940(.A1 (n_6933), .A2 (n_157), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13495), .B2 (n_1215), .Y
-       (n_7611));
-  sky130_fd_sc_hd__nand2_1 g433942(.A (n_7360), .B (n_47), .Y (n_7609));
-  sky130_fd_sc_hd__a211o_1 g433943(.A1 (n_6921), .A2 (n_5693), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [1]), .C1 (n_7290), .X (n_7607));
-  sky130_fd_sc_hd__clkinv_1 g433944(.A (n_7557), .Y (n_7556));
-  sky130_fd_sc_hd__clkinv_1 g433945(.A (n_7555), .Y (n_7554));
-  sky130_fd_sc_hd__a22o_1 g433946(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]), .X
-       (n_7553));
-  sky130_fd_sc_hd__a22o_1 g433947(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]), .X
-       (n_7552));
-  sky130_fd_sc_hd__o2111ai_1 g433948(.A1 (n_669), .A2 (n_5713), .B1
-       (n_5898), .C1 (n_5775), .D1 (n_6846), .Y (n_7551));
-  sky130_fd_sc_hd__a221o_1 g433949(.A1 (n_2421), .A2 (n_13562), .B1
-       (n_3085), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .C1
-       (n_7186), .X (n_7550));
-  sky130_fd_sc_hd__a22o_1 g433950(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]), .X
-       (n_7549));
-  sky130_fd_sc_hd__a22o_1 g433951(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]), .X
-       (n_7548));
-  sky130_fd_sc_hd__a22o_1 g433952(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]), .X
-       (n_7547));
-  sky130_fd_sc_hd__a22o_1 g433953(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]), .X
-       (n_7546));
-  sky130_fd_sc_hd__a22o_1 g433954(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]), .X
-       (n_7545));
-  sky130_fd_sc_hd__a22o_1 g433955(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]), .X
-       (n_7544));
-  sky130_fd_sc_hd__a22o_1 g433956(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]), .X
-       (n_7543));
-  sky130_fd_sc_hd__a22o_1 g433957(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]), .X
-       (n_7542));
-  sky130_fd_sc_hd__a22o_1 g433958(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]), .X
-       (n_7541));
-  sky130_fd_sc_hd__a22o_1 g433959(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]), .X
-       (n_7540));
-  sky130_fd_sc_hd__a22o_1 g433960(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]), .X
-       (n_7539));
-  sky130_fd_sc_hd__a22o_1 g433961(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]), .X
-       (n_7538));
-  sky130_fd_sc_hd__a22o_1 g433962(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]), .X
-       (n_7537));
-  sky130_fd_sc_hd__a22o_1 g433963(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]), .X
-       (n_7536));
-  sky130_fd_sc_hd__a22o_1 g433964(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]), .X
-       (n_7535));
-  sky130_fd_sc_hd__a22o_1 g433965(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]), .X
-       (n_7534));
-  sky130_fd_sc_hd__a22o_1 g433966(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]), .X
-       (n_7533));
-  sky130_fd_sc_hd__a22o_1 g433967(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]), .X
-       (n_7532));
-  sky130_fd_sc_hd__a22o_1 g433968(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]), .X
-       (n_7531));
-  sky130_fd_sc_hd__a22o_1 g433969(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]), .X
-       (n_7530));
-  sky130_fd_sc_hd__a22o_1 g433970(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]), .X
-       (n_7529));
-  sky130_fd_sc_hd__a22o_1 g433971(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]), .X
-       (n_7528));
-  sky130_fd_sc_hd__a22o_1 g433972(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]), .X
-       (n_7527));
-  sky130_fd_sc_hd__a22o_1 g433973(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]), .X
-       (n_7526));
-  sky130_fd_sc_hd__a22o_1 g433974(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]), .X
-       (n_7525));
-  sky130_fd_sc_hd__a22o_1 g433975(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]), .X
-       (n_7524));
-  sky130_fd_sc_hd__a22o_1 g433976(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]), .X
-       (n_7523));
-  sky130_fd_sc_hd__a22o_1 g433977(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]), .X
-       (n_7522));
-  sky130_fd_sc_hd__a22o_1 g433978(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]), .X
-       (n_7521));
-  sky130_fd_sc_hd__a22o_1 g433979(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]), .X
-       (n_7520));
-  sky130_fd_sc_hd__a22o_1 g433980(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]), .X
-       (n_7519));
-  sky130_fd_sc_hd__a22o_1 g433981(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]), .X
-       (n_7518));
-  sky130_fd_sc_hd__a22o_1 g433982(.A1 (n_6918), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7247), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]), .X
-       (n_7517));
-  sky130_fd_sc_hd__a22o_1 g433983(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]), .X
-       (n_7516));
-  sky130_fd_sc_hd__a22o_1 g433984(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]), .X
-       (n_7515));
-  sky130_fd_sc_hd__a22o_1 g433985(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]), .X
-       (n_7514));
-  sky130_fd_sc_hd__a22o_1 g433986(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]), .X
-       (n_7513));
-  sky130_fd_sc_hd__a22o_1 g433987(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]), .X
-       (n_7512));
-  sky130_fd_sc_hd__a22o_1 g433988(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]), .X
-       (n_7511));
-  sky130_fd_sc_hd__a22o_1 g433989(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]), .X
-       (n_7510));
-  sky130_fd_sc_hd__a22o_1 g433990(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]), .X
-       (n_7509));
-  sky130_fd_sc_hd__a22o_1 g433991(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]), .X
-       (n_7508));
-  sky130_fd_sc_hd__a22o_1 g433992(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]), .X
-       (n_7507));
-  sky130_fd_sc_hd__a22o_1 g433993(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]), .X
-       (n_7506));
-  sky130_fd_sc_hd__a22o_1 g433994(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]), .X
-       (n_7505));
-  sky130_fd_sc_hd__a22o_1 g433995(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]), .X
-       (n_7504));
-  sky130_fd_sc_hd__a22o_1 g433996(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]), .X
-       (n_7503));
-  sky130_fd_sc_hd__a22o_1 g433997(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]), .X
-       (n_7502));
-  sky130_fd_sc_hd__a22o_1 g433998(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]), .X
-       (n_7501));
-  sky130_fd_sc_hd__a22o_1 g433999(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]), .X
-       (n_7500));
-  sky130_fd_sc_hd__a22o_1 g434000(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]), .X
-       (n_7499));
-  sky130_fd_sc_hd__a22o_1 g434001(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]), .X
-       (n_7498));
-  sky130_fd_sc_hd__a22o_1 g434002(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]), .X
-       (n_7497));
-  sky130_fd_sc_hd__a22o_1 g434003(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]), .X
-       (n_7496));
-  sky130_fd_sc_hd__a22o_1 g434004(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]), .X
-       (n_7495));
-  sky130_fd_sc_hd__a22o_1 g434005(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]), .X
-       (n_7494));
-  sky130_fd_sc_hd__a22o_1 g434006(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]), .X
-       (n_7493));
-  sky130_fd_sc_hd__a22o_1 g434007(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]), .X
-       (n_7492));
-  sky130_fd_sc_hd__a22o_1 g434008(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]), .X
-       (n_7491));
-  sky130_fd_sc_hd__a22o_1 g434009(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]), .X
-       (n_7490));
-  sky130_fd_sc_hd__a22o_1 g434010(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]), .X
-       (n_7489));
-  sky130_fd_sc_hd__a22o_1 g434011(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]), .X
-       (n_7488));
-  sky130_fd_sc_hd__a22o_1 g434012(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]), .X
-       (n_7487));
-  sky130_fd_sc_hd__a22o_1 g434013(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]), .X
-       (n_7486));
-  sky130_fd_sc_hd__a22o_1 g434014(.A1 (n_6917), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7251), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]), .X
-       (n_7485));
-  sky130_fd_sc_hd__a22o_1 g434015(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]), .X
-       (n_7484));
-  sky130_fd_sc_hd__a22o_1 g434016(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]), .X
-       (n_7483));
-  sky130_fd_sc_hd__a22o_1 g434017(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]), .X
-       (n_7482));
-  sky130_fd_sc_hd__a22o_1 g434018(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]), .X
-       (n_7481));
-  sky130_fd_sc_hd__a22o_1 g434019(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]), .X
-       (n_7480));
-  sky130_fd_sc_hd__a22o_1 g434020(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]), .X
-       (n_7479));
-  sky130_fd_sc_hd__a22o_1 g434021(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]), .X
-       (n_7478));
-  sky130_fd_sc_hd__a22o_1 g434022(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]), .X
-       (n_7477));
-  sky130_fd_sc_hd__a22o_1 g434023(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]), .X
-       (n_7476));
-  sky130_fd_sc_hd__a22o_1 g434024(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]), .X
-       (n_7475));
-  sky130_fd_sc_hd__a22o_1 g434025(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]), .X
-       (n_7474));
-  sky130_fd_sc_hd__a22o_1 g434026(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]), .X
-       (n_7473));
-  sky130_fd_sc_hd__a22o_1 g434027(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]), .X
-       (n_7472));
-  sky130_fd_sc_hd__a22o_1 g434028(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]), .X
-       (n_7471));
-  sky130_fd_sc_hd__a22o_1 g434029(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]), .X
-       (n_7470));
-  sky130_fd_sc_hd__a22o_1 g434030(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]), .X
-       (n_7469));
-  sky130_fd_sc_hd__a22o_1 g434031(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]), .X
-       (n_7468));
-  sky130_fd_sc_hd__a22o_1 g434032(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]), .X
-       (n_7467));
-  sky130_fd_sc_hd__a22o_1 g434033(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]), .X
-       (n_7466));
-  sky130_fd_sc_hd__a22o_1 g434034(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]), .X
-       (n_7465));
-  sky130_fd_sc_hd__a22o_1 g434035(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]), .X
-       (n_7464));
-  sky130_fd_sc_hd__a22o_1 g434036(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]), .X
-       (n_7463));
-  sky130_fd_sc_hd__a22o_1 g434037(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]), .X
-       (n_7462));
-  sky130_fd_sc_hd__a22o_1 g434038(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]), .X
-       (n_7461));
-  sky130_fd_sc_hd__a22o_1 g434039(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]), .X
-       (n_7460));
-  sky130_fd_sc_hd__a22o_1 g434040(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]), .X
-       (n_7459));
-  sky130_fd_sc_hd__a22o_1 g434041(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]), .X
-       (n_7458));
-  sky130_fd_sc_hd__a22o_1 g434042(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]), .X
-       (n_7457));
-  sky130_fd_sc_hd__a22o_1 g434043(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]), .X
-       (n_7456));
-  sky130_fd_sc_hd__a22o_1 g434044(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]), .X
-       (n_7455));
-  sky130_fd_sc_hd__a22o_1 g434045(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]), .X
-       (n_7454));
-  sky130_fd_sc_hd__a22o_1 g434046(.A1 (n_6916), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7248), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]), .X
-       (n_7453));
-  sky130_fd_sc_hd__a22o_1 g434047(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]), .X
-       (n_7452));
-  sky130_fd_sc_hd__a22oi_1 g434048(.A1 (n_1217), .A2 (n_7283), .B1
-       (n_2418), .B2 (n_1355), .Y (n_7451));
-  sky130_fd_sc_hd__a22oi_1 g434049(.A1 (n_1217), .A2 (n_7282), .B1
-       (n_2418), .B2 (n_1390), .Y (n_7450));
-  sky130_fd_sc_hd__a2bb2oi_1 g434050(.A1_N (n_7137), .A2_N (n_3080),
-       .B1 (n_6661), .B2 (n_2419), .Y (n_7449));
-  sky130_fd_sc_hd__a22oi_1 g434051(.A1 (n_7262), .A2 (n_1873), .B1
-       (n_7263), .B2 (n_1871), .Y (n_7448));
-  sky130_fd_sc_hd__a22oi_1 g434052(.A1 (n_7272), .A2 (n_1756), .B1
-       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .Y (n_7447));
-  sky130_fd_sc_hd__a22oi_1 g434053(.A1 (n_7261), .A2 (n_1873), .B1
-       (n_7258), .B2 (n_1871), .Y (n_7446));
-  sky130_fd_sc_hd__a22oi_1 g434054(.A1 (n_7267), .A2 (n_1873), .B1
-       (n_7269), .B2 (n_1871), .Y (n_7445));
-  sky130_fd_sc_hd__a22oi_1 g434055(.A1 (n_7259), .A2 (n_1878), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]), .Y (n_7444));
-  sky130_fd_sc_hd__a22oi_1 g434056(.A1 (n_7264), .A2 (n_1869), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .Y (n_7443));
-  sky130_fd_sc_hd__a22oi_1 g434057(.A1 (n_7262), .A2 (n_1869), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .Y (n_7442));
-  sky130_fd_sc_hd__a22oi_1 g434058(.A1 (n_7260), .A2 (n_1869), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .Y (n_7441));
-  sky130_fd_sc_hd__a22oi_1 g434059(.A1 (n_7259), .A2 (n_1869), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .Y (n_7440));
-  sky130_fd_sc_hd__a22oi_1 g434060(.A1 (n_7258), .A2 (n_2281), .B1
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .B2 (n_8), .Y
-       (n_7439));
-  sky130_fd_sc_hd__a22oi_1 g434061(.A1 (n_7266), .A2 (n_1869), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .Y (n_7438));
-  sky130_fd_sc_hd__a22oi_1 g434062(.A1 (n_7269), .A2 (n_2281), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .Y (n_7437));
-  sky130_fd_sc_hd__a22oi_1 g434063(.A1 (n_7263), .A2 (n_1852), .B1
-       (n_7262), .B2 (n_2281), .Y (n_7436));
-  sky130_fd_sc_hd__a22oi_1 g434064(.A1 (n_7272), .A2 (n_1869), .B1
-       (n_7259), .B2 (n_2281), .Y (n_7435));
-  sky130_fd_sc_hd__a22oi_1 g434065(.A1 (n_7258), .A2 (n_1852), .B1
-       (n_7261), .B2 (n_2281), .Y (n_7434));
-  sky130_fd_sc_hd__a22oi_1 g434066(.A1 (n_7269), .A2 (n_1852), .B1
-       (n_7267), .B2 (n_2281), .Y (n_7433));
-  sky130_fd_sc_hd__a221oi_1 g434067(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]), .B1
-       (n_1884), .B2 (u_soc_u_top_u_core_csr_mstatus_mie), .C1
-       (n_7199), .Y (n_7432));
-  sky130_fd_sc_hd__a22o_1 g434068(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]), .X
-       (n_7431));
-  sky130_fd_sc_hd__a22o_1 g434069(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]), .X
-       (n_7430));
-  sky130_fd_sc_hd__a22o_1 g434070(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]), .X
-       (n_7429));
-  sky130_fd_sc_hd__a22o_1 g434071(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]), .X
-       (n_7428));
-  sky130_fd_sc_hd__a22o_1 g434072(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]), .X
-       (n_7427));
-  sky130_fd_sc_hd__a22o_1 g434073(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]), .X
-       (n_7426));
-  sky130_fd_sc_hd__a22o_1 g434074(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]), .X
-       (n_7425));
-  sky130_fd_sc_hd__a22o_1 g434075(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]), .X
-       (n_7424));
-  sky130_fd_sc_hd__a22o_1 g434076(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]), .X
-       (n_7423));
-  sky130_fd_sc_hd__a22o_1 g434077(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]), .X
-       (n_7422));
-  sky130_fd_sc_hd__a22o_1 g434078(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]), .X
-       (n_7421));
-  sky130_fd_sc_hd__a22o_1 g434079(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]), .X
-       (n_7420));
-  sky130_fd_sc_hd__a22o_1 g434080(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]), .X
-       (n_7419));
-  sky130_fd_sc_hd__a22o_1 g434081(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]), .X
-       (n_7418));
-  sky130_fd_sc_hd__a22o_1 g434082(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]), .X
-       (n_7417));
-  sky130_fd_sc_hd__a22o_1 g434083(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]), .X
-       (n_7416));
-  sky130_fd_sc_hd__a22o_1 g434084(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]), .X
-       (n_7415));
-  sky130_fd_sc_hd__a22o_1 g434085(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]), .X
-       (n_7414));
-  sky130_fd_sc_hd__a22o_1 g434086(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]), .X
-       (n_7413));
-  sky130_fd_sc_hd__a22o_1 g434087(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]), .X
-       (n_7412));
-  sky130_fd_sc_hd__a22o_1 g434088(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]), .X
-       (n_7411));
-  sky130_fd_sc_hd__a22o_1 g434089(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]), .X
-       (n_7410));
-  sky130_fd_sc_hd__a22o_1 g434090(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]), .X
-       (n_7409));
-  sky130_fd_sc_hd__a22o_1 g434091(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]), .X
-       (n_7408));
-  sky130_fd_sc_hd__a22o_1 g434092(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]), .X
-       (n_7407));
-  sky130_fd_sc_hd__a22o_1 g434093(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]), .X
-       (n_7406));
-  sky130_fd_sc_hd__a22o_1 g434094(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]), .X
-       (n_7405));
-  sky130_fd_sc_hd__a22o_1 g434095(.A1 (n_7249), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7250), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]), .X
-       (n_7404));
-  sky130_fd_sc_hd__a32oi_1 g434096(.A1 (n_6931), .A2 (n_127), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13504), .B2 (n_1215), .Y
-       (n_7557));
-  sky130_fd_sc_hd__a32oi_1 g434097(.A1 (n_6929), .A2 (n_133), .A3
-       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13505), .B2 (n_1215), .Y
-       (n_7555));
-  sky130_fd_sc_hd__inv_1 g434268(.A (n_7398), .Y (n_7399));
-  sky130_fd_sc_hd__inv_2 g434269(.A (n_7392), .Y (n_7391));
-  sky130_fd_sc_hd__inv_1 g434270(.A (n_7390), .Y (n_7389));
-  sky130_fd_sc_hd__clkinv_1 g434271(.A (n_7388), .Y (n_7387));
-  sky130_fd_sc_hd__clkinv_1 g434273(.A (n_15911), .Y (n_7383));
-  sky130_fd_sc_hd__inv_2 g434274(.A (n_7382), .Y (n_7381));
-  sky130_fd_sc_hd__nand2_1 g434275(.A (n_5744), .B (n_7218), .Y
-       (n_7380));
-  sky130_fd_sc_hd__o22ai_1 g434276(.A1 (n_6824), .A2 (n_3080), .B1
-       (n_6662), .B2 (n_2420), .Y (n_7379));
-  sky130_fd_sc_hd__a22oi_1 g434277(.A1 (n_1217), .A2 (n_6929), .B1
-       (n_2418), .B2 (n_1365), .Y (n_7378));
-  sky130_fd_sc_hd__a22oi_1 g434278(.A1 (n_1217), .A2 (n_6931), .B1
-       (n_2418), .B2 (n_1357), .Y (n_7377));
-  sky130_fd_sc_hd__a22oi_1 g434279(.A1 (n_1217), .A2 (n_6884), .B1
-       (n_2418), .B2 (n_1403), .Y (n_7376));
-  sky130_fd_sc_hd__a22oi_1 g434280(.A1 (n_1217), .A2 (n_6930), .B1
-       (n_2418), .B2 (n_1351), .Y (n_7375));
-  sky130_fd_sc_hd__a22oi_1 g434281(.A1 (n_6922), .A2
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B1 (n_6709),
-       .B2 (u_soc_u_top_u_core_instr_rdata_id[0]), .Y (n_7374));
-  sky130_fd_sc_hd__a22oi_1 g434282(.A1 (n_13605), .A2 (n_6915), .B1
-       (n_1221), .B2 (u_soc_u_top_u_core_csr_mtvec[29]), .Y (n_7373));
-  sky130_fd_sc_hd__a22oi_1 g434283(.A1 (n_13585), .A2 (n_6915), .B1
-       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[9]), .Y (n_7372));
-  sky130_fd_sc_hd__a22oi_1 g434284(.A1 (n_13583), .A2 (n_6915), .B1
-       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[7]), .Y (n_7371));
-  sky130_fd_sc_hd__a22oi_1 g434285(.A1 (n_6915), .A2 (n_13581), .B1
-       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[5]), .Y (n_7370));
-  sky130_fd_sc_hd__a22oi_1 g434286(.A1 (n_6915), .A2 (n_13579), .B1
-       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[3]), .Y (n_7369));
-  sky130_fd_sc_hd__a21oi_1 g434287(.A1 (n_13575), .A2 (n_5703), .B1
-       (n_7242), .Y (n_7368));
-  sky130_fd_sc_hd__a221oi_1 g434288(.A1 (n_5956), .A2
-       (u_soc_u_dccm_rdata3[31]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [32]), .C1 (n_7147), .Y (n_7367));
-  sky130_fd_sc_hd__a221oi_1 g434289(.A1 (n_5956), .A2
-       (u_soc_u_dccm_rdata3[30]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [31]), .C1 (n_7148), .Y (n_7366));
-  sky130_fd_sc_hd__a221oi_1 g434290(.A1 (n_5958), .A2
-       (u_soc_u_dccm_rdata4[29]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [30]), .C1 (n_7149), .Y (n_7365));
-  sky130_fd_sc_hd__a221oi_1 g434291(.A1 (n_5956), .A2
-       (u_soc_u_dccm_rdata3[28]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [29]), .C1 (n_7150), .Y (n_7364));
-  sky130_fd_sc_hd__a221oi_1 g434292(.A1 (n_5958), .A2
-       (u_soc_u_dccm_rdata4[26]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [27]), .C1 (n_7151), .Y (n_7363));
-  sky130_fd_sc_hd__a221oi_1 g434293(.A1 (n_5958), .A2
-       (u_soc_u_dccm_rdata4[25]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [26]), .C1 (n_7152), .Y (n_7362));
-  sky130_fd_sc_hd__a221oi_1 g434294(.A1 (n_5958), .A2
-       (u_soc_u_dccm_rdata4[24]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [25]), .C1 (n_7153), .Y (n_7361));
-  sky130_fd_sc_hd__o22ai_1 g434295(.A1 (n_5691), .A2 (n_6920), .B1
-       (n_5707), .B2 (n_6921), .Y (n_7360));
-  sky130_fd_sc_hd__a21oi_1 g434296(.A1 (n_6868), .A2 (n_6923), .B1
-       (n_6863), .Y (n_7359));
-  sky130_fd_sc_hd__a222oi_1 g434297(.A1 (u_soc_u_tcam_rdata[5]), .A2
-       (n_6498), .B1 (n_5382), .B2 (n_6727), .C1 (n_2985), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]), .Y (n_7358));
-  sky130_fd_sc_hd__a222oi_1 g434298(.A1 (u_soc_u_tcam_rdata[4]), .A2
-       (n_6498), .B1 (n_5382), .B2 (n_6737), .C1 (n_2985), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]), .Y (n_7357));
-  sky130_fd_sc_hd__a222oi_1 g434299(.A1 (u_soc_u_tcam_rdata[3]), .A2
-       (n_6498), .B1 (n_5382), .B2 (n_6736), .C1 (n_2985), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]), .Y (n_7356));
-  sky130_fd_sc_hd__a222oi_1 g434300(.A1 (u_soc_u_tcam_rdata[2]), .A2
-       (n_6498), .B1 (n_5382), .B2 (n_6735), .C1 (n_2985), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]), .Y (n_7355));
-  sky130_fd_sc_hd__a222oi_1 g434301(.A1 (u_soc_u_tcam_rdata[1]), .A2
-       (n_6498), .B1 (n_5382), .B2 (n_6734), .C1 (n_2985), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]), .Y (n_7354));
-  sky130_fd_sc_hd__o21ai_1 g434302(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .A2 (n_5692), .B1 (n_7275), .Y (n_7353));
-  sky130_fd_sc_hd__nand4_1 g434303(.A (n_6663), .B (n_2390), .C
-       (n_2136), .D (n_1511), .Y (n_7352));
-  sky130_fd_sc_hd__a222oi_1 g434304(.A1 (n_13771), .A2 (n_1218), .B1
-       (n_1219), .B2 (u_soc_u_top_u_core_csr_mepc[29]), .C1 (n_6919),
-       .C2 (u_soc_u_top_u_core_pc_if[29]), .Y (n_7351));
-  sky130_fd_sc_hd__a311oi_1 g434305(.A1 (n_5982), .A2 (n_1422), .A3
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [9]), .B1 (n_6356), .C1
-       (n_7206), .Y (n_7350));
-  sky130_fd_sc_hd__a41oi_1 g434306(.A1 (n_5673), .A2 (n_1439), .A3
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .A4 (\u_soc_u_top_u_core_imd_val_q_ex[1] [27]), .B1
-       (n_7245), .Y (n_7349));
-  sky130_fd_sc_hd__o21ai_0 g434307(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .A2 (n_6920), .B1 (n_5391), .Y (n_7348));
-  sky130_fd_sc_hd__o21ai_0 g434308(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .A2 (n_6920), .B1 (n_5391), .Y (n_7347));
-  sky130_fd_sc_hd__o21ai_0 g434309(.A1 (n_6882), .A2 (n_6857), .B1
-       (n_6864), .Y (n_7346));
-  sky130_fd_sc_hd__a21oi_1 g434310(.A1 (n_5693), .A2 (n_661), .B1
-       (n_7274), .Y (n_7345));
-  sky130_fd_sc_hd__o21bai_1 g434311(.A1 (n_6881), .A2 (n_6865), .B1_N
-       (n_7270), .Y (n_7344));
-  sky130_fd_sc_hd__o221ai_1 g434312(.A1 (n_1911), .A2 (n_5393), .B1
-       (n_11), .B2 (n_6005), .C1 (n_3088), .Y (n_7343));
-  sky130_fd_sc_hd__a21oi_1 g434313(.A1 (n_6921), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .B1 (n_5692), .Y (n_7342));
-  sky130_fd_sc_hd__a21boi_1 g434314(.A1 (n_6921), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [1]), .B1_N (n_5693), .Y (n_7341));
-  sky130_fd_sc_hd__a21oi_1 g434315(.A1 (n_6788), .A2 (n_1042), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Y (n_7340));
-  sky130_fd_sc_hd__a21oi_1 g434316(.A1 (n_6505), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .B1
-       (n_7277), .Y (n_7339));
-  sky130_fd_sc_hd__o21ai_1 g434317(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .A2 (n_5391), .B1 (n_7275), .Y (n_7338));
-  sky130_fd_sc_hd__nor2_1 g434318(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7191), .Y
-       (n_7337));
-  sky130_fd_sc_hd__nor3_1 g434319(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_15915), .C
-       (n_6738), .Y (n_7336));
-  sky130_fd_sc_hd__nor2_1 g434320(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7194), .Y
-       (n_7335));
-  sky130_fd_sc_hd__nor2_1 g434321(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7195), .Y
-       (n_7334));
-  sky130_fd_sc_hd__nor2_1 g434322(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7196), .Y
-       (n_7333));
-  sky130_fd_sc_hd__nor2_1 g434323(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_6938), .Y
-       (n_7332));
-  sky130_fd_sc_hd__nand2_1 g434324(.A (n_6869), .B (n_7255), .Y
-       (n_7331));
-  sky130_fd_sc_hd__nand2_1 g434325(.A (n_7241), .B (n_5824), .Y
-       (n_7330));
-  sky130_fd_sc_hd__nand2_1 g434326(.A (n_5724), .B (n_7238), .Y
-       (n_7329));
-  sky130_fd_sc_hd__nand2_1 g434327(.A (n_7237), .B (n_5725), .Y
-       (n_7328));
-  sky130_fd_sc_hd__nand2_1 g434328(.A (n_7236), .B (n_5726), .Y
-       (n_7327));
-  sky130_fd_sc_hd__nand2_1 g434329(.A (n_7235), .B (n_5727), .Y
-       (n_7326));
-  sky130_fd_sc_hd__nand2_1 g434330(.A (n_5728), .B (n_7234), .Y
-       (n_7325));
-  sky130_fd_sc_hd__nand2_1 g434331(.A (n_5729), .B (n_7233), .Y
-       (n_7324));
-  sky130_fd_sc_hd__nand2_1 g434332(.A (n_5730), .B (n_7232), .Y
-       (n_7323));
-  sky130_fd_sc_hd__nand2_1 g434333(.A (n_5731), .B (n_7231), .Y
-       (n_7322));
-  sky130_fd_sc_hd__nand2_1 g434334(.A (n_7230), .B (n_5732), .Y
-       (n_7321));
-  sky130_fd_sc_hd__nand2_1 g434335(.A (n_5733), .B (n_7229), .Y
-       (n_7320));
-  sky130_fd_sc_hd__nand2_1 g434336(.A (n_5734), .B (n_7228), .Y
-       (n_7319));
-  sky130_fd_sc_hd__nand2_1 g434337(.A (n_7227), .B (n_5735), .Y
-       (n_7318));
-  sky130_fd_sc_hd__nand2_1 g434338(.A (n_5736), .B (n_7226), .Y
-       (n_7317));
-  sky130_fd_sc_hd__nand2_1 g434339(.A (n_7225), .B (n_5737), .Y
-       (n_7316));
-  sky130_fd_sc_hd__nand2_1 g434340(.A (n_7224), .B (n_5738), .Y
-       (n_7315));
-  sky130_fd_sc_hd__nand2_1 g434341(.A (n_5739), .B (n_7223), .Y
-       (n_7314));
-  sky130_fd_sc_hd__nand2_1 g434342(.A (n_5740), .B (n_7222), .Y
-       (n_7313));
-  sky130_fd_sc_hd__nand2_1 g434343(.A (n_5741), .B (n_7221), .Y
-       (n_7312));
-  sky130_fd_sc_hd__nand2_1 g434344(.A (n_7220), .B (n_5742), .Y
-       (n_7311));
-  sky130_fd_sc_hd__nand2_1 g434345(.A (n_7219), .B (n_5743), .Y
-       (n_7310));
-  sky130_fd_sc_hd__nor2_1 g434346(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .B (n_6738), .Y
-       (n_7309));
-  sky130_fd_sc_hd__nand2_1 g434347(.A (n_7217), .B (n_5745), .Y
-       (n_7308));
-  sky130_fd_sc_hd__nand2_1 g434348(.A (n_7267), .B (n_1878), .Y
-       (n_7307));
-  sky130_fd_sc_hd__nand2_1 g434349(.A (n_7268), .B (n_1878), .Y
-       (n_7306));
-  sky130_fd_sc_hd__nand2_1 g434350(.A (n_7210), .B (n_5753), .Y
-       (n_7305));
-  sky130_fd_sc_hd__nand2_1 g434351(.A (n_7209), .B (n_5754), .Y
-       (n_7304));
-  sky130_fd_sc_hd__nand2_1 g434352(.A (n_7211), .B (n_5751), .Y
-       (n_7303));
-  sky130_fd_sc_hd__nand2_1 g434353(.A (n_7212), .B (n_5750), .Y
-       (n_7302));
-  sky130_fd_sc_hd__nand2_1 g434354(.A (n_7213), .B (n_5749), .Y
-       (n_7301));
-  sky130_fd_sc_hd__nand2_1 g434355(.A (n_5748), .B (n_7214), .Y
-       (n_7300));
-  sky130_fd_sc_hd__nand2_1 g434356(.A (n_5747), .B (n_7215), .Y
-       (n_7299));
-  sky130_fd_sc_hd__nand2_1 g434357(.A (n_5746), .B (n_7216), .Y
-       (n_7298));
-  sky130_fd_sc_hd__nand4_1 g434372(.A (n_6706), .B (n_1984), .C
-       (n_1975), .D (n_1806), .Y (n_7402));
-  sky130_fd_sc_hd__nand2_1 g434385(.A (n_7253), .B (n_7254), .Y
-       (n_7401));
-  sky130_fd_sc_hd__nand4_1 g434392(.A (n_6682), .B (n_2966), .C
-       (n_2136), .D (n_2652), .Y (n_7398));
-  sky130_fd_sc_hd__nand3_1 g434394(.A (n_6839), .B (n_2971), .C
-       (n_5975), .Y (n_7397));
-  sky130_fd_sc_hd__nor2_1 g434402(.A (n_7295), .B (n_6923), .Y
-       (n_7396));
-  sky130_fd_sc_hd__nand2_1 g434403(.A (n_6738), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .Y (n_7395));
-  sky130_fd_sc_hd__nor2_1 g434404(.A (n_6862), .B (n_7279), .Y
-       (n_7394));
-  sky130_fd_sc_hd__nor2_1 g434411(.A (n_6858), .B (n_7255), .Y
-       (n_7393));
-  sky130_fd_sc_hd__nand3_1 g434438(.A (n_6862), .B (n_6858), .C
-       (n_6861), .Y (n_7392));
-  sky130_fd_sc_hd__nand2_1 g434439(.A (n_7279), .B (n_6863), .Y
-       (n_7390));
-  sky130_fd_sc_hd__nor2_1 g434440(.A (n_7255), .B (n_6868), .Y
-       (n_7388));
-  sky130_fd_sc_hd__nand2_1 g434441(.A (n_7253), .B (n_7271), .Y
-       (n_7386));
-  sky130_fd_sc_hd__nand2_1 g434443(.A (n_7253), .B (n_7276), .Y
-       (n_7382));
-  sky130_fd_sc_hd__clkinv_1 g434445(.A (n_7289), .Y (n_7290));
-  sky130_fd_sc_hd__inv_1 g434446(.A (n_7286), .Y (n_7287));
-  sky130_fd_sc_hd__inv_1 g434448(.A (n_7274), .Y (n_7275));
-  sky130_fd_sc_hd__clkinv_1 g434449(.A (n_7257), .Y (n_7256));
-  sky130_fd_sc_hd__inv_2 g434450(.A (n_7255), .Y (n_7254));
-  sky130_fd_sc_hd__clkinv_1 g434451(.A (n_7253), .Y (n_7252));
-  sky130_fd_sc_hd__a221oi_1 g434452(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [2]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .C1 (n_6586), .Y
-       (n_7246));
-  sky130_fd_sc_hd__o22ai_1 g434453(.A1 (n_1521), .A2 (n_6588), .B1
-       (n_1420), .B2 (n_6418), .Y (n_7245));
-  sky130_fd_sc_hd__a32oi_1 g434454(.A1 (n_5364), .A2 (n_2417), .A3
-       (n_6359), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .Y (n_7244));
-  sky130_fd_sc_hd__nand4_1 g434455(.A (n_6652), .B (n_6651), .C
-       (n_6375), .D (n_6376), .Y (n_7243));
-  sky130_fd_sc_hd__o211ai_1 g434456(.A1 (n_1245), .A2 (n_5401), .B1
-       (n_6559), .C1 (n_6619), .Y (n_7242));
-  sky130_fd_sc_hd__a222oi_1 g434457(.A1
-       (\u_soc_xbar_to_dccm[a_address] [2]), .A2 (n_5360), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [2]), .C1 (n_5362), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [3]), .Y (n_7241));
-  sky130_fd_sc_hd__a21oi_1 g434458(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [2]), .B1 (n_6810), .Y (n_7240));
-  sky130_fd_sc_hd__a221o_1 g434459(.A1
-       (\u_soc_lsu_to_xbar[a_address] [31]), .A2 (n_5360), .B1
-       (n_5366), .B2 (n_13415), .C1 (n_6845), .X (n_7239));
-  sky130_fd_sc_hd__a222oi_1 g434460(.A1 (n_13415), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [30]), .C1 (n_5366), .C2 (n_13414), .Y (n_7238));
-  sky130_fd_sc_hd__a222oi_1 g434461(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [30]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [29]), .C1 (\u_soc_lsu_to_xbar[a_address] [29]), .C2 (n_5360),
-       .Y (n_7237));
-  sky130_fd_sc_hd__a222oi_1 g434462(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [28]), .A2 (n_6490), .B1 (n_5358), .B2 (n_13413), .C1
-       (\u_soc_lsu_to_xbar[a_address] [28]), .C2 (n_5360), .Y (n_7236));
-  sky130_fd_sc_hd__a222oi_1 g434463(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [27]), .A2 (n_6490), .B1 (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [28]), .C1 (\u_soc_lsu_to_xbar[a_address] [27]), .C2 (n_5360),
-       .Y (n_7235));
-  sky130_fd_sc_hd__a222oi_1 g434464(.A1 (n_13411), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [26]), .C1 (n_5366), .C2 (n_13410), .Y (n_7234));
-  sky130_fd_sc_hd__a222oi_1 g434465(.A1 (n_13409), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [25]), .C1 (n_5362), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [26]), .Y (n_7233));
-  sky130_fd_sc_hd__a222oi_1 g434466(.A1 (n_13409), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [24]), .C1 (n_5366), .C2 (n_13408), .Y (n_7232));
-  sky130_fd_sc_hd__a222oi_1 g434467(.A1 (n_13408), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [23]), .C1 (n_5366), .C2 (n_13407), .Y (n_7231));
-  sky130_fd_sc_hd__a222oi_1 g434468(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [22]), .A2 (n_6490), .B1 (n_5366), .B2 (n_13406), .C1
-       (\u_soc_lsu_to_xbar[a_address] [22]), .C2 (n_5360), .Y (n_7230));
-  sky130_fd_sc_hd__a222oi_1 g434469(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [22]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [21]), .C1 (n_5366), .C2 (n_13405), .Y (n_7229));
-  sky130_fd_sc_hd__a222oi_1 g434470(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [21]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [20]), .C1 (n_5358), .C2 (n_13405), .Y (n_7228));
-  sky130_fd_sc_hd__a222oi_1 g434471(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [20]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [19]), .C1 (\u_soc_lsu_to_xbar[a_address] [19]), .C2 (n_5360),
-       .Y (n_7227));
-  sky130_fd_sc_hd__a222oi_1 g434472(.A1 (n_13402), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [18]), .C1 (n_5358), .C2 (n_13403), .Y (n_7226));
-  sky130_fd_sc_hd__a222oi_1 g434473(.A1 (n_13402), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [17]), .C1 (\u_soc_lsu_to_xbar[a_address] [17]), .C2 (n_5360),
-       .Y (n_7225));
-  sky130_fd_sc_hd__a222oi_1 g434474(.A1 (n_13401), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [16]), .C1 (\u_soc_lsu_to_xbar[a_address] [16]), .C2 (n_5360),
-       .Y (n_7224));
-  sky130_fd_sc_hd__a222oi_1 g434475(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [16]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [15]), .C1 (n_5358), .C2 (n_13400), .Y (n_7223));
-  sky130_fd_sc_hd__a222oi_1 g434476(.A1 (n_13398), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [14]), .C1 (n_5362), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [15]), .Y (n_7222));
-  sky130_fd_sc_hd__a222oi_1 g434477(.A1 (n_13397), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [13]), .C1 (n_5362), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [14]), .Y (n_7221));
-  sky130_fd_sc_hd__a222oi_1 g434478(.A1 (n_13397), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [12]), .C1 (\u_soc_xbar_to_dccm[a_address] [12]), .C2 (n_5360),
-       .Y (n_7220));
-  sky130_fd_sc_hd__a222oi_1 g434479(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [11]), .A2 (n_6490), .B1 (n_5366), .B2 (n_13395), .C1
-       (\u_soc_xbar_to_dccm[a_address] [11]), .C2 (n_5360), .Y
-       (n_7219));
-  sky130_fd_sc_hd__a222oi_1 g434480(.A1 (n_13394), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [10]), .C1 (n_5358), .C2 (n_13395), .Y (n_7218));
-  sky130_fd_sc_hd__a222oi_1 g434481(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [9]), .A2 (n_6490), .B1 (n_5358), .B2 (n_13394), .C1
-       (\u_soc_xbar_to_dccm[a_address] [9]), .C2 (n_5360), .Y (n_7217));
-  sky130_fd_sc_hd__a222oi_1 g434482(.A1 (n_13392), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [8]), .C1 (n_5362), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [9]), .Y (n_7216));
-  sky130_fd_sc_hd__a222oi_1 g434483(.A1 (n_13391), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [7]), .C1 (n_5358), .C2 (n_13392), .Y (n_7215));
-  sky130_fd_sc_hd__a222oi_1 g434484(.A1 (n_13391), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [6]), .C1 (n_5366), .C2 (n_13390), .Y (n_7214));
-  sky130_fd_sc_hd__a222oi_1 g434485(.A1 (n_13390), .A2 (n_5358), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [5]), .C1 (\u_soc_xbar_to_dccm[a_address] [5]), .C2 (n_5360), .Y
-       (n_7213));
-  sky130_fd_sc_hd__a222oi_1 g434486(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [4]), .A2 (n_6490), .B1 (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [5]), .C1 (\u_soc_xbar_to_dccm[a_address] [4]), .C2 (n_5360), .Y
-       (n_7212));
-  sky130_fd_sc_hd__a222oi_1 g434487(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [4]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [3]), .C1 (n_5366), .C2 (n_13387), .Y (n_7211));
-  sky130_fd_sc_hd__a222oi_1 g434488(.A1 (n_13416), .A2 (n_5366), .B1
-       (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [1]), .C1 (n_5360), .C2
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_7210));
-  sky130_fd_sc_hd__a222oi_1 g434489(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [1]), .A2 (n_5362), .B1 (n_6490), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [0]), .C1 (n_5366), .C2 (n_15946), .Y (n_7209));
-  sky130_fd_sc_hd__a221oi_1 g434490(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]), .C1
-       (n_6850), .Y (n_7208));
-  sky130_fd_sc_hd__a221oi_1 g434491(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]), .C1
-       (n_6851), .Y (n_7207));
-  sky130_fd_sc_hd__o221ai_1 g434492(.A1 (n_1257), .A2 (n_6696), .B1
-       (n_1526), .B2 (n_6417), .C1 (n_6624), .Y (n_7206));
-  sky130_fd_sc_hd__nand4_1 g434493(.A (n_6630), .B (n_6654), .C
-       (n_6655), .D (n_6657), .Y (n_7205));
-  sky130_fd_sc_hd__o2111ai_1 g434494(.A1 (n_1912), .A2 (n_2563), .B1
-       (n_6419), .C1 (n_6420), .D1 (n_6629), .Y (n_7204));
-  sky130_fd_sc_hd__a221oi_1 g434496(.A1 (n_5939), .A2 (n_13420), .B1
-       (n_5938), .B2 (n_13421), .C1 (n_6847), .Y (n_7202));
-  sky130_fd_sc_hd__a221oi_1 g434497(.A1 (n_5939), .A2 (n_13422), .B1
-       (n_5938), .B2 (n_13423), .C1 (n_6844), .Y (n_7201));
-  sky130_fd_sc_hd__o21a_1 g434498(.A1 (n_1451), .A2 (n_5988), .B1
-       (n_6928), .X (n_7200));
-  sky130_fd_sc_hd__a221o_1 g434499(.A1 (n_1527), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[3]), .B1 (n_1409),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[3]), .C1
-       (n_6843), .X (n_7199));
-  sky130_fd_sc_hd__a221oi_1 g434501(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [31]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [30]), .C1 (n_6757), .Y (n_7197));
-  sky130_fd_sc_hd__nor2_1 g434502(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]), .B
-       (n_6934), .Y (n_7196));
-  sky130_fd_sc_hd__nor2_1 g434503(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]), .B
-       (n_6935), .Y (n_7195));
-  sky130_fd_sc_hd__nor2_1 g434504(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]), .B
-       (n_6937), .Y (n_7194));
-  sky130_fd_sc_hd__a221oi_1 g434505(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [30]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .C1 (n_6561), .Y
-       (n_7193));
-  sky130_fd_sc_hd__a221oi_1 g434506(.A1 (n_5939), .A2 (n_13446), .B1
-       (n_5938), .B2 (n_13447), .C1 (n_6758), .Y (n_7192));
-  sky130_fd_sc_hd__nor2_1 g434507(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]), .B
-       (n_6926), .Y (n_7191));
-  sky130_fd_sc_hd__a221oi_1 g434508(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [28]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .C1 (n_6563), .Y
-       (n_7190));
-  sky130_fd_sc_hd__nand2_1 g434509(.A (n_1217), .B (n_6933), .Y
-       (n_7189));
-  sky130_fd_sc_hd__a221oi_1 g434510(.A1 (n_5939), .A2 (n_13444), .B1
-       (n_5938), .B2 (n_13445), .C1 (n_6760), .Y (n_7188));
-  sky130_fd_sc_hd__nand2_1 g434511(.A (n_6856), .B (n_6002), .Y
-       (n_7187));
-  sky130_fd_sc_hd__a21oi_1 g434512(.A1 (n_6505), .A2 (n_15916), .B1
-       (n_1751), .Y (n_7186));
-  sky130_fd_sc_hd__nand2_1 g434513(.A (n_6821), .B (n_6213), .Y
-       (n_7185));
-  sky130_fd_sc_hd__nand2_1 g434514(.A (n_6820), .B (n_6211), .Y
-       (n_7184));
-  sky130_fd_sc_hd__nand2_1 g434515(.A (n_6819), .B (n_6209), .Y
-       (n_7183));
-  sky130_fd_sc_hd__nand2_1 g434516(.A (n_6818), .B (n_6194), .Y
-       (n_7182));
-  sky130_fd_sc_hd__a221oi_1 g434517(.A1 (n_5939), .A2 (n_13443), .B1
-       (n_5938), .B2 (n_13444), .C1 (n_6762), .Y (n_7181));
-  sky130_fd_sc_hd__nand2_1 g434518(.A (n_6809), .B (n_6202), .Y
-       (n_7180));
-  sky130_fd_sc_hd__a221oi_1 g434519(.A1 (n_5939), .A2 (n_13442), .B1
-       (n_5938), .B2 (n_13443), .C1 (n_6763), .Y (n_7179));
-  sky130_fd_sc_hd__nand2_1 g434520(.A (n_6808), .B (n_6196), .Y
-       (n_7178));
-  sky130_fd_sc_hd__a221oi_1 g434521(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [24]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .C1 (n_6567), .Y
-       (n_7177));
-  sky130_fd_sc_hd__nand2_1 g434522(.A (n_6807), .B (n_6198), .Y
-       (n_7176));
-  sky130_fd_sc_hd__a221oi_1 g434523(.A1 (n_5939), .A2 (n_13440), .B1
-       (n_5938), .B2 (n_13441), .C1 (n_6765), .Y (n_7175));
-  sky130_fd_sc_hd__nand2_1 g434524(.A (n_6806), .B (n_6204), .Y
-       (n_7174));
-  sky130_fd_sc_hd__a221oi_1 g434525(.A1 (n_5939), .A2 (n_13439), .B1
-       (n_5938), .B2 (n_13440), .C1 (n_6767), .Y (n_7173));
-  sky130_fd_sc_hd__a221oi_1 g434526(.A1 (n_5939), .A2 (n_13438), .B1
-       (n_5938), .B2 (n_13439), .C1 (n_6768), .Y (n_7172));
-  sky130_fd_sc_hd__nand2_1 g434527(.A (n_6803), .B (n_6200), .Y
-       (n_7171));
-  sky130_fd_sc_hd__nand2_1 g434528(.A (n_6802), .B (n_6206), .Y
-       (n_7170));
-  sky130_fd_sc_hd__a221oi_1 g434529(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [20]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .C1 (n_6570), .Y
-       (n_7169));
-  sky130_fd_sc_hd__nand2_1 g434530(.A (n_6801), .B (n_6186), .Y
-       (n_7168));
-  sky130_fd_sc_hd__a221oi_1 g434531(.A1 (n_5939), .A2 (n_13436), .B1
-       (n_5938), .B2 (n_13437), .C1 (n_6770), .Y (n_7167));
-  sky130_fd_sc_hd__a221oi_1 g434532(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [18]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .C1 (n_6572), .Y
-       (n_7166));
-  sky130_fd_sc_hd__nand2_1 g434533(.A (n_6804), .B (n_6189), .Y
-       (n_7165));
-  sky130_fd_sc_hd__a221oi_1 g434534(.A1 (n_5939), .A2 (n_13434), .B1
-       (n_5938), .B2 (n_13435), .C1 (n_6772), .Y (n_7164));
-  sky130_fd_sc_hd__a221oi_1 g434535(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [16]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .C1 (n_6574), .Y
-       (n_7163));
-  sky130_fd_sc_hd__nand2_1 g434536(.A (n_6800), .B (n_6188), .Y
-       (n_7162));
-  sky130_fd_sc_hd__a221oi_1 g434537(.A1 (n_5939), .A2 (n_13432), .B1
-       (n_5938), .B2 (n_13433), .C1 (n_6774), .Y (n_7161));
-  sky130_fd_sc_hd__a221oi_1 g434538(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [14]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .C1 (n_6576), .Y
-       (n_7160));
-  sky130_fd_sc_hd__nand2_1 g434539(.A (n_6799), .B (n_6207), .Y
-       (n_7159));
-  sky130_fd_sc_hd__nor2_1 g434540(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6789), .Y
-       (n_7158));
-  sky130_fd_sc_hd__nand2_1 g434541(.A (n_6796), .B (n_6190), .Y
-       (n_7157));
-  sky130_fd_sc_hd__nand2_1 g434542(.A (n_6889), .B (n_6936), .Y
-       (n_7156));
-  sky130_fd_sc_hd__a21o_1 g434543(.A1 (n_6709), .A2
-       (u_soc_u_top_u_core_instr_rdata_c_id[1]), .B1 (n_6922), .X
-       (n_7155));
-  sky130_fd_sc_hd__nand2_1 g434544(.A (n_6791), .B (n_6192), .Y
-       (n_7154));
-  sky130_fd_sc_hd__nand2_1 g434545(.A (n_5262), .B (n_6817), .Y
-       (n_7153));
-  sky130_fd_sc_hd__nand2_1 g434546(.A (n_5269), .B (n_6816), .Y
-       (n_7152));
-  sky130_fd_sc_hd__nand2_1 g434547(.A (n_5266), .B (n_6815), .Y
-       (n_7151));
-  sky130_fd_sc_hd__nand2_1 g434548(.A (n_5258), .B (n_6814), .Y
-       (n_7150));
-  sky130_fd_sc_hd__nand2_1 g434549(.A (n_5253), .B (n_6813), .Y
-       (n_7149));
-  sky130_fd_sc_hd__nand2_1 g434550(.A (n_5249), .B (n_6812), .Y
-       (n_7148));
-  sky130_fd_sc_hd__nand2_1 g434551(.A (n_5247), .B (n_6811), .Y
-       (n_7147));
-  sky130_fd_sc_hd__a221oi_1 g434552(.A1 (n_5939), .A2 (n_13430), .B1
-       (n_5938), .B2 (n_13431), .C1 (n_6776), .Y (n_7146));
-  sky130_fd_sc_hd__a221oi_1 g434553(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [4]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .C1 (n_6584), .Y
-       (n_7145));
-  sky130_fd_sc_hd__a221oi_1 g434554(.A1 (n_5939), .A2 (n_13424), .B1
-       (n_5938), .B2 (n_13425), .C1 (n_6783), .Y (n_7144));
-  sky130_fd_sc_hd__a221oi_1 g434555(.A1 (n_5939), .A2 (n_13425), .B1
-       (n_5938), .B2 (n_13426), .C1 (n_6782), .Y (n_7143));
-  sky130_fd_sc_hd__a221oi_1 g434556(.A1 (n_5939), .A2 (n_13426), .B1
-       (n_5938), .B2 (n_13427), .C1 (n_6780), .Y (n_7142));
-  sky130_fd_sc_hd__a221oi_1 g434557(.A1 (n_5939), .A2 (n_13427), .B1
-       (n_5938), .B2 (n_13428), .C1 (n_6779), .Y (n_7141));
-  sky130_fd_sc_hd__a221oi_1 g434558(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_5937), .B2
-       (\u_soc_xbar_to_dccm[a_address] [10]), .C1 (n_6248), .Y
-       (n_7140));
-  sky130_fd_sc_hd__a221oi_1 g434559(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [12]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .C1 (n_6578), .Y
-       (n_7139));
-  sky130_fd_sc_hd__nand2_1 g434573(.A (n_6872), .B (n_5974), .Y
-       (n_7297));
-  sky130_fd_sc_hd__nor2_1 g434574(.A
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B (n_6862), .Y
-       (n_7295));
-  sky130_fd_sc_hd__nand3_1 g434587(.A (n_6632), .B (n_2919), .C
-       (n_2659), .Y (n_7294));
-  sky130_fd_sc_hd__nand3_1 g434588(.A (n_6620), .B (n_2177), .C
-       (n_1959), .Y (n_7293));
-  sky130_fd_sc_hd__nand3_1 g434589(.A (n_6621), .B (n_2905), .C
-       (n_2639), .Y (n_7292));
-  sky130_fd_sc_hd__nand3_1 g434590(.A (n_6622), .B (n_2908), .C
-       (n_2643), .Y (n_7291));
-  sky130_fd_sc_hd__o21ai_1 g434593(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .A2 (n_5691), .B1 (n_6920), .Y (n_7289));
-  sky130_fd_sc_hd__nand4_1 g434596(.A (n_6346), .B (n_2654), .C
-       (n_2136), .D (n_1949), .Y (n_7288));
-  sky130_fd_sc_hd__nand3_1 g434598(.A (n_6635), .B (n_2179), .C
-       (n_1960), .Y (n_7286));
-  sky130_fd_sc_hd__nand3_1 g434599(.A (n_6634), .B (n_2935), .C
-       (n_2664), .Y (n_7285));
-  sky130_fd_sc_hd__nand3_1 g434600(.A (n_6633), .B (n_2920), .C
-       (n_2630), .Y (n_7284));
-  sky130_fd_sc_hd__nand3_1 g434601(.A (n_6631), .B (n_2913), .C
-       (n_2653), .Y (n_7283));
-  sky130_fd_sc_hd__nand3_1 g434602(.A (n_6627), .B (n_2912), .C
-       (n_2650), .Y (n_7282));
-  sky130_fd_sc_hd__nand3_1 g434604(.A (n_6707), .B (n_2911), .C
-       (n_2648), .Y (n_7281));
-  sky130_fd_sc_hd__nand3_1 g434605(.A (n_6623), .B (n_2910), .C
-       (n_2645), .Y (n_7280));
-  sky130_fd_sc_hd__nor2_1 g434606(.A (n_6858), .B (n_6861), .Y
-       (n_7279));
-  sky130_fd_sc_hd__nor2_1 g434607(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .B (n_6505),
-       .Y (n_7277));
-  sky130_fd_sc_hd__nor2_1 g434608(.A (n_6863), .B (n_6858), .Y
-       (n_7276));
-  sky130_fd_sc_hd__nand2_1 g434609(.A (n_6), .B (n_6920), .Y (n_7274));
-  sky130_fd_sc_hd__nand2_1 g434610(.A (n_6869), .B (n_6858), .Y
-       (n_7273));
-  sky130_fd_sc_hd__nand4_1 g434612(.A (n_5612), .B (n_5265), .C
-       (n_1747), .D (n_6313), .Y (n_7272));
-  sky130_fd_sc_hd__nor2_1 g434617(.A (n_6859), .B (n_6861), .Y
-       (n_7271));
-  sky130_fd_sc_hd__nor2_1 g434620(.A (n_6866), .B (n_6865), .Y
-       (n_7270));
-  sky130_fd_sc_hd__nand4_1 g434630(.A (n_5627), .B (n_5251), .C
-       (n_1747), .D (n_6291), .Y (n_7269));
-  sky130_fd_sc_hd__nand4_1 g434631(.A (n_5635), .B (n_5246), .C
-       (n_1747), .D (n_6311), .Y (n_7268));
-  sky130_fd_sc_hd__nand4_1 g434632(.A (n_5628), .B (n_5250), .C
-       (n_1747), .D (n_6301), .Y (n_7267));
-  sky130_fd_sc_hd__nand4_1 g434633(.A (n_5620), .B (n_5239), .C
-       (n_1747), .D (n_6292), .Y (n_7266));
-  sky130_fd_sc_hd__nor2_1 g434634(.A (n_6867), .B (n_6865), .Y
-       (n_7265));
-  sky130_fd_sc_hd__nand4_1 g434635(.A (n_5604), .B (n_5273), .C
-       (n_1747), .D (n_6297), .Y (n_7264));
-  sky130_fd_sc_hd__nand4_1 g434636(.A (n_5607), .B (n_5271), .C
-       (n_1747), .D (n_6296), .Y (n_7263));
-  sky130_fd_sc_hd__nand4_1 g434637(.A (n_5608), .B (n_5270), .C
-       (n_1747), .D (n_6315), .Y (n_7262));
-  sky130_fd_sc_hd__nand4_1 g434638(.A (n_5619), .B (n_5259), .C
-       (n_1747), .D (n_6293), .Y (n_7261));
-  sky130_fd_sc_hd__nand4_1 g434639(.A (n_5609), .B (n_5268), .C
-       (n_1747), .D (n_6314), .Y (n_7260));
-  sky130_fd_sc_hd__nand4_1 g434640(.A (n_5613), .B (n_5264), .C
-       (n_1747), .D (n_6295), .Y (n_7259));
-  sky130_fd_sc_hd__nand4_1 g434641(.A (n_5616), .B (n_5261), .C
-       (n_1747), .D (n_6294), .Y (n_7258));
-  sky130_fd_sc_hd__nor4_1 g434643(.A (n_5963), .B (n_5952), .C
-       (n_5965), .D (n_6502), .Y (n_7257));
-  sky130_fd_sc_hd__nand2_1 g434644(.A (n_6863), .B (n_6861), .Y
-       (n_7255));
-  sky130_fd_sc_hd__nor2_1 g434647(.A
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .B (n_6936),
-       .Y (n_7253));
-  sky130_fd_sc_hd__nor2_1 g434648(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6935), .Y
-       (n_7251));
-  sky130_fd_sc_hd__nor2_1 g434649(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6926), .Y
-       (n_7250));
-  sky130_fd_sc_hd__nor2_1 g434650(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6925), .Y
-       (n_7249));
-  sky130_fd_sc_hd__nor2_1 g434651(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6937), .Y
-       (n_7248));
-  sky130_fd_sc_hd__nor2_1 g434652(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6934), .Y
-       (n_7247));
-  sky130_fd_sc_hd__o21ai_1 g434653(.A1 (n_6718), .A2 (n_5945), .B1
-       (n_5913), .Y (n_7138));
-  sky130_fd_sc_hd__a221oi_1 g434654(.A1 (n_5385), .A2 (n_2566), .B1
-       (n_3077), .B2 (n_5458), .C1 (n_6667), .Y (n_7137));
-  sky130_fd_sc_hd__a222oi_1 g434655(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [9]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [8]), .C1 (n_5382), .C2 (n_6717),
-       .Y (n_7136));
-  sky130_fd_sc_hd__a222oi_1 g434656(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [11]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [10]), .C1 (n_5382), .C2 (n_6719),
-       .Y (n_7135));
-  sky130_fd_sc_hd__a222oi_1 g434657(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [12]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [11]), .C1 (n_5382), .C2 (n_6725),
-       .Y (n_7134));
-  sky130_fd_sc_hd__a222oi_1 g434658(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [14]), .A2 (n_2009), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [13]), .C1 (n_5382), .C2 (n_6730),
-       .Y (n_7133));
-  sky130_fd_sc_hd__a222oi_1 g434659(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [7]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [6]), .C1 (n_5382), .C2 (n_6721),
-       .Y (n_7132));
-  sky130_fd_sc_hd__a222oi_1 g434660(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [8]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [7]), .C1 (n_5382), .C2 (n_6723),
-       .Y (n_7131));
-  sky130_fd_sc_hd__a222oi_1 g434661(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [16]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [15]), .C1 (n_5382), .C2 (n_6728),
-       .Y (n_7130));
-  sky130_fd_sc_hd__a22o_1 g434662(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]), .X
-       (n_7129));
-  sky130_fd_sc_hd__a22o_1 g434663(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]), .X
-       (n_7128));
-  sky130_fd_sc_hd__a22o_1 g434664(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]), .X
-       (n_7127));
-  sky130_fd_sc_hd__a22o_1 g434665(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]), .X
-       (n_7126));
-  sky130_fd_sc_hd__a22o_1 g434666(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]), .X
-       (n_7125));
-  sky130_fd_sc_hd__a22o_1 g434667(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]), .X
-       (n_7124));
-  sky130_fd_sc_hd__a22o_1 g434668(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]), .X
-       (n_7123));
-  sky130_fd_sc_hd__a22o_1 g434669(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]), .X
-       (n_7122));
-  sky130_fd_sc_hd__a22o_1 g434670(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]), .X
-       (n_7121));
-  sky130_fd_sc_hd__a22o_1 g434671(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]), .X
-       (n_7120));
-  sky130_fd_sc_hd__a22o_1 g434672(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]), .X
-       (n_7119));
-  sky130_fd_sc_hd__a22o_1 g434673(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]), .X
-       (n_7118));
-  sky130_fd_sc_hd__a22o_1 g434674(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]), .X
-       (n_7117));
-  sky130_fd_sc_hd__a22o_1 g434675(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]), .X
-       (n_7116));
-  sky130_fd_sc_hd__a22o_1 g434676(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]), .X
-       (n_7115));
-  sky130_fd_sc_hd__a22o_1 g434677(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]), .X
-       (n_7114));
-  sky130_fd_sc_hd__a22o_1 g434678(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]), .X
-       (n_7113));
-  sky130_fd_sc_hd__a22o_1 g434679(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]), .X
-       (n_7112));
-  sky130_fd_sc_hd__a22o_1 g434680(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]), .X
-       (n_7111));
-  sky130_fd_sc_hd__a22o_1 g434681(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]), .X
-       (n_7110));
-  sky130_fd_sc_hd__a22o_1 g434682(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]), .X
-       (n_7109));
-  sky130_fd_sc_hd__a22o_1 g434683(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]), .X
-       (n_7108));
-  sky130_fd_sc_hd__a22o_1 g434684(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]), .X
-       (n_7107));
-  sky130_fd_sc_hd__a22o_1 g434685(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]), .X
-       (n_7106));
-  sky130_fd_sc_hd__a22o_1 g434686(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]), .X
-       (n_7105));
-  sky130_fd_sc_hd__a22o_1 g434687(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]), .X
-       (n_7104));
-  sky130_fd_sc_hd__a22o_1 g434688(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]), .X
-       (n_7103));
-  sky130_fd_sc_hd__a22o_1 g434689(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]), .X
-       (n_7102));
-  sky130_fd_sc_hd__a22o_1 g434690(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]), .X
-       (n_7101));
-  sky130_fd_sc_hd__a22o_1 g434691(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]), .X
-       (n_7100));
-  sky130_fd_sc_hd__a22o_1 g434692(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]), .X
-       (n_7099));
-  sky130_fd_sc_hd__a22o_1 g434693(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]), .X
-       (n_7098));
-  sky130_fd_sc_hd__a22o_1 g434694(.A1 (n_6491), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6710), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]), .X
-       (n_7097));
-  sky130_fd_sc_hd__a22o_1 g434695(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]), .X
-       (n_7096));
-  sky130_fd_sc_hd__a22o_1 g434696(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]), .X
-       (n_7095));
-  sky130_fd_sc_hd__a22o_1 g434697(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]), .X
-       (n_7094));
-  sky130_fd_sc_hd__a22o_1 g434698(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]), .X
-       (n_7093));
-  sky130_fd_sc_hd__a22o_1 g434699(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]), .X
-       (n_7092));
-  sky130_fd_sc_hd__a22o_1 g434700(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]), .X
-       (n_7091));
-  sky130_fd_sc_hd__a22o_1 g434701(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]), .X
-       (n_7090));
-  sky130_fd_sc_hd__a22o_1 g434702(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]), .X
-       (n_7089));
-  sky130_fd_sc_hd__a22o_1 g434703(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]), .X
-       (n_7088));
-  sky130_fd_sc_hd__a22o_1 g434704(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]), .X
-       (n_7087));
-  sky130_fd_sc_hd__a22o_1 g434705(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]), .X
-       (n_7086));
-  sky130_fd_sc_hd__a22o_1 g434706(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]), .X
-       (n_7085));
-  sky130_fd_sc_hd__a22o_1 g434707(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]), .X
-       (n_7084));
-  sky130_fd_sc_hd__a22o_1 g434708(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]), .X
-       (n_7083));
-  sky130_fd_sc_hd__a22o_1 g434709(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]), .X
-       (n_7082));
-  sky130_fd_sc_hd__a22o_1 g434710(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]), .X
-       (n_7081));
-  sky130_fd_sc_hd__a22o_1 g434711(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]), .X
-       (n_7080));
-  sky130_fd_sc_hd__a22o_1 g434712(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]), .X
-       (n_7079));
-  sky130_fd_sc_hd__a22o_1 g434713(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]), .X
-       (n_7078));
-  sky130_fd_sc_hd__a22o_1 g434714(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]), .X
-       (n_7077));
-  sky130_fd_sc_hd__a22o_1 g434715(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]), .X
-       (n_7076));
-  sky130_fd_sc_hd__a22o_1 g434716(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]), .X
-       (n_7075));
-  sky130_fd_sc_hd__a22o_1 g434717(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]), .X
-       (n_7074));
-  sky130_fd_sc_hd__a22o_1 g434718(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]), .X
-       (n_7073));
-  sky130_fd_sc_hd__a22o_1 g434719(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]), .X
-       (n_7072));
-  sky130_fd_sc_hd__a22o_1 g434720(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]), .X
-       (n_7071));
-  sky130_fd_sc_hd__a22o_1 g434721(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]), .X
-       (n_7070));
-  sky130_fd_sc_hd__a22o_1 g434722(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]), .X
-       (n_7069));
-  sky130_fd_sc_hd__a22o_1 g434723(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]), .X
-       (n_7068));
-  sky130_fd_sc_hd__a22o_1 g434724(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]), .X
-       (n_7067));
-  sky130_fd_sc_hd__a22o_1 g434725(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]), .X
-       (n_7066));
-  sky130_fd_sc_hd__a22o_1 g434726(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]), .X
-       (n_7065));
-  sky130_fd_sc_hd__a221oi_1 g434727(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]), .B1
-       (n_1884), .B2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]), .C1
-       (n_6680), .Y (n_7064));
-  sky130_fd_sc_hd__a22o_1 g434728(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]), .X
-       (n_7063));
-  sky130_fd_sc_hd__a221oi_1 g434729(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]), .B1
-       (n_1884), .B2 (u_soc_u_top_u_core_csr_mstatus_tw), .C1 (n_6679),
-       .Y (n_7062));
-  sky130_fd_sc_hd__a22oi_1 g434730(.A1 (n_2419), .A2 (n_6642), .B1
-       (n_1746), .B2 (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y
-       (n_7061));
-  sky130_fd_sc_hd__a22o_1 g434731(.A1 (n_6494), .A2 (n_6736), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]), .X (n_7060));
-  sky130_fd_sc_hd__a22o_1 g434732(.A1 (n_6494), .A2 (n_6737), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]), .X (n_7059));
-  sky130_fd_sc_hd__a22o_1 g434733(.A1 (n_6494), .A2 (n_6735), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]), .X (n_7058));
-  sky130_fd_sc_hd__o21ai_0 g434734(.A1 (n_6733), .A2 (n_6495), .B1
-       (n_6416), .Y (n_7057));
-  sky130_fd_sc_hd__a22o_1 g434735(.A1 (n_6494), .A2 (n_6727), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]), .X (n_7056));
-  sky130_fd_sc_hd__o21ai_0 g434736(.A1 (n_6722), .A2 (n_6495), .B1
-       (n_6415), .Y (n_7055));
-  sky130_fd_sc_hd__a22o_1 g434737(.A1 (n_6494), .A2 (n_6734), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]), .X (n_7054));
-  sky130_fd_sc_hd__o21ai_0 g434738(.A1 (n_6724), .A2 (n_6495), .B1
-       (n_6414), .Y (n_7053));
-  sky130_fd_sc_hd__o21ai_1 g434739(.A1 (n_6718), .A2 (n_6495), .B1
-       (n_6413), .Y (n_7052));
-  sky130_fd_sc_hd__a22oi_1 g434740(.A1 (n_3079), .A2 (n_6637), .B1
-       (n_2419), .B2 (n_6658), .Y (n_7051));
-  sky130_fd_sc_hd__o21ai_1 g434741(.A1 (n_6720), .A2 (n_6495), .B1
-       (n_6412), .Y (n_7050));
-  sky130_fd_sc_hd__o21ai_1 g434742(.A1 (n_6726), .A2 (n_6495), .B1
-       (n_6411), .Y (n_7049));
-  sky130_fd_sc_hd__o21ai_1 g434743(.A1 (n_6731), .A2 (n_6495), .B1
-       (n_6410), .Y (n_7048));
-  sky130_fd_sc_hd__o21ai_1 g434744(.A1 (n_6729), .A2 (n_6495), .B1
-       (n_6409), .Y (n_7047));
-  sky130_fd_sc_hd__o21ai_0 g434745(.A1 (n_6733), .A2 (n_5945), .B1
-       (n_5916), .Y (n_7046));
-  sky130_fd_sc_hd__a22o_1 g434746(.A1 (n_5944), .A2 (n_6734), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]), .X (n_7045));
-  sky130_fd_sc_hd__a22o_1 g434747(.A1 (n_5944), .A2 (n_6735), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]), .X (n_7044));
-  sky130_fd_sc_hd__a22o_1 g434748(.A1 (n_5944), .A2 (n_6736), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]), .X (n_7043));
-  sky130_fd_sc_hd__a22o_1 g434749(.A1 (n_5944), .A2 (n_6737), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]), .X (n_7042));
-  sky130_fd_sc_hd__a22o_1 g434750(.A1 (n_5944), .A2 (n_6727), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]), .X (n_7041));
-  sky130_fd_sc_hd__o21ai_0 g434751(.A1 (n_6722), .A2 (n_5945), .B1
-       (n_5915), .Y (n_7040));
-  sky130_fd_sc_hd__o21ai_0 g434752(.A1 (n_6724), .A2 (n_5945), .B1
-       (n_5914), .Y (n_7039));
-  sky130_fd_sc_hd__a221oi_1 g434753(.A1 (n_3077), .A2 (n_5441), .B1
-       (n_5385), .B2 (n_2561), .C1 (n_6665), .Y (n_7038));
-  sky130_fd_sc_hd__o21ai_1 g434754(.A1 (n_6726), .A2 (n_5945), .B1
-       (n_5911), .Y (n_7037));
-  sky130_fd_sc_hd__o21ai_1 g434755(.A1 (n_6720), .A2 (n_5945), .B1
-       (n_5912), .Y (n_7036));
-  sky130_fd_sc_hd__o21ai_1 g434756(.A1 (n_6731), .A2 (n_5945), .B1
-       (n_5910), .Y (n_7035));
-  sky130_fd_sc_hd__o21ai_1 g434757(.A1 (n_6729), .A2 (n_5945), .B1
-       (n_5909), .Y (n_7034));
-  sky130_fd_sc_hd__o2bb2ai_1 g434758(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [1]), .A2_N (n_5643), .B1 (n_6733), .B2 (n_5940), .Y (n_7033));
-  sky130_fd_sc_hd__a22o_1 g434759(.A1 (n_5941), .A2 (n_6734), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [2]), .X (n_7032));
-  sky130_fd_sc_hd__a22o_1 g434760(.A1 (n_5941), .A2 (n_6735), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [3]), .X (n_7031));
-  sky130_fd_sc_hd__a22o_1 g434761(.A1 (n_5941), .A2 (n_6736), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [4]), .X (n_7030));
-  sky130_fd_sc_hd__a22o_1 g434762(.A1 (n_5941), .A2 (n_6737), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [5]), .X (n_7029));
-  sky130_fd_sc_hd__a22o_1 g434763(.A1 (n_5941), .A2 (n_6727), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [6]), .X (n_7028));
-  sky130_fd_sc_hd__o2bb2ai_1 g434764(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [7]), .A2_N (n_5643), .B1 (n_6722), .B2 (n_5940), .Y (n_7027));
-  sky130_fd_sc_hd__o2bb2ai_1 g434765(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [8]), .A2_N (n_5643), .B1 (n_6724), .B2 (n_5940), .Y (n_7026));
-  sky130_fd_sc_hd__o2bb2ai_1 g434766(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [9]), .A2_N (n_5643), .B1 (n_6718), .B2 (n_5940), .Y (n_7025));
-  sky130_fd_sc_hd__o2bb2ai_1 g434767(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [11]), .A2_N (n_5643), .B1 (n_6720), .B2 (n_5940), .Y (n_7024));
-  sky130_fd_sc_hd__o2bb2ai_1 g434768(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [12]), .A2_N (n_5643), .B1 (n_6726), .B2 (n_5940), .Y (n_7023));
-  sky130_fd_sc_hd__o2bb2ai_1 g434769(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [14]), .A2_N (n_5643), .B1 (n_6731), .B2 (n_5940), .Y (n_7022));
-  sky130_fd_sc_hd__o2bb2ai_1 g434770(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [16]), .A2_N (n_5643), .B1 (n_6729), .B2 (n_5940), .Y (n_7021));
-  sky130_fd_sc_hd__o21ai_0 g434771(.A1 (n_6733), .A2 (n_5943), .B1
-       (n_5908), .Y (n_7020));
-  sky130_fd_sc_hd__a22o_1 g434772(.A1 (n_5942), .A2 (n_6734), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [2]), .X (n_7019));
-  sky130_fd_sc_hd__a22o_1 g434773(.A1 (n_5942), .A2 (n_6735), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [3]), .X (n_7018));
-  sky130_fd_sc_hd__a22o_1 g434774(.A1 (n_5942), .A2 (n_6736), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [4]), .X (n_7017));
-  sky130_fd_sc_hd__a22o_1 g434775(.A1 (n_5942), .A2 (n_6737), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [5]), .X (n_7016));
-  sky130_fd_sc_hd__a22o_1 g434776(.A1 (n_5942), .A2 (n_6727), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [6]), .X (n_7015));
-  sky130_fd_sc_hd__a22oi_1 g434777(.A1 (n_5382), .A2 (n_6732), .B1
-       (n_2534), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_7014));
-  sky130_fd_sc_hd__o21ai_0 g434778(.A1 (n_6724), .A2 (n_5943), .B1
-       (n_5906), .Y (n_7013));
-  sky130_fd_sc_hd__o21ai_0 g434779(.A1 (n_6722), .A2 (n_5943), .B1
-       (n_5907), .Y (n_7012));
-  sky130_fd_sc_hd__o21ai_1 g434780(.A1 (n_6718), .A2 (n_5943), .B1
-       (n_5905), .Y (n_7011));
-  sky130_fd_sc_hd__o21ai_1 g434781(.A1 (n_6720), .A2 (n_5943), .B1
-       (n_5904), .Y (n_7010));
-  sky130_fd_sc_hd__o21ai_1 g434782(.A1 (n_6726), .A2 (n_5943), .B1
-       (n_5903), .Y (n_7009));
-  sky130_fd_sc_hd__o21ai_1 g434783(.A1 (n_6731), .A2 (n_5943), .B1
-       (n_5902), .Y (n_7008));
-  sky130_fd_sc_hd__o21ai_1 g434784(.A1 (n_6729), .A2 (n_5943), .B1
-       (n_5901), .Y (n_7007));
-  sky130_fd_sc_hd__a22o_1 g434785(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]), .X
-       (n_7006));
-  sky130_fd_sc_hd__a22o_1 g434786(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]), .X
-       (n_7005));
-  sky130_fd_sc_hd__a22o_1 g434787(.A1 (n_6488), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6712), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]), .X
-       (n_7004));
-  sky130_fd_sc_hd__a22o_1 g434788(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]), .X
-       (n_7003));
-  sky130_fd_sc_hd__a22o_1 g434789(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]), .X
-       (n_7002));
-  sky130_fd_sc_hd__a22o_1 g434790(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]), .X
-       (n_7001));
-  sky130_fd_sc_hd__a22o_1 g434791(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]), .X
-       (n_7000));
-  sky130_fd_sc_hd__a22o_1 g434792(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]), .X
-       (n_6999));
-  sky130_fd_sc_hd__a22o_1 g434793(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]), .X
-       (n_6998));
-  sky130_fd_sc_hd__a22o_1 g434794(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]), .X
-       (n_6997));
-  sky130_fd_sc_hd__a22o_1 g434795(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]), .X
-       (n_6996));
-  sky130_fd_sc_hd__a22o_1 g434796(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]), .X
-       (n_6995));
-  sky130_fd_sc_hd__a22o_1 g434797(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]), .X
-       (n_6994));
-  sky130_fd_sc_hd__a22o_1 g434798(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]), .X
-       (n_6993));
-  sky130_fd_sc_hd__a22o_1 g434799(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]), .X
-       (n_6992));
-  sky130_fd_sc_hd__a22o_1 g434800(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]), .X
-       (n_6991));
-  sky130_fd_sc_hd__a22o_1 g434801(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]), .X
-       (n_6990));
-  sky130_fd_sc_hd__a22o_1 g434802(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]), .X
-       (n_6989));
-  sky130_fd_sc_hd__a22o_1 g434803(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]), .X
-       (n_6988));
-  sky130_fd_sc_hd__a22o_1 g434804(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]), .X
-       (n_6987));
-  sky130_fd_sc_hd__a22o_1 g434805(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]), .X
-       (n_6986));
-  sky130_fd_sc_hd__a22o_1 g434806(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]), .X
-       (n_6985));
-  sky130_fd_sc_hd__a22o_1 g434807(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]), .X
-       (n_6984));
-  sky130_fd_sc_hd__a22o_1 g434808(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]), .X
-       (n_6983));
-  sky130_fd_sc_hd__a22o_1 g434809(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]), .X
-       (n_6982));
-  sky130_fd_sc_hd__a22o_1 g434810(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]), .X
-       (n_6981));
-  sky130_fd_sc_hd__a22o_1 g434811(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]), .X
-       (n_6980));
-  sky130_fd_sc_hd__a22o_1 g434812(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]), .X
-       (n_6979));
-  sky130_fd_sc_hd__a22o_1 g434813(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]), .X
-       (n_6978));
-  sky130_fd_sc_hd__a22o_1 g434814(.A1 (n_6714), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6713), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]), .X
-       (n_6977));
-  sky130_fd_sc_hd__a22o_1 g434815(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]), .X
-       (n_6976));
-  sky130_fd_sc_hd__a22o_1 g434816(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]), .X
-       (n_6975));
-  sky130_fd_sc_hd__a22o_1 g434817(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]), .X
-       (n_6974));
-  sky130_fd_sc_hd__a22o_1 g434818(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]), .X
-       (n_6973));
-  sky130_fd_sc_hd__a22o_1 g434819(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]), .X
-       (n_6972));
-  sky130_fd_sc_hd__a22o_1 g434820(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]), .X
-       (n_6971));
-  sky130_fd_sc_hd__a22o_1 g434821(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]), .X
-       (n_6970));
-  sky130_fd_sc_hd__a22o_1 g434822(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]), .X
-       (n_6969));
-  sky130_fd_sc_hd__a22o_1 g434823(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]), .X
-       (n_6968));
-  sky130_fd_sc_hd__a22o_1 g434824(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]), .X
-       (n_6967));
-  sky130_fd_sc_hd__a22o_1 g434825(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]), .X
-       (n_6966));
-  sky130_fd_sc_hd__a22o_1 g434826(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]), .X
-       (n_6965));
-  sky130_fd_sc_hd__a22o_1 g434827(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]), .X
-       (n_6964));
-  sky130_fd_sc_hd__a22o_1 g434828(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]), .X
-       (n_6963));
-  sky130_fd_sc_hd__a22o_1 g434829(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]), .X
-       (n_6962));
-  sky130_fd_sc_hd__a22o_1 g434830(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]), .X
-       (n_6961));
-  sky130_fd_sc_hd__a22o_1 g434831(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]), .X
-       (n_6960));
-  sky130_fd_sc_hd__a22o_1 g434832(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]), .X
-       (n_6959));
-  sky130_fd_sc_hd__a22o_1 g434833(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]), .X
-       (n_6958));
-  sky130_fd_sc_hd__a22o_1 g434834(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]), .X
-       (n_6957));
-  sky130_fd_sc_hd__a22o_1 g434835(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]), .X
-       (n_6956));
-  sky130_fd_sc_hd__a22o_1 g434836(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]), .X
-       (n_6955));
-  sky130_fd_sc_hd__a22o_1 g434837(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]), .X
-       (n_6954));
-  sky130_fd_sc_hd__a22o_1 g434838(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]), .X
-       (n_6953));
-  sky130_fd_sc_hd__a22o_1 g434839(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]), .X
-       (n_6952));
-  sky130_fd_sc_hd__a22o_1 g434840(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]), .X
-       (n_6951));
-  sky130_fd_sc_hd__a22o_1 g434841(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]), .X
-       (n_6950));
-  sky130_fd_sc_hd__a22o_1 g434842(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]), .X
-       (n_6949));
-  sky130_fd_sc_hd__a22o_1 g434843(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]), .X
-       (n_6948));
-  sky130_fd_sc_hd__a22o_1 g434844(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]), .X
-       (n_6947));
-  sky130_fd_sc_hd__a22o_1 g434845(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]), .X
-       (n_6946));
-  sky130_fd_sc_hd__a22o_1 g434846(.A1 (n_6487), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6711), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]), .X
-       (n_6945));
-  sky130_fd_sc_hd__a22oi_1 g434847(.A1 (n_2419), .A2 (n_6618), .B1
-       (n_1746), .B2 (n_16012), .Y (n_6944));
-  sky130_fd_sc_hd__a22oi_1 g434848(.A1 (n_3079), .A2 (n_6611), .B1
-       (n_2419), .B2 (n_6653), .Y (n_6943));
-  sky130_fd_sc_hd__a22oi_1 g434849(.A1 (n_2419), .A2 (n_6641), .B1
-       (n_1746), .B2 (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_6942));
-  sky130_fd_sc_hd__a22oi_1 g434850(.A1 (n_3079), .A2 (n_6617), .B1
-       (n_2419), .B2 (n_6609), .Y (n_6941));
-  sky130_fd_sc_hd__a22oi_1 g434851(.A1 (n_3079), .A2 (n_6636), .B1
-       (n_2419), .B2 (n_6613), .Y (n_6940));
-  sky130_fd_sc_hd__a22oi_1 g434852(.A1 (n_3079), .A2 (n_6638), .B1
-       (n_2419), .B2 (n_6612), .Y (n_6939));
-  sky130_fd_sc_hd__xnor2_1 g434853(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .B (n_5990), .Y
-       (n_6938));
-  sky130_fd_sc_hd__inv_2 g434854(.A (n_6925), .Y (n_6926));
-  sky130_fd_sc_hd__inv_1 g434855(.A (n_6923), .Y (n_6922));
-  sky130_fd_sc_hd__inv_2 g434856(.A (n_6921), .Y (n_6920));
-  sky130_fd_sc_hd__nand2_1 g434858(.A (n_6592), .B (n_6185), .Y
-       (n_6913));
-  sky130_fd_sc_hd__nand2_1 g434859(.A (n_6593), .B (n_6187), .Y
-       (n_6912));
-  sky130_fd_sc_hd__nand2_1 g434860(.A (n_6594), .B (n_6191), .Y
-       (n_6911));
-  sky130_fd_sc_hd__nand2_1 g434861(.A (n_6595), .B (n_6197), .Y
-       (n_6910));
-  sky130_fd_sc_hd__nand2_1 g434862(.A (n_6596), .B (n_6199), .Y
-       (n_6909));
-  sky130_fd_sc_hd__nand2_1 g434863(.A (n_6597), .B (n_6203), .Y
-       (n_6908));
-  sky130_fd_sc_hd__nand2_1 g434864(.A (n_6598), .B (n_6205), .Y
-       (n_6907));
-  sky130_fd_sc_hd__nand2_1 g434865(.A (n_6367), .B (n_3088), .Y
-       (n_6906));
-  sky130_fd_sc_hd__a41oi_1 g434866(.A1 (n_5673), .A2 (n_1533), .A3
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .A4 (\u_soc_u_top_u_core_imd_val_q_ex[1] [29]), .B1
-       (n_6628), .Y (n_6905));
-  sky130_fd_sc_hd__nand4_1 g434867(.A (n_5991), .B (n_1059), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [1]), .D
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .Y (n_6904));
-  sky130_fd_sc_hd__nor2_1 g434868(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_6312), .Y
-       (n_6903));
-  sky130_fd_sc_hd__nor2_1 g434869(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6697), .Y
-       (n_6902));
-  sky130_fd_sc_hd__nor2_1 g434870(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6698), .Y
-       (n_6901));
-  sky130_fd_sc_hd__nand2_1 g434871(.A (n_6601), .B (n_6195), .Y
-       (n_6900));
-  sky130_fd_sc_hd__nand2_1 g434872(.A (n_6602), .B (n_6201), .Y
-       (n_6899));
-  sky130_fd_sc_hd__nand2_1 g434873(.A (n_6603), .B (n_6193), .Y
-       (n_6898));
-  sky130_fd_sc_hd__nand2_1 g434874(.A (n_6605), .B (n_6212), .Y
-       (n_6897));
-  sky130_fd_sc_hd__nand2_1 g434875(.A (n_6608), .B (n_6210), .Y
-       (n_6896));
-  sky130_fd_sc_hd__nand2_1 g434876(.A (n_6610), .B (n_6208), .Y
-       (n_6895));
-  sky130_fd_sc_hd__o211ai_1 g434877(.A1 (n_1526), .A2 (n_5951), .B1
-       (n_5274), .C1 (n_6614), .Y (n_6894));
-  sky130_fd_sc_hd__o211ai_1 g434878(.A1 (n_2286), .A2 (n_5398), .B1
-       (n_5917), .C1 (n_6615), .Y (n_6893));
-  sky130_fd_sc_hd__nand2_1 g434879(.A (n_6709), .B
-       (u_soc_u_top_u_core_instr_rdata_id[23]), .Y (n_6892));
-  sky130_fd_sc_hd__nand2_1 g434880(.A (n_6709), .B
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_6891));
-  sky130_fd_sc_hd__nand2_1 g434881(.A (n_6709), .B
-       (u_soc_u_top_u_core_instr_rdata_id[7]), .Y (n_6890));
-  sky130_fd_sc_hd__nand2_1 g434882(.A (n_6709), .B
-       (u_soc_u_top_u_core_instr_rdata_c_id[0]), .Y (n_6889));
-  sky130_fd_sc_hd__nand2_1 g434883(.A (n_6709), .B
-       (u_soc_u_top_u_core_instr_rdata_id[4]), .Y (n_6888));
-  sky130_fd_sc_hd__nand2_1 g434884(.A (n_6709), .B
-       (u_soc_u_top_u_core_instr_rdata_id[3]), .Y (n_6887));
-  sky130_fd_sc_hd__nor2_1 g434885(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]), .B (n_6739), .Y
-       (n_6886));
-  sky130_fd_sc_hd__nor2_1 g434952(.A (n_1248), .B (n_6716), .Y
-       (n_6937));
-  sky130_fd_sc_hd__nand2_1 g434953(.A (n_6708), .B
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .Y (n_6936));
-  sky130_fd_sc_hd__nor2_1 g434958(.A (n_1042), .B (n_6716), .Y
-       (n_6935));
-  sky130_fd_sc_hd__nor2_1 g434961(.A (n_1046), .B (n_6716), .Y
-       (n_6934));
-  sky130_fd_sc_hd__nand4_1 g434965(.A (n_5782), .B (n_1748), .C
-       (n_1813), .D (n_2907), .Y (n_6933));
-  sky130_fd_sc_hd__nand4_1 g434966(.A (n_5785), .B (n_2629), .C
-       (n_2136), .D (n_2973), .Y (n_6932));
-  sky130_fd_sc_hd__nand4_1 g434967(.A (n_5786), .B (n_2914), .C
-       (n_2136), .D (n_2620), .Y (n_6931));
-  sky130_fd_sc_hd__nand4_1 g434968(.A (n_5781), .B (n_2972), .C
-       (n_2136), .D (n_2665), .Y (n_6930));
-  sky130_fd_sc_hd__nand4_1 g434969(.A (n_5780), .B (n_2631), .C
-       (n_2136), .D (n_2967), .Y (n_6929));
-  sky130_fd_sc_hd__nand2_1 g434975(.A (n_5988), .B (n_1451), .Y
-       (n_6928));
-  sky130_fd_sc_hd__nor2_1 g434979(.A (n_143), .B (n_5989), .Y (n_6927));
-  sky130_fd_sc_hd__nand2_1 g434980(.A (n_6715), .B (n_1262), .Y
-       (n_6925));
-  sky130_fd_sc_hd__and2_1 g434981(.A (n_6739), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]), .X (n_6924));
-  sky130_fd_sc_hd__nand2_1 g434986(.A (n_6708), .B
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .Y (n_6923));
-  sky130_fd_sc_hd__a21oi_1 g434987(.A1 (n_1189), .A2 (n_13), .B1
-       (n_6709), .Y (n_6921));
-  sky130_fd_sc_hd__and2_0 g434988(.A (n_6), .B (n_6709), .X (n_6919));
-  sky130_fd_sc_hd__nor2_1 g434989(.A (n_1436), .B (n_6716), .Y
-       (n_6918));
-  sky130_fd_sc_hd__nor2_1 g434990(.A (n_15933), .B (n_6716), .Y
-       (n_6917));
-  sky130_fd_sc_hd__nor2_1 g434991(.A (n_15929), .B (n_6716), .Y
-       (n_6916));
-  sky130_fd_sc_hd__nor2_1 g434992(.A (n_6709), .B
-       (u_soc_u_top_u_core_pc_set), .Y (n_6915));
-  sky130_fd_sc_hd__clkinv_1 g434994(.A (n_6872), .Y (n_6873));
-  sky130_fd_sc_hd__inv_1 g434995(.A (n_6871), .Y (n_6870));
-  sky130_fd_sc_hd__clkinv_1 g434996(.A (n_6869), .Y (n_6868));
-  sky130_fd_sc_hd__inv_2 g434997(.A (n_6867), .Y (n_6866));
-  sky130_fd_sc_hd__inv_2 g434998(.A (n_6865), .Y (n_6864));
-  sky130_fd_sc_hd__inv_2 g434999(.A (n_6863), .Y (n_6862));
-  sky130_fd_sc_hd__inv_1 g435000(.A (n_6861), .Y (n_6860));
-  sky130_fd_sc_hd__inv_2 g435001(.A (n_6859), .Y (n_6858));
-  sky130_fd_sc_hd__inv_2 g435002(.A (n_6857), .Y (n_6856));
-  sky130_fd_sc_hd__a222oi_1 g435003(.A1 (n_5440), .A2 (n_3078), .B1
-       (n_5998), .B2 (n_2414), .C1 (n_3077), .C2 (n_5439), .Y (n_6855));
-  sky130_fd_sc_hd__a221oi_1 g435004(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [13]), .B1 (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]), .C1
-       (n_6591), .Y (n_6854));
-  sky130_fd_sc_hd__a221oi_1 g435005(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]), .C1
-       (n_6590), .Y (n_6853));
-  sky130_fd_sc_hd__a221oi_1 g435006(.A1 (n_5939), .A2 (n_13423), .B1
-       (n_5938), .B2 (n_13424), .C1 (n_6583), .Y (n_6852));
-  sky130_fd_sc_hd__nand4_1 g435007(.A (n_3059), .B (n_5110), .C
-       (n_5975), .D (n_2640), .Y (n_6851));
-  sky130_fd_sc_hd__nand4_1 g435008(.A (n_3062), .B (n_5108), .C
-       (n_5975), .D (n_2636), .Y (n_6850));
-  sky130_fd_sc_hd__a221oi_1 g435009(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[30]), .B1 (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]), .C1
-       (n_6565), .Y (n_6849));
-  sky130_fd_sc_hd__a222oi_1 g435010(.A1 (n_1037), .A2 (n_5831), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [27]), .C1 (n_5847), .C2 (n_1027), .Y (n_6848));
-  sky130_fd_sc_hd__a22o_1 g435011(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [3]), .B1 (n_5937), .B2
-       (\u_soc_xbar_to_dccm[a_address] [2]), .X (n_6847));
-  sky130_fd_sc_hd__a222oi_1 g435012(.A1 (n_2287), .A2 (n_2162), .B1
-       (n_5938), .B2 (n_13419), .C1 (n_2564), .C2 (n_5396), .Y
-       (n_6846));
-  sky130_fd_sc_hd__o22ai_1 g435013(.A1 (n_153), .A2 (n_6489), .B1
-       (n_1263), .B2 (n_5357), .Y (n_6845));
-  sky130_fd_sc_hd__a22o_1 g435014(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [5]), .B1 (n_5937), .B2
-       (\u_soc_xbar_to_dccm[a_address] [4]), .X (n_6844));
-  sky130_fd_sc_hd__a221o_1 g435015(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[3]), .B1 (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]), .C1 (n_6399),
-       .X (n_6843));
-  sky130_fd_sc_hd__a22o_1 g435016(.A1 (n_6497), .A2
-       (u_soc_u_tcam_rdata[5]), .B1 (n_5670), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [6]), .X (n_6842));
-  sky130_fd_sc_hd__a21oi_1 g435017(.A1 (n_6504), .A2 (n_468), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Y (n_6841));
-  sky130_fd_sc_hd__a221oi_1 g435018(.A1 (n_2244), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]), .B1
-       (n_1513), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]), .C1
-       (n_6626), .Y (n_6840));
-  sky130_fd_sc_hd__a21oi_1 g435019(.A1 (n_1410), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]), .B1
-       (n_6688), .Y (n_6839));
-  sky130_fd_sc_hd__a211oi_1 g435020(.A1 (n_3138), .A2 (n_497), .B1
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .C1 (n_5990), .Y
-       (n_6838));
-  sky130_fd_sc_hd__a41oi_1 g435021(.A1 (n_5673), .A2 (n_1439), .A3
-       (n_11), .A4 (\u_soc_u_top_u_core_imd_val_q_ex[1] [11]), .B1
-       (n_6625), .Y (n_6837));
-  sky130_fd_sc_hd__nand3b_1 g435022(.A_N (n_6503), .B (n_1264), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [0]), .Y (n_6836));
-  sky130_fd_sc_hd__a22o_1 g435023(.A1 (n_6497), .A2
-       (u_soc_u_tcam_rdata[2]), .B1 (n_5670), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [3]), .X (n_6835));
-  sky130_fd_sc_hd__a22o_1 g435024(.A1 (n_6497), .A2
-       (u_soc_u_tcam_rdata[4]), .B1 (n_5670), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [5]), .X (n_6834));
-  sky130_fd_sc_hd__a22o_1 g435025(.A1 (n_6497), .A2
-       (u_soc_u_tcam_rdata[3]), .B1 (n_5670), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [4]), .X (n_6833));
-  sky130_fd_sc_hd__a22o_1 g435026(.A1 (n_6497), .A2
-       (u_soc_u_tcam_rdata[1]), .B1 (n_5670), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [2]), .X (n_6832));
-  sky130_fd_sc_hd__a22o_1 g435027(.A1 (n_6497), .A2
-       (u_soc_u_tcam_rdata[0]), .B1 (n_5670), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [1]), .X (n_6831));
-  sky130_fd_sc_hd__a22o_1 g435028(.A1 (n_6496), .A2
-       (u_soc_u_tcam_rdata[5]), .B1 (n_5668), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [6]), .X (n_6830));
-  sky130_fd_sc_hd__a22o_1 g435029(.A1 (n_6496), .A2
-       (u_soc_u_tcam_rdata[4]), .B1 (n_5668), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [5]), .X (n_6829));
-  sky130_fd_sc_hd__a22o_1 g435030(.A1 (n_6496), .A2
-       (u_soc_u_tcam_rdata[3]), .B1 (n_5668), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [4]), .X (n_6828));
-  sky130_fd_sc_hd__a22o_1 g435031(.A1 (n_6496), .A2
-       (u_soc_u_tcam_rdata[2]), .B1 (n_5668), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [3]), .X (n_6827));
-  sky130_fd_sc_hd__a221oi_1 g435032(.A1 (n_3077), .A2 (n_5428), .B1
-       (n_5385), .B2 (n_2925), .C1 (n_6664), .Y (n_6826));
-  sky130_fd_sc_hd__a222oi_1 g435033(.A1 (n_5445), .A2 (n_3078), .B1
-       (n_3077), .B2 (n_5444), .C1 (n_6001), .C2 (n_2414), .Y (n_6825));
-  sky130_fd_sc_hd__a221oi_1 g435034(.A1 (n_3077), .A2 (n_5457), .B1
-       (n_5385), .B2 (n_2567), .C1 (n_6666), .Y (n_6824));
-  sky130_fd_sc_hd__or4_1 g435035(.A (n_13408), .B (n_13407), .C
-       (n_13409), .D (n_5919), .X (n_6823));
-  sky130_fd_sc_hd__or4_1 g435036(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [20]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [22]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [21]), .D (n_5921), .X (n_6822));
-  sky130_fd_sc_hd__a222oi_1 g435037(.A1 (n_13418), .A2 (n_5651), .B1
-       (n_5960), .B2 (n_1422), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [2]), .Y (n_6821));
-  sky130_fd_sc_hd__a222oi_1 g435038(.A1 (n_13420), .A2 (n_5651), .B1
-       (n_5960), .B2 (n_1423), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [4]), .Y (n_6820));
-  sky130_fd_sc_hd__a222oi_1 g435039(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [5]), .A2 (n_5649), .B1 (n_5960), .B2 (n_1524), .C1 (n_5647),
-       .C2 (n_13423), .Y (n_6819));
-  sky130_fd_sc_hd__a222oi_1 g435040(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [21]), .A2 (n_5649), .B1 (n_5960), .B2 (n_1523), .C1 (n_2982),
-       .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [22]), .Y (n_6818));
-  sky130_fd_sc_hd__a222oi_1 g435041(.A1
-       (\u_soc_uart_to_xbar[d_data] [24]), .A2 (n_1411), .B1 (n_5956),
-       .B2 (u_soc_u_dccm_rdata3[24]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [25]), .Y (n_6817));
-  sky130_fd_sc_hd__a222oi_1 g435042(.A1
-       (\u_soc_uart_to_xbar[d_data] [25]), .A2 (n_1411), .B1 (n_5956),
-       .B2 (u_soc_u_dccm_rdata3[25]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [26]), .Y (n_6816));
-  sky130_fd_sc_hd__a222oi_1 g435043(.A1
-       (\u_soc_uart_to_xbar[d_data] [26]), .A2 (n_1411), .B1 (n_5956),
-       .B2 (u_soc_u_dccm_rdata3[26]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [27]), .Y (n_6815));
-  sky130_fd_sc_hd__a222oi_1 g435044(.A1
-       (\u_soc_uart_to_xbar[d_data] [28]), .A2 (n_1411), .B1 (n_5958),
-       .B2 (u_soc_u_dccm_rdata4[28]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [29]), .Y (n_6814));
-  sky130_fd_sc_hd__a222oi_1 g435045(.A1
-       (\u_soc_uart_to_xbar[d_data] [29]), .A2 (n_1411), .B1 (n_5956),
-       .B2 (u_soc_u_dccm_rdata3[29]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [30]), .Y (n_6813));
-  sky130_fd_sc_hd__a222oi_1 g435046(.A1
-       (\u_soc_uart_to_xbar[d_data] [30]), .A2 (n_1411), .B1 (n_5958),
-       .B2 (u_soc_u_dccm_rdata4[30]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [31]), .Y (n_6812));
-  sky130_fd_sc_hd__a222oi_1 g435047(.A1
-       (\u_soc_uart_to_xbar[d_data] [31]), .A2 (n_1411), .B1 (n_5958),
-       .B2 (u_soc_u_dccm_rdata4[31]), .C1 (n_2987), .C2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [32]), .Y (n_6811));
-  sky130_fd_sc_hd__o2111ai_1 g435048(.A1 (n_1428), .A2 (n_13478), .B1
-       (n_1986), .C1 (n_2965), .D1 (n_5791), .Y (n_6810));
-  sky130_fd_sc_hd__a222oi_1 g435049(.A1 (n_13430), .A2 (n_5651), .B1
-       (n_5949), .B2 (n_1524), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [14]), .Y (n_6809));
-  sky130_fd_sc_hd__a222oi_1 g435050(.A1 (n_13437), .A2 (n_5647), .B1
-       (n_5960), .B2 (n_1421), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [20]), .Y (n_6808));
-  sky130_fd_sc_hd__a222oi_1 g435051(.A1 (n_13435), .A2 (n_5647), .B1
-       (n_5960), .B2 (n_1417), .C1 (n_5651), .C2 (n_13434), .Y
-       (n_6807));
-  sky130_fd_sc_hd__a222oi_1 g435052(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [11]), .A2 (n_5649), .B1 (n_5949), .B2 (n_1423), .C1 (n_5647),
-       .C2 (n_13429), .Y (n_6806));
-  sky130_fd_sc_hd__a222oi_1 g435053(.A1 (n_5448), .A2 (n_3078), .B1
-       (n_3077), .B2 (n_5447), .C1 (n_5874), .C2 (n_2414), .Y (n_6805));
-  sky130_fd_sc_hd__a222oi_1 g435054(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [26]), .A2 (n_5649), .B1 (n_5950), .B2 (n_1417), .C1 (n_5647),
-       .C2 (n_13444), .Y (n_6804));
-  sky130_fd_sc_hd__a222oi_1 g435055(.A1 (n_13432), .A2 (n_5651), .B1
-       (n_5960), .B2 (n_1522), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [16]), .Y (n_6803));
-  sky130_fd_sc_hd__a222oi_1 g435056(.A1 (n_13427), .A2 (n_5647), .B1
-       (n_5949), .B2 (n_1422), .C1 (n_5649), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [9]), .Y (n_6802));
-  sky130_fd_sc_hd__a222oi_1 g435057(.A1 (n_13446), .A2 (n_5651), .B1
-       (n_5949), .B2 (n_1523), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [30]), .Y (n_6801));
-  sky130_fd_sc_hd__a222oi_1 g435058(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [27]), .A2 (n_5649), .B1 (n_5949), .B2 (n_1421), .C1 (n_2982),
-       .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [28]), .Y (n_6800));
-  sky130_fd_sc_hd__a222oi_1 g435059(.A1 (n_13424), .A2 (n_5651), .B1
-       (n_5949), .B2 (n_1525), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [8]), .Y (n_6799));
-  sky130_fd_sc_hd__a222oi_1 g435060(.A1 (n_5434), .A2 (n_3077), .B1
-       (n_6000), .B2 (n_2414), .C1 (n_3078), .C2 (n_5435), .Y (n_6798));
-  sky130_fd_sc_hd__nor2_1 g435061(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6694), .Y
-       (n_6797));
-  sky130_fd_sc_hd__a222oi_1 g435062(.A1 (n_13442), .A2 (n_5651), .B1
-       (n_5949), .B2 (n_1417), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [26]), .Y (n_6796));
-  sky130_fd_sc_hd__a222oi_1 g435063(.A1 (n_2414), .A2 (n_6006), .B1
-       (n_3078), .B2 (n_5428), .C1 (n_3077), .C2 (n_5430), .Y (n_6795));
-  sky130_fd_sc_hd__a222oi_1 g435064(.A1 (n_5433), .A2 (n_3078), .B1
-       (n_6008), .B2 (n_2414), .C1 (n_3077), .C2 (n_5431), .Y (n_6794));
-  sky130_fd_sc_hd__a222oi_1 g435065(.A1 (n_5436), .A2 (n_3077), .B1
-       (n_6004), .B2 (n_2414), .C1 (n_3078), .C2 (n_5437), .Y (n_6793));
-  sky130_fd_sc_hd__a222oi_1 g435066(.A1 (n_5441), .A2 (n_3078), .B1
-       (n_5996), .B2 (n_2414), .C1 (n_3077), .C2 (n_5442), .Y (n_6792));
-  sky130_fd_sc_hd__a222oi_1 g435067(.A1 (n_13440), .A2 (n_5651), .B1
-       (n_5949), .B2 (n_1522), .C1 (n_2982), .C2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [24]), .Y (n_6791));
-  sky130_fd_sc_hd__a221o_1 g435068(.A1 (n_2421), .A2 (n_13561), .B1
-       (n_3085), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .C1
-       (n_6395), .X (n_6790));
-  sky130_fd_sc_hd__xor2_1 g435069(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .B (n_5671), .X
-       (n_6789));
-  sky130_fd_sc_hd__a2bb2oi_1 g435070(.A1_N (n_1046), .A2_N (n_5671),
-       .B1 (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .B2
-       (n_5671), .Y (n_6788));
-  sky130_fd_sc_hd__a22oi_1 g435071(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [3]), .Y (n_6787));
-  sky130_fd_sc_hd__a22oi_1 g435072(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [5]), .Y (n_6786));
-  sky130_fd_sc_hd__a22oi_1 g435073(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [6]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .Y (n_6785));
-  sky130_fd_sc_hd__a22oi_1 g435074(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [7]), .Y (n_6784));
-  sky130_fd_sc_hd__a22o_1 g435075(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [7]), .B1 (n_5937), .B2
-       (\u_soc_xbar_to_dccm[a_address] [6]), .X (n_6783));
-  sky130_fd_sc_hd__a22o_1 g435076(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [8]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .X (n_6782));
-  sky130_fd_sc_hd__a22oi_1 g435077(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [9]), .Y (n_6781));
-  sky130_fd_sc_hd__a22o_1 g435078(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_5937), .B2
-       (\u_soc_xbar_to_dccm[a_address] [8]), .X (n_6780));
-  sky130_fd_sc_hd__a22o_1 g435079(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [10]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .X (n_6779));
-  sky130_fd_sc_hd__a22oi_1 g435080(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [11]), .Y (n_6778));
-  sky130_fd_sc_hd__a22oi_1 g435081(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [13]), .Y (n_6777));
-  sky130_fd_sc_hd__a22o_1 g435082(.A1 (n_6493), .A2
-       (\u_soc_xbar_to_dccm[a_address] [13]), .B1 (n_5937), .B2
-       (\u_soc_xbar_to_dccm[a_address] [12]), .X (n_6776));
-  sky130_fd_sc_hd__a22oi_1 g435083(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [15]), .Y (n_6775));
-  sky130_fd_sc_hd__a22o_1 g435084(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [15]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [14]), .X (n_6774));
-  sky130_fd_sc_hd__a22oi_1 g435085(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [17]), .Y (n_6773));
-  sky130_fd_sc_hd__a22o_1 g435086(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [17]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [16]), .X (n_6772));
-  sky130_fd_sc_hd__a22oi_1 g435087(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [19]), .Y (n_6771));
-  sky130_fd_sc_hd__a22o_1 g435088(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [19]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [18]), .X (n_6770));
-  sky130_fd_sc_hd__a22oi_1 g435089(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [21]), .Y (n_6769));
-  sky130_fd_sc_hd__a22o_1 g435090(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [21]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [20]), .X (n_6768));
-  sky130_fd_sc_hd__a22o_1 g435091(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [22]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .X (n_6767));
-  sky130_fd_sc_hd__a22oi_1 g435092(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [23]), .Y (n_6766));
-  sky130_fd_sc_hd__a22o_1 g435093(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [23]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [22]), .X (n_6765));
-  sky130_fd_sc_hd__a22oi_1 g435094(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [25]), .Y (n_6764));
-  sky130_fd_sc_hd__a22o_1 g435095(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [25]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [24]), .X (n_6763));
-  sky130_fd_sc_hd__a22o_1 g435096(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [26]), .B1 (n_6492), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .X (n_6762));
-  sky130_fd_sc_hd__a22oi_1 g435097(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [27]), .Y (n_6761));
-  sky130_fd_sc_hd__a22o_1 g435098(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [27]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [26]), .X (n_6760));
-  sky130_fd_sc_hd__a22oi_1 g435099(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [29]), .Y (n_6759));
-  sky130_fd_sc_hd__a22o_1 g435100(.A1 (n_6493), .A2
-       (\u_soc_lsu_to_xbar[a_address] [29]), .B1 (n_5937), .B2
-       (\u_soc_lsu_to_xbar[a_address] [28]), .X (n_6758));
-  sky130_fd_sc_hd__a22o_1 g435101(.A1 (n_6492), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B1 (n_5663), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .X (n_6757));
-  sky130_fd_sc_hd__a22o_1 g435102(.A1 (n_6494), .A2 (n_5687), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [18]), .X (n_6756));
-  sky130_fd_sc_hd__a22o_1 g435103(.A1 (n_6494), .A2 (n_5686), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [19]), .X (n_6755));
-  sky130_fd_sc_hd__a22o_1 g435104(.A1 (n_6494), .A2 (n_5684), .B1
-       (n_16007), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [28]), .X (n_6754));
-  sky130_fd_sc_hd__a22o_1 g435105(.A1 (n_6500), .A2
-       (u_soc_u_tcam_rdata[0]), .B1 (n_5957), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]), .X (n_6753));
-  sky130_fd_sc_hd__a22o_1 g435106(.A1 (n_6500), .A2
-       (u_soc_u_tcam_rdata[1]), .B1 (n_5957), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]), .X (n_6752));
-  sky130_fd_sc_hd__a22o_1 g435107(.A1 (n_6500), .A2
-       (u_soc_u_tcam_rdata[2]), .B1 (n_5957), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]), .X (n_6751));
-  sky130_fd_sc_hd__a22o_1 g435108(.A1 (n_6500), .A2
-       (u_soc_u_tcam_rdata[5]), .B1 (n_5957), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]), .X (n_6750));
-  sky130_fd_sc_hd__a22o_1 g435109(.A1 (n_6500), .A2
-       (u_soc_u_tcam_rdata[3]), .B1 (n_5957), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]), .X (n_6749));
-  sky130_fd_sc_hd__a22o_1 g435110(.A1 (n_6500), .A2
-       (u_soc_u_tcam_rdata[4]), .B1 (n_5957), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]), .X (n_6748));
-  sky130_fd_sc_hd__a22o_1 g435111(.A1 (n_6499), .A2
-       (u_soc_u_tcam_rdata[0]), .B1 (n_5669), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]), .X (n_6747));
-  sky130_fd_sc_hd__a22o_1 g435112(.A1 (n_6499), .A2
-       (u_soc_u_tcam_rdata[2]), .B1 (n_5669), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]), .X (n_6746));
-  sky130_fd_sc_hd__a22o_1 g435113(.A1 (n_6499), .A2
-       (u_soc_u_tcam_rdata[1]), .B1 (n_5669), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]), .X (n_6745));
-  sky130_fd_sc_hd__a22o_1 g435114(.A1 (n_6499), .A2
-       (u_soc_u_tcam_rdata[3]), .B1 (n_5669), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]), .X (n_6744));
-  sky130_fd_sc_hd__a22o_1 g435115(.A1 (n_6499), .A2
-       (u_soc_u_tcam_rdata[4]), .B1 (n_5669), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]), .X (n_6743));
-  sky130_fd_sc_hd__a22o_1 g435116(.A1 (n_6499), .A2
-       (u_soc_u_tcam_rdata[5]), .B1 (n_5669), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]), .X (n_6742));
-  sky130_fd_sc_hd__a22o_1 g435117(.A1 (n_6496), .A2
-       (u_soc_u_tcam_rdata[0]), .B1 (n_5668), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [1]), .X (n_6741));
-  sky130_fd_sc_hd__a22o_1 g435118(.A1 (n_6496), .A2
-       (u_soc_u_tcam_rdata[1]), .B1 (n_5668), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [2]), .X (n_6740));
-  sky130_fd_sc_hd__nand4_1 g435119(.A (n_5787), .B (n_2623), .C
-       (n_5975), .D (n_2963), .Y (n_6884));
-  sky130_fd_sc_hd__a221oi_1 g435120(.A1 (n_5827), .A2 (n_1037), .B1
-       (n_1035), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [9]), .C1 (n_6548), .Y (n_6883));
-  sky130_fd_sc_hd__a221oi_1 g435121(.A1 (n_5837), .A2 (n_1037), .B1
-       (n_1035), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [14]), .C1 (n_6547), .Y (n_6882));
-  sky130_fd_sc_hd__a221oi_1 g435122(.A1 (n_5839), .A2 (n_1037), .B1
-       (n_1035), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [15]), .C1 (n_6546), .Y (n_6881));
-  sky130_fd_sc_hd__a221o_1 g435123(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[24]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[24]), .C1 (n_6668), .X (n_6880));
-  sky130_fd_sc_hd__a221o_1 g435124(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[25]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[25]), .C1 (n_6669), .X (n_6879));
-  sky130_fd_sc_hd__a221o_1 g435125(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[26]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[26]), .C1 (n_6670), .X (n_6878));
-  sky130_fd_sc_hd__a221o_1 g435126(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[28]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[28]), .C1 (n_6671), .X (n_6877));
-  sky130_fd_sc_hd__a221o_1 g435127(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[29]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[29]), .C1 (n_6672), .X (n_6876));
-  sky130_fd_sc_hd__a221o_1 g435128(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[30]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[30]), .C1 (n_6673), .X (n_6875));
-  sky130_fd_sc_hd__a221o_1 g435129(.A1 (n_5971), .A2
-       (u_soc_u_dccm_rdata1[31]), .B1 (n_5962), .B2
-       (u_soc_u_dccm_rdata2[31]), .C1 (n_6674), .X (n_6874));
-  sky130_fd_sc_hd__a221oi_1 g435130(.A1 (n_5843), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [25]), .C1 (n_6549), .Y (n_6872));
-  sky130_fd_sc_hd__a221oi_1 g435131(.A1 (n_5842), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [24]), .C1 (n_6550), .Y (n_6871));
-  sky130_fd_sc_hd__nor3_1 g435132(.A
-       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B
-       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .C (n_6709),
-       .Y (n_6869));
-  sky130_fd_sc_hd__a221oi_1 g435133(.A1 (n_5845), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [26]), .C1 (n_6551), .Y (n_6867));
-  sky130_fd_sc_hd__a221oi_1 g435134(.A1 (n_5847), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [27]), .C1 (n_6552), .Y (n_6865));
-  sky130_fd_sc_hd__nor2_1 g435135(.A (n_6558), .B (n_6557), .Y
-       (n_6863));
-  sky130_fd_sc_hd__nor2_1 g435136(.A (n_6556), .B (n_6555), .Y
-       (n_6861));
-  sky130_fd_sc_hd__a221oi_1 g435137(.A1 (n_5853), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [30]), .C1 (n_6554), .Y (n_6859));
-  sky130_fd_sc_hd__a221oi_1 g435138(.A1 (n_5849), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [28]), .C1 (n_6553), .Y (n_6857));
-  sky130_fd_sc_hd__inv_2 g435396(.A (n_6733), .Y (n_6732));
-  sky130_fd_sc_hd__inv_2 g435397(.A (n_6731), .Y (n_6730));
-  sky130_fd_sc_hd__inv_2 g435398(.A (n_6729), .Y (n_6728));
-  sky130_fd_sc_hd__inv_2 g435399(.A (n_6726), .Y (n_6725));
-  sky130_fd_sc_hd__inv_2 g435400(.A (n_6724), .Y (n_6723));
-  sky130_fd_sc_hd__inv_2 g435401(.A (n_6722), .Y (n_6721));
-  sky130_fd_sc_hd__inv_2 g435402(.A (n_6720), .Y (n_6719));
-  sky130_fd_sc_hd__inv_2 g435403(.A (n_6718), .Y (n_6717));
-  sky130_fd_sc_hd__inv_2 g435404(.A (n_6715), .Y (n_6716));
-  sky130_fd_sc_hd__inv_1 g435405(.A (n_6709), .Y (n_6708));
-  sky130_fd_sc_hd__a221oi_1 g435406(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]), .C1
-       (n_6373), .Y (n_6707));
-  sky130_fd_sc_hd__a221oi_1 g435407(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
-       .C1 (n_5790), .Y (n_6706));
-  sky130_fd_sc_hd__o2bb2ai_1 g435408(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2_N (n_5407), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B2 (n_5407), .Y (n_6705));
-  sky130_fd_sc_hd__a22oi_1 g435409(.A1 (n_5959), .A2
-       (u_soc_u_dccm_rdata2[31]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [32]), .Y (n_6704));
-  sky130_fd_sc_hd__a22oi_1 g435410(.A1 (n_5959), .A2
-       (u_soc_u_dccm_rdata2[30]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [31]), .Y (n_6703));
-  sky130_fd_sc_hd__a22oi_1 g435411(.A1 (n_5972), .A2
-       (u_soc_u_dccm_rdata1[29]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [30]), .Y (n_6702));
-  sky130_fd_sc_hd__a22oi_1 g435412(.A1 (n_5972), .A2
-       (u_soc_u_dccm_rdata1[28]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [29]), .Y (n_6701));
-  sky130_fd_sc_hd__a22o_1 g435413(.A1 (n_5942), .A2 (n_5684), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [28]), .X (n_6700));
-  sky130_fd_sc_hd__a22oi_1 g435414(.A1 (n_5972), .A2
-       (u_soc_u_dccm_rdata1[26]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [27]), .Y (n_6699));
-  sky130_fd_sc_hd__nor2_1 g435415(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]), .B
-       (n_6508), .Y (n_6698));
-  sky130_fd_sc_hd__nor2_1 g435416(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]), .B
-       (n_6506), .Y (n_6697));
-  sky130_fd_sc_hd__nand2b_1 g435417(.A_N (n_6503), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [6]), .Y (n_6696));
-  sky130_fd_sc_hd__nor2_1 g435419(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]), .B
-       (n_6507), .Y (n_6694));
-  sky130_fd_sc_hd__nand2_1 g435420(.A (n_6498), .B
-       (u_soc_u_tcam_rdata[0]), .Y (n_6693));
-  sky130_fd_sc_hd__a22o_1 g435421(.A1 (n_5942), .A2 (n_5686), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [19]), .X (n_6692));
-  sky130_fd_sc_hd__nor2_1 g435422(.A (n_3099), .B (n_6326), .Y
-       (n_6691));
-  sky130_fd_sc_hd__a22o_1 g435423(.A1 (n_5942), .A2 (n_5687), .B1
-       (n_5644), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [18]), .X (n_6690));
-  sky130_fd_sc_hd__a22oi_1 g435424(.A1 (n_5959), .A2
-       (u_soc_u_dccm_rdata2[25]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [26]), .Y (n_6689));
-  sky130_fd_sc_hd__o41ai_1 g435425(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .A4 (n_2382), .B1
-       (n_6357), .Y (n_6688));
-  sky130_fd_sc_hd__a22oi_1 g435426(.A1 (n_5972), .A2
-       (u_soc_u_dccm_rdata1[24]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [25]), .Y (n_6687));
-  sky130_fd_sc_hd__a21oi_1 g435427(.A1 (n_5776), .A2 (n_13327), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .Y (n_6686));
-  sky130_fd_sc_hd__a22o_1 g435428(.A1 (n_5941), .A2 (n_5684), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [28]), .X (n_6685));
-  sky130_fd_sc_hd__a22o_1 g435429(.A1 (n_5941), .A2 (n_5686), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [19]), .X (n_6684));
-  sky130_fd_sc_hd__a22o_1 g435430(.A1 (n_5941), .A2 (n_5687), .B1
-       (n_5643), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [18]), .X (n_6683));
-  sky130_fd_sc_hd__a221oi_1 g435431(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [7]), .B1 (n_1884), .B2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .C1
-       (n_6364), .Y (n_6682));
-  sky130_fd_sc_hd__nor2_1 g435432(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_5922), .Y
-       (n_6681));
-  sky130_fd_sc_hd__a221o_1 g435433(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[17]), .B1 (n_1409), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[17]), .C1 (n_6286),
-       .X (n_6680));
-  sky130_fd_sc_hd__a221o_1 g435434(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[21]), .B1 (n_1409), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[21]), .C1 (n_6261),
-       .X (n_6679));
-  sky130_fd_sc_hd__a211o_1 g435435(.A1 (n_3100), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       [0]), .B1 (n_5770), .C1 (n_5411), .X (n_6678));
-  sky130_fd_sc_hd__a22o_1 g435436(.A1 (n_5944), .A2 (n_5684), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [28]), .X (n_6677));
-  sky130_fd_sc_hd__a22o_1 g435437(.A1 (n_5944), .A2 (n_5686), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [19]), .X (n_6676));
-  sky130_fd_sc_hd__a22o_1 g435438(.A1 (n_5944), .A2 (n_5687), .B1
-       (n_5642), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [18]), .X (n_6675));
-  sky130_fd_sc_hd__a22o_1 g435439(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[31]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[31]), .X (n_6674));
-  sky130_fd_sc_hd__a22o_1 g435440(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[30]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[30]), .X (n_6673));
-  sky130_fd_sc_hd__a22o_1 g435441(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[29]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[29]), .X (n_6672));
-  sky130_fd_sc_hd__a22o_1 g435442(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[28]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[28]), .X (n_6671));
-  sky130_fd_sc_hd__a22o_1 g435443(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[26]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[26]), .X (n_6670));
-  sky130_fd_sc_hd__a22o_1 g435444(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[25]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[25]), .X (n_6669));
-  sky130_fd_sc_hd__a22o_1 g435445(.A1 (n_5967), .A2
-       (u_soc_u_dccm_rdata3[24]), .B1 (n_5968), .B2
-       (u_soc_u_dccm_rdata4[24]), .X (n_6668));
-  sky130_fd_sc_hd__o22ai_1 g435446(.A1 (n_2413), .A2 (n_5872), .B1
-       (n_2558), .B2 (n_5388), .Y (n_6667));
-  sky130_fd_sc_hd__o22ai_1 g435447(.A1 (n_2413), .A2 (n_5867), .B1
-       (n_2569), .B2 (n_5388), .Y (n_6666));
-  sky130_fd_sc_hd__o22ai_1 g435448(.A1 (n_2413), .A2 (n_5864), .B1
-       (n_2589), .B2 (n_5388), .Y (n_6665));
-  sky130_fd_sc_hd__o22ai_1 g435449(.A1 (n_2413), .A2 (n_5859), .B1
-       (n_2597), .B2 (n_5388), .Y (n_6664));
-  sky130_fd_sc_hd__a221oi_1 g435450(.A1 (n_1884), .A2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .B1
-       (n_1413), .B2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]), .C1
-       (n_6126), .Y (n_6663));
-  sky130_fd_sc_hd__a21oi_1 g435451(.A1 (n_6006), .A2 (n_2413), .B1
-       (n_3081), .Y (n_6662));
-  sky130_fd_sc_hd__o21ai_1 g435452(.A1 (n_2414), .A2 (n_6007), .B1
-       (n_3082), .Y (n_6661));
-  sky130_fd_sc_hd__o31ai_1 g435453(.A1
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .A2 (n_152), .A3
-       (n_1683), .B1 (n_6300), .Y (n_6660));
-  sky130_fd_sc_hd__nand4_1 g435454(.A (n_5697), .B (n_5617), .C
-       (n_1257), .D (n_3088), .Y (n_6659));
-  sky130_fd_sc_hd__o21ai_1 g435455(.A1 (n_2414), .A2 (n_6003), .B1
-       (n_3082), .Y (n_6658));
-  sky130_fd_sc_hd__nand4_1 g435456(.A (n_5679), .B (n_1417), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [17]), .D
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .Y (n_6657));
-  sky130_fd_sc_hd__nor2_1 g435457(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5929), .Y
-       (n_6656));
-  sky130_fd_sc_hd__nand4_1 g435458(.A (n_5679), .B (n_1522), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [15]), .D
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .Y (n_6655));
-  sky130_fd_sc_hd__nand3_1 g435459(.A (n_5980), .B (n_1522), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [16]), .Y (n_6654));
-  sky130_fd_sc_hd__o21ai_1 g435460(.A1 (n_2414), .A2 (n_5995), .B1
-       (n_3082), .Y (n_6653));
-  sky130_fd_sc_hd__nand3_1 g435461(.A (n_5980), .B (n_1417), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [18]), .Y (n_6652));
-  sky130_fd_sc_hd__nand3_1 g435462(.A (n_5980), .B (n_1421), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [20]), .Y (n_6651));
-  sky130_fd_sc_hd__o21ai_1 g435463(.A1 (n_2414), .A2 (n_5859), .B1
-       (n_3082), .Y (n_6650));
-  sky130_fd_sc_hd__o21ai_1 g435464(.A1 (n_2414), .A2 (n_5860), .B1
-       (n_3082), .Y (n_6649));
-  sky130_fd_sc_hd__o21ai_1 g435465(.A1 (n_2414), .A2 (n_5861), .B1
-       (n_3082), .Y (n_6648));
-  sky130_fd_sc_hd__o21ai_1 g435466(.A1 (n_2414), .A2 (n_5862), .B1
-       (n_3082), .Y (n_6647));
-  sky130_fd_sc_hd__o21ai_1 g435467(.A1 (n_2414), .A2 (n_5863), .B1
-       (n_3082), .Y (n_6646));
-  sky130_fd_sc_hd__o21ai_1 g435468(.A1 (n_2414), .A2 (n_5864), .B1
-       (n_3082), .Y (n_6645));
-  sky130_fd_sc_hd__a21oi_1 g435469(.A1 (n_5866), .A2 (n_2413), .B1
-       (n_3081), .Y (n_6644));
-  sky130_fd_sc_hd__a221o_1 g435470(.A1 (n_2982), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [32]), .B1 (n_5651), .B2 (n_13448), .C1 (n_6184), .X (n_6643));
-  sky130_fd_sc_hd__o221ai_1 g435471(.A1 (n_2413), .A2 (n_5861), .B1
-       (n_2584), .B2 (n_5388), .C1 (n_5766), .Y (n_6642));
-  sky130_fd_sc_hd__o221ai_1 g435472(.A1 (n_2413), .A2 (n_5862), .B1
-       (n_2577), .B2 (n_5388), .C1 (n_5764), .Y (n_6641));
-  sky130_fd_sc_hd__o221ai_1 g435473(.A1 (n_2413), .A2 (n_5863), .B1
-       (n_2598), .B2 (n_5388), .C1 (n_5763), .Y (n_6640));
-  sky130_fd_sc_hd__o221ai_1 g435474(.A1 (n_2413), .A2 (n_5865), .B1
-       (n_2551), .B2 (n_5388), .C1 (n_5761), .Y (n_6639));
-  sky130_fd_sc_hd__o221ai_1 g435475(.A1 (n_2413), .A2 (n_5858), .B1
-       (n_2557), .B2 (n_5388), .C1 (n_5758), .Y (n_6638));
-  sky130_fd_sc_hd__o221ai_1 g435476(.A1 (n_2413), .A2 (n_5994), .B1
-       (n_2568), .B2 (n_5388), .C1 (n_5757), .Y (n_6637));
-  sky130_fd_sc_hd__o21ai_1 g435477(.A1 (n_2413), .A2 (n_5868), .B1
-       (n_6011), .Y (n_6636));
-  sky130_fd_sc_hd__a221oi_1 g435478(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[15]), .B1 (n_1233), .B2
-       (u_soc_u_top_u_core_debug_ebreakm), .C1 (n_6366), .Y (n_6635));
-  sky130_fd_sc_hd__a221oi_1 g435479(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]), .C1
-       (n_6379), .Y (n_6634));
-  sky130_fd_sc_hd__a221oi_1 g435480(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]), .C1
-       (n_6365), .Y (n_6633));
-  sky130_fd_sc_hd__a221oi_1 g435481(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]), .C1
-       (n_6378), .Y (n_6632));
-  sky130_fd_sc_hd__a221oi_1 g435482(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]), .C1
-       (n_6377), .Y (n_6631));
-  sky130_fd_sc_hd__nand3_1 g435483(.A (n_5948), .B (n_1524), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [14]), .Y (n_6630));
-  sky130_fd_sc_hd__nand3_1 g435484(.A (n_5980), .B (n_1523), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [22]), .Y (n_6629));
-  sky130_fd_sc_hd__and3_1 g435485(.A (n_5948), .B (n_1523), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [30]), .X (n_6628));
-  sky130_fd_sc_hd__a221oi_1 g435486(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]), .C1
-       (n_6374), .Y (n_6627));
-  sky130_fd_sc_hd__a221o_1 g435487(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]), .B1
-       (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]), .C1
-       (n_5792), .X (n_6626));
-  sky130_fd_sc_hd__and3_1 g435488(.A (n_5948), .B (n_1422), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [10]), .X (n_6625));
-  sky130_fd_sc_hd__nand3_1 g435489(.A (n_5948), .B (n_1423), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [12]), .Y (n_6624));
-  sky130_fd_sc_hd__a221oi_1 g435490(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]), .C1
-       (n_6372), .Y (n_6623));
-  sky130_fd_sc_hd__a221oi_1 g435491(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]), .C1
-       (n_6371), .Y (n_6622));
-  sky130_fd_sc_hd__a221oi_1 g435492(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]), .B1
-       (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]), .C1
-       (n_6370), .Y (n_6621));
-  sky130_fd_sc_hd__a221oi_1 g435493(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[31]), .B1 (n_1409), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[31]), .C1 (n_6369),
-       .Y (n_6620));
-  sky130_fd_sc_hd__a222oi_1 g435494(.A1
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .A2 (n_5663), .B1
-       (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [32]), .C1 (n_5937), .C2 (\u_soc_lsu_to_xbar[a_address] [31]),
-       .Y (n_6619));
-  sky130_fd_sc_hd__o21ai_1 g435495(.A1 (n_2413), .A2 (n_5860), .B1
-       (n_6013), .Y (n_6618));
-  sky130_fd_sc_hd__a221o_1 g435496(.A1 (n_5869), .A2 (n_2414), .B1
-       (n_5387), .B2 (n_2565), .C1 (n_5756), .X (n_6617));
-  sky130_fd_sc_hd__a221o_1 g435497(.A1 (n_5870), .A2 (n_2414), .B1
-       (n_5387), .B2 (n_2581), .C1 (n_5755), .X (n_6616));
-  sky130_fd_sc_hd__a222oi_1 g435498(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [1]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [0]), .C1 (n_5647), .C2 (n_13418), .Y (n_6615));
-  sky130_fd_sc_hd__a222oi_1 g435499(.A1 (n_13426), .A2 (n_5647), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [8]), .C1 (n_5651), .C2 (n_13425), .Y (n_6614));
-  sky130_fd_sc_hd__o21ai_1 g435500(.A1 (n_2414), .A2 (n_5997), .B1
-       (n_3082), .Y (n_6613));
-  sky130_fd_sc_hd__o21ai_1 g435501(.A1 (n_2414), .A2 (n_5999), .B1
-       (n_3082), .Y (n_6612));
-  sky130_fd_sc_hd__o21ai_1 g435502(.A1 (n_2413), .A2 (n_5873), .B1
-       (n_6010), .Y (n_6611));
-  sky130_fd_sc_hd__a222oi_1 g435503(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [7]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [6]), .C1 (n_5961), .C2 (n_1524), .Y (n_6610));
-  sky130_fd_sc_hd__nand2_1 g435504(.A (n_6389), .B (n_3082), .Y
-       (n_6609));
-  sky130_fd_sc_hd__a222oi_1 g435505(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [5]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [4]), .C1 (n_5961), .C2 (n_1423), .Y (n_6608));
-  sky130_fd_sc_hd__a21oi_1 g435506(.A1 (n_5874), .A2 (n_2413), .B1
-       (n_3081), .Y (n_6607));
-  sky130_fd_sc_hd__a21oi_1 g435507(.A1 (n_5871), .A2 (n_2413), .B1
-       (n_3081), .Y (n_6606));
-  sky130_fd_sc_hd__a222oi_1 g435508(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [3]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13420), .C1 (n_5961),
-       .C2 (n_1422), .Y (n_6605));
-  sky130_fd_sc_hd__a21oi_1 g435509(.A1 (n_5871), .A2 (n_2414), .B1
-       (n_6012), .Y (n_6604));
-  sky130_fd_sc_hd__a222oi_1 g435510(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [23]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [22]), .C1 (n_5961), .C2 (n_1523), .Y (n_6603));
-  sky130_fd_sc_hd__a222oi_1 g435511(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [15]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [14]), .C1 (n_5950), .C2 (n_1524), .Y (n_6602));
-  sky130_fd_sc_hd__a222oi_1 g435512(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [21]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [20]), .C1 (n_5961), .C2 (n_1421), .Y (n_6601));
-  sky130_fd_sc_hd__a221oi_1 g435513(.A1 (n_3077), .A2 (n_5455), .B1
-       (n_3078), .B2 (n_5463), .C1 (n_5895), .Y (n_6600));
-  sky130_fd_sc_hd__a221oi_1 g435514(.A1 (n_3077), .A2 (n_5456), .B1
-       (n_3078), .B2 (n_5464), .C1 (n_5894), .Y (n_6599));
-  sky130_fd_sc_hd__a222oi_1 g435515(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [11]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13428), .C1 (n_5950),
-       .C2 (n_1422), .Y (n_6598));
-  sky130_fd_sc_hd__a222oi_1 g435516(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [13]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [12]), .C1 (n_5950), .C2 (n_1423), .Y (n_6597));
-  sky130_fd_sc_hd__a222oi_1 g435517(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [17]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13434), .C1 (n_5961),
-       .C2 (n_1522), .Y (n_6596));
-  sky130_fd_sc_hd__a222oi_1 g435518(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [19]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13436), .C1 (n_5961),
-       .C2 (n_1417), .Y (n_6595));
-  sky130_fd_sc_hd__a222oi_1 g435519(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [25]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [24]), .C1 (n_5950), .C2 (n_1522), .Y (n_6594));
-  sky130_fd_sc_hd__a222oi_1 g435520(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [29]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [28]), .C1 (n_5950), .C2 (n_1421), .Y (n_6593));
-  sky130_fd_sc_hd__a222oi_1 g435521(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [31]), .A2 (n_2982), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [30]), .C1 (n_5950), .C2 (n_1523), .Y (n_6592));
-  sky130_fd_sc_hd__a221o_1 g435522(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]), .B1
-       (n_2241), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]), .C1
-       (n_5789), .X (n_6591));
-  sky130_fd_sc_hd__a221o_1 g435523(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[20]), .B1 (n_1518),
-       .B2 (u_soc_u_top_u_core_csr_mepc[20]), .C1 (n_5793), .X
-       (n_6590));
-  sky130_fd_sc_hd__a22oi_1 g435524(.A1 (n_5982), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [25]), .B1 (n_5948), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [26]), .Y (n_6589));
-  sky130_fd_sc_hd__a22oi_1 g435525(.A1 (n_5982), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [23]), .B1 (n_5948), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [24]), .Y (n_6588));
-  sky130_fd_sc_hd__a22oi_1 g435526(.A1 (n_5939), .A2 (n_13419), .B1
-       (n_5938), .B2 (n_13420), .Y (n_6587));
-  sky130_fd_sc_hd__a22o_1 g435527(.A1 (n_5937), .A2
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [2]), .X (n_6586));
-  sky130_fd_sc_hd__a22oi_1 g435528(.A1 (n_5939), .A2 (n_13421), .B1
-       (n_5938), .B2 (n_13422), .Y (n_6585));
-  sky130_fd_sc_hd__a22o_1 g435529(.A1 (n_5937), .A2
-       (\u_soc_xbar_to_dccm[a_address] [3]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [4]), .X (n_6584));
-  sky130_fd_sc_hd__a22o_1 g435530(.A1 (n_5937), .A2
-       (\u_soc_xbar_to_dccm[a_address] [5]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [6]), .X (n_6583));
-  sky130_fd_sc_hd__a22oi_1 g435531(.A1 (n_5937), .A2
-       (\u_soc_xbar_to_dccm[a_address] [7]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [8]), .Y (n_6582));
-  sky130_fd_sc_hd__a22oi_1 g435532(.A1 (n_5937), .A2
-       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [10]), .Y (n_6581));
-  sky130_fd_sc_hd__a22oi_1 g435533(.A1 (n_5939), .A2 (n_13428), .B1
-       (n_5938), .B2 (n_13429), .Y (n_6580));
-  sky130_fd_sc_hd__a22oi_1 g435534(.A1 (n_5939), .A2 (n_13429), .B1
-       (n_5938), .B2 (n_13430), .Y (n_6579));
-  sky130_fd_sc_hd__a22o_1 g435535(.A1 (n_5937), .A2
-       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [12]), .X (n_6578));
-  sky130_fd_sc_hd__a22oi_1 g435536(.A1 (n_5939), .A2 (n_13431), .B1
-       (n_5938), .B2 (n_13432), .Y (n_6577));
-  sky130_fd_sc_hd__a22o_1 g435537(.A1 (n_5937), .A2
-       (\u_soc_xbar_to_dccm[a_address] [13]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [14]), .X (n_6576));
-  sky130_fd_sc_hd__a22oi_1 g435538(.A1 (n_5939), .A2 (n_13433), .B1
-       (n_5938), .B2 (n_13434), .Y (n_6575));
-  sky130_fd_sc_hd__a22o_1 g435539(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [15]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [16]), .X (n_6574));
-  sky130_fd_sc_hd__a22oi_1 g435540(.A1 (n_5939), .A2 (n_13435), .B1
-       (n_5938), .B2 (n_13436), .Y (n_6573));
-  sky130_fd_sc_hd__a22o_1 g435541(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [17]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [18]), .X (n_6572));
-  sky130_fd_sc_hd__a22oi_1 g435542(.A1 (n_5939), .A2 (n_13437), .B1
-       (n_5938), .B2 (n_13438), .Y (n_6571));
-  sky130_fd_sc_hd__a22o_1 g435543(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [19]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [20]), .X (n_6570));
-  sky130_fd_sc_hd__a22oi_1 g435544(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [21]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [22]), .Y (n_6569));
-  sky130_fd_sc_hd__a22oi_1 g435545(.A1 (n_5939), .A2 (n_13441), .B1
-       (n_5938), .B2 (n_13442), .Y (n_6568));
-  sky130_fd_sc_hd__a22o_1 g435546(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [23]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [24]), .X (n_6567));
-  sky130_fd_sc_hd__a22oi_1 g435547(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [25]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [26]), .Y (n_6566));
-  sky130_fd_sc_hd__a221o_1 g435548(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [30]), .B1 (n_1413), .B2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]), .C1
-       (n_15931), .X (n_6565));
-  sky130_fd_sc_hd__a22oi_1 g435549(.A1 (n_5939), .A2 (n_13445), .B1
-       (n_5938), .B2 (n_13446), .Y (n_6564));
-  sky130_fd_sc_hd__a22o_1 g435550(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [27]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [28]), .X (n_6563));
-  sky130_fd_sc_hd__a22oi_1 g435551(.A1 (n_5939), .A2 (n_13447), .B1
-       (n_5938), .B2 (n_13448), .Y (n_6562));
-  sky130_fd_sc_hd__a22o_1 g435552(.A1 (n_5937), .A2
-       (\u_soc_lsu_to_xbar[a_address] [29]), .B1 (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [30]), .X (n_6561));
-  sky130_fd_sc_hd__a22oi_1 g435553(.A1 (n_5939), .A2 (n_13448), .B1
-       (n_1845), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [31]), .Y (n_6560));
-  sky130_fd_sc_hd__a22oi_1 g435554(.A1 (n_5992), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [32]), .B1 (n_5412), .B2
-       (n_1563), .Y (n_6559));
-  sky130_fd_sc_hd__a22o_1 g435555(.A1 (n_5851), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [29]), .X (n_6558));
-  sky130_fd_sc_hd__a22o_1 g435556(.A1 (n_5835), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [13]), .X (n_6557));
-  sky130_fd_sc_hd__a22o_1 g435557(.A1 (n_5855), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [31]), .X (n_6556));
-  sky130_fd_sc_hd__a22o_1 g435558(.A1 (n_5839), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [15]), .X (n_6555));
-  sky130_fd_sc_hd__a22o_1 g435559(.A1 (n_5837), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [14]), .X (n_6554));
-  sky130_fd_sc_hd__a22o_1 g435560(.A1 (n_5833), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [12]), .X (n_6553));
-  sky130_fd_sc_hd__a22o_1 g435561(.A1 (n_5831), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [11]), .X (n_6552));
-  sky130_fd_sc_hd__a22o_1 g435562(.A1 (n_5829), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [10]), .X (n_6551));
-  sky130_fd_sc_hd__a22o_1 g435563(.A1 (n_5825), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [8]), .X (n_6550));
-  sky130_fd_sc_hd__a22o_1 g435564(.A1 (n_5827), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [9]), .X (n_6549));
-  sky130_fd_sc_hd__a22o_1 g435565(.A1 (n_5843), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [25]), .X (n_6548));
-  sky130_fd_sc_hd__a22o_1 g435566(.A1 (n_5853), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [30]), .X (n_6547));
-  sky130_fd_sc_hd__a22o_1 g435567(.A1 (n_5855), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [31]), .X (n_6546));
-  sky130_fd_sc_hd__nor2_1 g435619(.A (n_1248), .B (n_5671), .Y
-       (n_6739));
-  sky130_fd_sc_hd__nor2b_1 g435638(.A (n_5696), .B_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .Y (n_6738));
-  sky130_fd_sc_hd__a221o_1 g435640(.A1 (n_5666), .A2
-       (u_soc_u_dccm_rdata3[4]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[4]), .C1 (n_6222), .X (n_6737));
-  sky130_fd_sc_hd__a221o_1 g435641(.A1 (n_5666), .A2
-       (u_soc_u_dccm_rdata3[3]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[3]), .C1 (n_6223), .X (n_6736));
-  sky130_fd_sc_hd__a221o_1 g435642(.A1 (n_5666), .A2
-       (u_soc_u_dccm_rdata3[2]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[2]), .C1 (n_6224), .X (n_6735));
-  sky130_fd_sc_hd__a221o_1 g435643(.A1 (n_5666), .A2
-       (u_soc_u_dccm_rdata3[1]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[1]), .C1 (n_6225), .X (n_6734));
-  sky130_fd_sc_hd__a221oi_1 g435644(.A1 (n_5667), .A2
-       (u_soc_u_dccm_rdata2[0]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[0]), .C1 (n_6226), .Y (n_6733));
-  sky130_fd_sc_hd__a221oi_1 g435645(.A1 (n_5688), .A2
-       (u_soc_u_dccm_rdata2[13]), .B1 (n_5682), .B2
-       (u_soc_u_dccm_rdata4[13]), .C1 (n_6215), .Y (n_6731));
-  sky130_fd_sc_hd__a221oi_1 g435646(.A1 (n_5688), .A2
-       (u_soc_u_dccm_rdata2[15]), .B1 (n_5682), .B2
-       (u_soc_u_dccm_rdata4[15]), .C1 (n_6214), .Y (n_6729));
-  sky130_fd_sc_hd__a221o_1 g435647(.A1 (n_5666), .A2
-       (u_soc_u_dccm_rdata3[5]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[5]), .C1 (n_6221), .X (n_6727));
-  sky130_fd_sc_hd__a221oi_1 g435648(.A1 (n_5688), .A2
-       (u_soc_u_dccm_rdata2[11]), .B1 (n_5682), .B2
-       (u_soc_u_dccm_rdata4[11]), .C1 (n_6216), .Y (n_6726));
-  sky130_fd_sc_hd__a221oi_1 g435649(.A1 (n_5667), .A2
-       (u_soc_u_dccm_rdata2[7]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[7]), .C1 (n_6219), .Y (n_6724));
-  sky130_fd_sc_hd__a221oi_1 g435650(.A1 (n_5667), .A2
-       (u_soc_u_dccm_rdata2[6]), .B1 (n_5665), .B2
-       (u_soc_u_dccm_rdata4[6]), .C1 (n_6220), .Y (n_6722));
-  sky130_fd_sc_hd__a221oi_1 g435652(.A1 (n_5688), .A2
-       (u_soc_u_dccm_rdata2[10]), .B1 (n_5682), .B2
-       (u_soc_u_dccm_rdata4[10]), .C1 (n_6217), .Y (n_6720));
-  sky130_fd_sc_hd__a221oi_1 g435653(.A1 (n_5688), .A2
-       (u_soc_u_dccm_rdata2[8]), .B1 (n_5682), .B2
-       (u_soc_u_dccm_rdata4[8]), .C1 (n_6218), .Y (n_6718));
-  sky130_fd_sc_hd__nor2_1 g435655(.A (n_1446), .B (n_5671), .Y
-       (n_6715));
-  sky130_fd_sc_hd__nor2_1 g435658(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6504), .Y
-       (n_6714));
-  sky130_fd_sc_hd__nor2b_1 g435659(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B_N (n_6504), .Y
-       (n_6713));
-  sky130_fd_sc_hd__nor2_1 g435660(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6506), .Y
-       (n_6712));
-  sky130_fd_sc_hd__nor2_1 g435661(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6507), .Y
-       (n_6711));
-  sky130_fd_sc_hd__nor2_1 g435662(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6508), .Y
-       (n_6710));
-  sky130_fd_sc_hd__a21bo_2 g435663(.A1 (n_5769), .A2 (n_1025), .B1_N
-       (u_soc_u_top_u_core_id_in_ready), .X (n_6709));
-  sky130_fd_sc_hd__inv_1 g435665(.A (n_6486), .Y (n_6544));
-  sky130_fd_sc_hd__inv_1 g435666(.A (n_6355), .Y (n_6543));
-  sky130_fd_sc_hd__inv_1 g435667(.A (n_6353), .Y (n_6542));
-  sky130_fd_sc_hd__inv_1 g435668(.A (n_6352), .Y (n_6541));
-  sky130_fd_sc_hd__inv_1 g435669(.A (n_6351), .Y (n_6540));
-  sky130_fd_sc_hd__inv_1 g435670(.A (n_6350), .Y (n_6539));
-  sky130_fd_sc_hd__inv_1 g435671(.A (n_6349), .Y (n_6538));
-  sky130_fd_sc_hd__inv_1 g435672(.A (n_6348), .Y (n_6537));
-  sky130_fd_sc_hd__inv_1 g435673(.A (n_6347), .Y (n_6536));
-  sky130_fd_sc_hd__inv_1 g435674(.A (n_6345), .Y (n_6535));
-  sky130_fd_sc_hd__inv_1 g435675(.A (n_6344), .Y (n_6534));
-  sky130_fd_sc_hd__inv_1 g435676(.A (n_6343), .Y (n_6533));
-  sky130_fd_sc_hd__inv_1 g435677(.A (n_6342), .Y (n_6532));
-  sky130_fd_sc_hd__inv_1 g435678(.A (n_6341), .Y (n_6531));
-  sky130_fd_sc_hd__inv_1 g435679(.A (n_6340), .Y (n_6530));
-  sky130_fd_sc_hd__inv_1 g435680(.A (n_6339), .Y (n_6529));
-  sky130_fd_sc_hd__inv_1 g435681(.A (n_6338), .Y (n_6528));
-  sky130_fd_sc_hd__inv_1 g435682(.A (n_6337), .Y (n_6527));
-  sky130_fd_sc_hd__inv_1 g435683(.A (n_6336), .Y (n_6526));
-  sky130_fd_sc_hd__inv_1 g435684(.A (n_6335), .Y (n_6525));
-  sky130_fd_sc_hd__inv_1 g435685(.A (n_6334), .Y (n_6524));
-  sky130_fd_sc_hd__inv_1 g435686(.A (n_6333), .Y (n_6523));
-  sky130_fd_sc_hd__inv_1 g435687(.A (n_6332), .Y (n_6522));
-  sky130_fd_sc_hd__inv_1 g435688(.A (n_6331), .Y (n_6521));
-  sky130_fd_sc_hd__inv_1 g435689(.A (n_6330), .Y (n_6520));
-  sky130_fd_sc_hd__inv_1 g435690(.A (n_6329), .Y (n_6519));
-  sky130_fd_sc_hd__inv_1 g435691(.A (n_6328), .Y (n_6518));
-  sky130_fd_sc_hd__inv_1 g435692(.A (n_6327), .Y (n_6517));
-  sky130_fd_sc_hd__inv_1 g435693(.A (n_6325), .Y (n_6516));
-  sky130_fd_sc_hd__inv_1 g435694(.A (n_6324), .Y (n_6515));
-  sky130_fd_sc_hd__inv_1 g435695(.A (n_6323), .Y (n_6514));
-  sky130_fd_sc_hd__inv_1 g435696(.A (n_6322), .Y (n_6513));
-  sky130_fd_sc_hd__inv_1 g435697(.A (n_6321), .Y (n_6512));
-  sky130_fd_sc_hd__inv_1 g435698(.A (n_6320), .Y (n_6511));
-  sky130_fd_sc_hd__inv_1 g435699(.A (n_6319), .Y (n_6510));
-  sky130_fd_sc_hd__inv_1 g435700(.A (n_6318), .Y (n_6509));
-  sky130_fd_sc_hd__inv_1 g435701(.A (n_6502), .Y (n_6501));
-  sky130_fd_sc_hd__inv_2 g435702(.A (n_6495), .Y (n_6494));
-  sky130_fd_sc_hd__inv_1 g435703(.A (n_6490), .Y (n_6489));
-  sky130_fd_sc_hd__a222oi_1 g435704(.A1 (n_13429), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [12]), .C1
-       (\u_soc_xbar_to_dccm[a_address] [12]), .C2 (n_5374), .Y
-       (n_6486));
-  sky130_fd_sc_hd__a22o_1 g435705(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]), .X
-       (n_6485));
-  sky130_fd_sc_hd__a22o_1 g435706(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]), .X
-       (n_6484));
-  sky130_fd_sc_hd__a22o_1 g435707(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]), .X
-       (n_6483));
-  sky130_fd_sc_hd__a22o_1 g435708(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]), .X
-       (n_6482));
-  sky130_fd_sc_hd__a22o_1 g435709(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]), .X
-       (n_6481));
-  sky130_fd_sc_hd__a22o_1 g435710(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]), .X
-       (n_6480));
-  sky130_fd_sc_hd__a22o_1 g435711(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]), .X
-       (n_6479));
-  sky130_fd_sc_hd__a22o_1 g435712(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]), .X
-       (n_6478));
-  sky130_fd_sc_hd__a22o_1 g435713(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]), .X
-       (n_6477));
-  sky130_fd_sc_hd__a22o_1 g435714(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]), .X
-       (n_6476));
-  sky130_fd_sc_hd__a22o_1 g435715(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]), .X
-       (n_6475));
-  sky130_fd_sc_hd__a22o_1 g435716(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]), .X
-       (n_6474));
-  sky130_fd_sc_hd__a22o_1 g435717(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]), .X
-       (n_6473));
-  sky130_fd_sc_hd__a22o_1 g435718(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]), .X
-       (n_6472));
-  sky130_fd_sc_hd__a22o_1 g435719(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]), .X
-       (n_6471));
-  sky130_fd_sc_hd__a22o_1 g435720(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]), .X
-       (n_6470));
-  sky130_fd_sc_hd__a22o_1 g435721(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]), .X
-       (n_6469));
-  sky130_fd_sc_hd__a22o_1 g435722(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]), .X
-       (n_6468));
-  sky130_fd_sc_hd__a22o_1 g435723(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]), .X
-       (n_6467));
-  sky130_fd_sc_hd__a22o_1 g435724(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]), .X
-       (n_6466));
-  sky130_fd_sc_hd__a22o_1 g435725(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]), .X
-       (n_6465));
-  sky130_fd_sc_hd__a22o_1 g435726(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]), .X
-       (n_6464));
-  sky130_fd_sc_hd__a22o_1 g435727(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]), .X
-       (n_6463));
-  sky130_fd_sc_hd__a22o_1 g435728(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]), .X
-       (n_6462));
-  sky130_fd_sc_hd__a22o_1 g435729(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]), .X
-       (n_6461));
-  sky130_fd_sc_hd__a22o_1 g435730(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]), .X
-       (n_6460));
-  sky130_fd_sc_hd__a22o_1 g435731(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]), .X
-       (n_6459));
-  sky130_fd_sc_hd__a22o_1 g435732(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]), .X
-       (n_6458));
-  sky130_fd_sc_hd__a22o_1 g435733(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]), .X
-       (n_6457));
-  sky130_fd_sc_hd__a22o_1 g435734(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]), .X
-       (n_6456));
-  sky130_fd_sc_hd__a22o_1 g435735(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]), .X
-       (n_6455));
-  sky130_fd_sc_hd__a22o_1 g435736(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]), .X
-       (n_6454));
-  sky130_fd_sc_hd__a22o_1 g435737(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]), .X
-       (n_6453));
-  sky130_fd_sc_hd__a22o_1 g435738(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]), .X
-       (n_6452));
-  sky130_fd_sc_hd__a22o_1 g435739(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]), .X
-       (n_6451));
-  sky130_fd_sc_hd__a22o_1 g435740(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]), .X
-       (n_6450));
-  sky130_fd_sc_hd__a22o_1 g435741(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]), .X
-       (n_6449));
-  sky130_fd_sc_hd__a22o_1 g435742(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]), .X
-       (n_6448));
-  sky130_fd_sc_hd__a22o_1 g435743(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]), .X
-       (n_6447));
-  sky130_fd_sc_hd__a22o_1 g435744(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]), .X
-       (n_6446));
-  sky130_fd_sc_hd__a22o_1 g435745(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]), .X
-       (n_6445));
-  sky130_fd_sc_hd__a22o_1 g435746(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]), .X
-       (n_6444));
-  sky130_fd_sc_hd__a22o_1 g435747(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]), .X
-       (n_6443));
-  sky130_fd_sc_hd__a22o_1 g435748(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]), .X
-       (n_6442));
-  sky130_fd_sc_hd__a22o_1 g435749(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]), .X
-       (n_6441));
-  sky130_fd_sc_hd__a22o_1 g435750(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]), .X
-       (n_6440));
-  sky130_fd_sc_hd__a22o_1 g435751(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]), .X
-       (n_6439));
-  sky130_fd_sc_hd__a22o_1 g435752(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]), .X
-       (n_6438));
-  sky130_fd_sc_hd__a22o_1 g435753(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]), .X
-       (n_6437));
-  sky130_fd_sc_hd__a22o_1 g435754(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]), .X
-       (n_6436));
-  sky130_fd_sc_hd__a22o_1 g435755(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]), .X
-       (n_6435));
-  sky130_fd_sc_hd__a22o_1 g435756(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]), .X
-       (n_6434));
-  sky130_fd_sc_hd__a22o_1 g435757(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]), .X
-       (n_6433));
-  sky130_fd_sc_hd__a22o_1 g435758(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]), .X
-       (n_6432));
-  sky130_fd_sc_hd__a22o_1 g435759(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]), .X
-       (n_6431));
-  sky130_fd_sc_hd__a22o_1 g435760(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]), .X
-       (n_6430));
-  sky130_fd_sc_hd__a22o_1 g435761(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]), .X
-       (n_6429));
-  sky130_fd_sc_hd__a22o_1 g435762(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]), .X
-       (n_6428));
-  sky130_fd_sc_hd__a22o_1 g435763(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]), .X
-       (n_6427));
-  sky130_fd_sc_hd__a22o_1 g435764(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]), .X
-       (n_6426));
-  sky130_fd_sc_hd__a22o_1 g435765(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]), .X
-       (n_6425));
-  sky130_fd_sc_hd__a22o_1 g435766(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]), .X
-       (n_6424));
-  sky130_fd_sc_hd__a22o_1 g435767(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]), .X
-       (n_6423));
-  sky130_fd_sc_hd__a22o_1 g435768(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]), .X
-       (n_6422));
-  sky130_fd_sc_hd__a22o_1 g435769(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]), .X
-       (n_6421));
-  sky130_fd_sc_hd__nand2_1 g435770(.A (n_5876), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [31]), .Y (n_6420));
-  sky130_fd_sc_hd__nand2_1 g435771(.A (n_5992), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .Y (n_6419));
-  sky130_fd_sc_hd__nand2_1 g435772(.A (n_5948), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [28]), .Y (n_6418));
-  sky130_fd_sc_hd__nand2_1 g435773(.A (n_5982), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [7]), .Y (n_6417));
-  sky130_fd_sc_hd__nand2_1 g435774(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_6416));
-  sky130_fd_sc_hd__nand2_1 g435775(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [7]), .Y (n_6415));
-  sky130_fd_sc_hd__nand2_1 g435776(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [8]), .Y (n_6414));
-  sky130_fd_sc_hd__nand2_1 g435777(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [9]), .Y (n_6413));
-  sky130_fd_sc_hd__nand2_1 g435778(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [11]), .Y (n_6412));
-  sky130_fd_sc_hd__nand2_1 g435779(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [12]), .Y (n_6411));
-  sky130_fd_sc_hd__nand2_1 g435780(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [14]), .Y (n_6410));
-  sky130_fd_sc_hd__nand2_1 g435781(.A (n_16007), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [16]), .Y (n_6409));
-  sky130_fd_sc_hd__nand2_1 g435782(.A (n_5959), .B
-       (u_soc_u_dccm_rdata2[24]), .Y (n_6408));
-  sky130_fd_sc_hd__nand2_1 g435783(.A (n_5972), .B
-       (u_soc_u_dccm_rdata1[25]), .Y (n_6407));
-  sky130_fd_sc_hd__nand2_1 g435784(.A (n_5959), .B
-       (u_soc_u_dccm_rdata2[26]), .Y (n_6406));
-  sky130_fd_sc_hd__nand2_1 g435785(.A (n_5959), .B
-       (u_soc_u_dccm_rdata2[28]), .Y (n_6405));
-  sky130_fd_sc_hd__nand2_1 g435786(.A (n_5959), .B
-       (u_soc_u_dccm_rdata2[29]), .Y (n_6404));
-  sky130_fd_sc_hd__nand2_1 g435787(.A (n_5972), .B
-       (u_soc_u_dccm_rdata1[30]), .Y (n_6403));
-  sky130_fd_sc_hd__nand2_1 g435788(.A (n_5972), .B
-       (u_soc_u_dccm_rdata1[31]), .Y (n_6402));
-  sky130_fd_sc_hd__a22o_1 g435790(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]), .X
-       (n_6400));
-  sky130_fd_sc_hd__nand2_1 g435791(.A (n_5087), .B (n_5975), .Y
-       (n_6399));
-  sky130_fd_sc_hd__a22o_1 g435792(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]), .X
-       (n_6398));
-  sky130_fd_sc_hd__a22o_1 g435793(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]), .X
-       (n_6397));
-  sky130_fd_sc_hd__a22o_1 g435794(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]), .X
-       (n_6396));
-  sky130_fd_sc_hd__nor2_1 g435795(.A (n_5542), .B (n_1751), .Y
-       (n_6395));
-  sky130_fd_sc_hd__a22o_1 g435796(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]), .X
-       (n_6394));
-  sky130_fd_sc_hd__nor2_1 g435797(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_5777), .Y
-       (n_6393));
-  sky130_fd_sc_hd__a22o_1 g435798(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]), .X
-       (n_6392));
-  sky130_fd_sc_hd__a22o_1 g435799(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]), .X
-       (n_6391));
-  sky130_fd_sc_hd__a22o_1 g435800(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]), .X
-       (n_6390));
-  sky130_fd_sc_hd__nand2_1 g435801(.A (n_6001), .B (n_2413), .Y
-       (n_6389));
-  sky130_fd_sc_hd__a22o_1 g435802(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]), .X
-       (n_6388));
-  sky130_fd_sc_hd__a22o_1 g435803(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]), .X
-       (n_6387));
-  sky130_fd_sc_hd__a22o_1 g435804(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]), .X
-       (n_6386));
-  sky130_fd_sc_hd__a22o_1 g435805(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]), .X
-       (n_6385));
-  sky130_fd_sc_hd__a22o_1 g435806(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]), .X
-       (n_6384));
-  sky130_fd_sc_hd__a22o_1 g435807(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]), .X
-       (n_6383));
-  sky130_fd_sc_hd__a22o_1 g435808(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]), .X
-       (n_6382));
-  sky130_fd_sc_hd__nand2_1 g435809(.A (n_5882), .B (n_3066), .Y
-       (n_6381));
-  sky130_fd_sc_hd__nand2_1 g435810(.A (n_5889), .B (n_1181), .Y
-       (n_6380));
-  sky130_fd_sc_hd__nand4_1 g435811(.A (n_3071), .B (n_5092), .C
-       (n_2136), .D (n_2627), .Y (n_6379));
-  sky130_fd_sc_hd__nand4_1 g435812(.A (n_3048), .B (n_5119), .C
-       (n_2136), .D (n_2658), .Y (n_6378));
-  sky130_fd_sc_hd__nand4_1 g435813(.A (n_3073), .B (n_5115), .C
-       (n_2136), .D (n_2651), .Y (n_6377));
-  sky130_fd_sc_hd__nand4_1 g435814(.A (n_5679), .B (n_1533), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [21]), .D
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Y (n_6376));
-  sky130_fd_sc_hd__nand4_1 g435815(.A (n_5679), .B (n_1439), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [19]), .D
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Y (n_6375));
-  sky130_fd_sc_hd__nand4_1 g435816(.A (n_3051), .B (n_5114), .C
-       (n_2136), .D (n_2649), .Y (n_6374));
-  sky130_fd_sc_hd__nand4_1 g435817(.A (n_3053), .B (n_5113), .C
-       (n_2136), .D (n_2647), .Y (n_6373));
-  sky130_fd_sc_hd__nand4_1 g435818(.A (n_3056), .B (n_5112), .C
-       (n_2136), .D (n_2644), .Y (n_6372));
-  sky130_fd_sc_hd__nand4_1 g435819(.A (n_3058), .B (n_5111), .C
-       (n_2136), .D (n_2642), .Y (n_6371));
-  sky130_fd_sc_hd__nand4_1 g435820(.A (n_3060), .B (n_5109), .C
-       (n_2136), .D (n_2638), .Y (n_6370));
-  sky130_fd_sc_hd__nand4_1 g435821(.A (n_5170), .B (n_5107), .C
-       (n_1794), .D (n_2136), .Y (n_6369));
-  sky130_fd_sc_hd__a21oi_1 g435822(.A1 (n_3141), .A2 (n_1467), .B1
-       (n_5988), .Y (n_6368));
-  sky130_fd_sc_hd__a21oi_1 g435823(.A1 (n_5697), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .B1 (n_6005), .Y (n_6367));
-  sky130_fd_sc_hd__nand4_1 g435824(.A (n_5096), .B (n_5106), .C
-       (n_2136), .D (n_1973), .Y (n_6366));
-  sky130_fd_sc_hd__nand4_1 g435825(.A (n_3050), .B (n_5104), .C
-       (n_2136), .D (n_2624), .Y (n_6365));
-  sky130_fd_sc_hd__a21o_1 g435826(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[7]), .B1 (n_5778), .X (n_6364));
-  sky130_fd_sc_hd__o21ai_1 g435827(.A1 (n_437), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_5932), .Y (n_6363));
-  sky130_fd_sc_hd__a22o_1 g435828(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]), .X
-       (n_6362));
-  sky130_fd_sc_hd__o211ai_1 g435829(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [1]), .A2 (n_5393), .B1 (n_3088), .C1 (n_5615), .Y (n_6361));
-  sky130_fd_sc_hd__nand4_1 g435830(.A (n_5673), .B (n_1533), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [13]), .D (n_11), .Y
-       (n_6360));
-  sky130_fd_sc_hd__nor4_1 g435831(.A (n_13452), .B (n_5143), .C
-       (n_2419), .D (n_2984), .Y (n_6359));
-  sky130_fd_sc_hd__a22o_1 g435832(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]), .X
-       (n_6358));
-  sky130_fd_sc_hd__a221oi_1 g435833(.A1 (n_2244), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]), .B1
-       (n_1884), .B2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .C1
-       (n_5788), .Y (n_6357));
-  sky130_fd_sc_hd__and3_1 g435834(.A (n_5948), .B (n_1525), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [8]), .X (n_6356));
-  sky130_fd_sc_hd__a222oi_1 g435835(.A1 (n_16012), .A2 (n_5374), .B1
-       (n_5376), .B2 (n_13417), .C1 (n_3076), .C2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [0]), .Y (n_6355));
-  sky130_fd_sc_hd__o21ai_1 g435836(.A1 (n_669), .A2 (n_5375), .B1
-       (n_5774), .Y (n_6354));
-  sky130_fd_sc_hd__a222oi_1 g435837(.A1 (n_13421), .A2 (n_5376), .B1
-       (\u_soc_xbar_to_dccm[a_address] [4]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [4]), .Y
-       (n_6353));
-  sky130_fd_sc_hd__a222oi_1 g435838(.A1 (n_13423), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [6]), .C1
-       (\u_soc_xbar_to_dccm[a_address] [6]), .C2 (n_5374), .Y (n_6352));
-  sky130_fd_sc_hd__a222oi_1 g435839(.A1 (n_13424), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [7]), .C1
-       (\u_soc_xbar_to_dccm[a_address] [7]), .C2 (n_5374), .Y (n_6351));
-  sky130_fd_sc_hd__a222oi_1 g435840(.A1 (n_13425), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [8]), .C1
-       (\u_soc_xbar_to_dccm[a_address] [8]), .C2 (n_5374), .Y (n_6350));
-  sky130_fd_sc_hd__a222oi_1 g435841(.A1 (n_13426), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [9]), .C1
-       (\u_soc_xbar_to_dccm[a_address] [9]), .C2 (n_5374), .Y (n_6349));
-  sky130_fd_sc_hd__a222oi_1 g435842(.A1 (n_13427), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [10]), .C1
-       (\u_soc_xbar_to_dccm[a_address] [10]), .C2 (n_5374), .Y
-       (n_6348));
-  sky130_fd_sc_hd__a222oi_1 g435843(.A1 (n_13428), .A2 (n_5376), .B1
-       (\u_soc_xbar_to_dccm[a_address] [11]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [11]), .Y
-       (n_6347));
-  sky130_fd_sc_hd__a221oi_1 g435844(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[5]), .B1 (n_1214),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]), .C1
-       (n_5779), .Y (n_6346));
-  sky130_fd_sc_hd__a222oi_1 g435845(.A1 (n_13430), .A2 (n_5376), .B1
-       (\u_soc_xbar_to_dccm[a_address] [13]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [13]), .Y
-       (n_6345));
-  sky130_fd_sc_hd__a222oi_1 g435846(.A1 (n_13431), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [14]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [14]), .C2 (n_5374), .Y (n_6344));
-  sky130_fd_sc_hd__a222oi_1 g435847(.A1 (n_13432), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [15]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [15]), .C2 (n_5374), .Y (n_6343));
-  sky130_fd_sc_hd__a222oi_1 g435848(.A1 (n_13433), .A2 (n_5376), .B1
-       (\u_soc_lsu_to_xbar[a_address] [16]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [16]), .Y
-       (n_6342));
-  sky130_fd_sc_hd__a222oi_1 g435849(.A1 (n_13434), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [17]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [17]), .C2 (n_5374), .Y (n_6341));
-  sky130_fd_sc_hd__a222oi_1 g435850(.A1 (n_13435), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [18]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [18]), .C2 (n_5374), .Y (n_6340));
-  sky130_fd_sc_hd__a222oi_1 g435851(.A1 (n_13436), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [19]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [19]), .C2 (n_5374), .Y (n_6339));
-  sky130_fd_sc_hd__a222oi_1 g435852(.A1 (n_13437), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [20]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [20]), .C2 (n_5374), .Y (n_6338));
-  sky130_fd_sc_hd__a222oi_1 g435853(.A1 (n_13438), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [21]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [21]), .C2 (n_5374), .Y (n_6337));
-  sky130_fd_sc_hd__a222oi_1 g435854(.A1 (n_13439), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [22]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [22]), .C2 (n_5374), .Y (n_6336));
-  sky130_fd_sc_hd__a222oi_1 g435855(.A1 (n_13440), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [23]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [23]), .C2 (n_5374), .Y (n_6335));
-  sky130_fd_sc_hd__a222oi_1 g435856(.A1 (n_13441), .A2 (n_5376), .B1
-       (\u_soc_lsu_to_xbar[a_address] [24]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [24]), .Y
-       (n_6334));
-  sky130_fd_sc_hd__a222oi_1 g435857(.A1 (n_13442), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [25]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [25]), .C2 (n_5374), .Y (n_6333));
-  sky130_fd_sc_hd__a222oi_1 g435858(.A1 (n_13443), .A2 (n_5376), .B1
-       (\u_soc_lsu_to_xbar[a_address] [26]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [26]), .Y
-       (n_6332));
-  sky130_fd_sc_hd__a222oi_1 g435859(.A1 (n_13444), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [27]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [27]), .C2 (n_5374), .Y (n_6331));
-  sky130_fd_sc_hd__a222oi_1 g435860(.A1 (n_13445), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [28]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [28]), .C2 (n_5374), .Y (n_6330));
-  sky130_fd_sc_hd__a222oi_1 g435861(.A1 (n_13446), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [29]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [29]), .C2 (n_5374), .Y (n_6329));
-  sky130_fd_sc_hd__a222oi_1 g435862(.A1 (n_13447), .A2 (n_5376), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [30]), .C1
-       (\u_soc_lsu_to_xbar[a_address] [30]), .C2 (n_5374), .Y (n_6328));
-  sky130_fd_sc_hd__a222oi_1 g435863(.A1 (n_13448), .A2 (n_5376), .B1
-       (\u_soc_lsu_to_xbar[a_address] [31]), .B2 (n_5374), .C1
-       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [31]), .Y
-       (n_6327));
-  sky130_fd_sc_hd__a221oi_1 g435864(.A1 (n_5411), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]), .B1 (u_soc_u_top_u_core_pc_set), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [1]), .C1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       [1]), .Y (n_6326));
-  sky130_fd_sc_hd__a222oi_1 g435865(.A1 (n_13531), .A2 (n_5389), .B1
-       (n_5390), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [2]), .C1 (n_3083), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [2]), .Y (n_6325));
-  sky130_fd_sc_hd__a222oi_1 g435866(.A1 (n_13348), .A2 (n_5389), .B1
-       (n_5390), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [3]), .C1 (n_3083), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [3]), .Y (n_6324));
-  sky130_fd_sc_hd__a222oi_1 g435867(.A1 (n_13532), .A2 (n_5389), .B1
-       (n_3083), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [4]), .C1 (n_5390), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [4]), .Y (n_6323));
-  sky130_fd_sc_hd__a222oi_1 g435868(.A1 (n_13349), .A2 (n_5389), .B1
-       (n_5390), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [5]), .C1 (n_3083), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [5]), .Y (n_6322));
-  sky130_fd_sc_hd__a222oi_1 g435869(.A1 (n_13533), .A2 (n_5389), .B1
-       (n_5390), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [6]), .C1 (n_3083), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [6]), .Y (n_6321));
-  sky130_fd_sc_hd__a222oi_1 g435870(.A1 (n_13347), .A2 (n_5389), .B1
-       (n_3083), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [7]), .C1 (n_5390), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [7]), .Y (n_6320));
-  sky130_fd_sc_hd__a222oi_1 g435871(.A1 (n_13527), .A2 (n_5389), .B1
-       (n_3083), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [8]), .C1 (n_5390), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [8]), .Y (n_6319));
-  sky130_fd_sc_hd__a222oi_1 g435872(.A1 (n_13528), .A2 (n_5389), .B1
-       (n_3083), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
-       [9]), .C1 (n_5390), .C2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [9]), .Y (n_6318));
-  sky130_fd_sc_hd__a222oi_1 g435873(.A1 (n_5458), .A2 (n_3078), .B1
-       (n_3142), .B2 (n_2414), .C1 (n_3077), .C2 (n_5450), .Y (n_6317));
-  sky130_fd_sc_hd__a222oi_1 g435874(.A1 (n_5460), .A2 (n_3078), .B1
-       (n_3077), .B2 (n_5452), .C1 (n_5421), .C2 (n_2414), .Y (n_6316));
-  sky130_fd_sc_hd__a222oi_1 g435875(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [18]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [17]), .C1 (n_5382), .C2 (n_5687),
-       .Y (n_6315));
-  sky130_fd_sc_hd__a222oi_1 g435876(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [19]), .A2 (n_2009), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [18]), .C1 (n_5382), .C2 (n_5686),
-       .Y (n_6314));
-  sky130_fd_sc_hd__a222oi_1 g435877(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [28]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [27]), .C1 (n_5382), .C2 (n_5684),
-       .Y (n_6313));
-  sky130_fd_sc_hd__o21ai_0 g435878(.A1
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .A2 (n_5709), .B1
-       (n_5989), .Y (n_6312));
-  sky130_fd_sc_hd__a222oi_1 g435879(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [24]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [23]), .C1 (n_5677), .C2 (n_2171),
-       .Y (n_6311));
-  sky130_fd_sc_hd__o21ai_1 g435880(.A1 (n_534), .A2 (n_5373), .B1
-       (n_5773), .Y (n_6310));
-  sky130_fd_sc_hd__o21ai_0 g435881(.A1 (n_5373), .A2 (n_555), .B1
-       (n_5771), .Y (n_6309));
-  sky130_fd_sc_hd__o21ai_1 g435882(.A1 (n_5373), .A2 (n_480), .B1
-       (n_5772), .Y (n_6308));
-  sky130_fd_sc_hd__o2bb2ai_1 g435883(.A1_N (n_32), .A2_N (n_3118), .B1
-       (n_3118), .B2 (n_5541), .Y (n_6307));
-  sky130_fd_sc_hd__a222oi_1 g435884(.A1 (n_5457), .A2 (n_3078), .B1
-       (n_3144), .B2 (n_2414), .C1 (n_3077), .C2 (n_5449), .Y (n_6306));
-  sky130_fd_sc_hd__a222oi_1 g435885(.A1 (n_2414), .A2 (n_5427), .B1
-       (n_3078), .B2 (n_5459), .C1 (n_3077), .C2 (n_5451), .Y (n_6305));
-  sky130_fd_sc_hd__a221o_1 g435886(.A1 (n_5647), .A2 (n_13417), .B1
-       (n_2982), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [0]), .C1 (n_5585), .X (n_6304));
-  sky130_fd_sc_hd__mux2i_1 g435887(.A0 (n_5569), .A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .S (n_3129), .Y (n_6303));
-  sky130_fd_sc_hd__a222oi_1 g435888(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .A2 (n_2282), .B1 (n_13376), .B2 (n_5422), .C1 (n_2283),
-       .C2 (n_1551), .Y (n_6302));
-  sky130_fd_sc_hd__a222oi_1 g435889(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [23]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [22]), .C1 (n_5677), .C2 (n_2170),
-       .Y (n_6301));
-  sky130_fd_sc_hd__a32oi_1 g435890(.A1 (n_1938), .A2
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .A3
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .B1 (n_5524), .B2
-       (n_152), .Y (n_6300));
-  sky130_fd_sc_hd__a221oi_1 g435891(.A1 (n_3078), .A2 (n_5461), .B1
-       (n_3077), .B2 (n_5453), .C1 (n_5896), .Y (n_6299));
-  sky130_fd_sc_hd__a222oi_1 g435892(.A1 (n_5462), .A2 (n_3078), .B1
-       (n_3077), .B2 (n_5454), .C1 (n_5705), .C2 (n_2414), .Y (n_6298));
-  sky130_fd_sc_hd__a222oi_1 g435893(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [17]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [16]), .C1 (n_5677), .C2 (n_2172),
-       .Y (n_6297));
-  sky130_fd_sc_hd__a222oi_1 g435894(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [10]), .A2 (n_2009), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [9]), .C1 (n_5694), .C2 (n_2175),
-       .Y (n_6296));
-  sky130_fd_sc_hd__a222oi_1 g435895(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [20]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [19]), .C1 (n_5677), .C2 (n_2165),
-       .Y (n_6295));
-  sky130_fd_sc_hd__a222oi_1 g435896(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [13]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [12]), .C1 (n_5694), .C2 (n_2174),
-       .Y (n_6294));
-  sky130_fd_sc_hd__a222oi_1 g435897(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [21]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [20]), .C1 (n_5677), .C2 (n_2164),
-       .Y (n_6293));
-  sky130_fd_sc_hd__a222oi_1 g435898(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [22]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [21]), .C1 (n_5677), .C2 (n_2166),
-       .Y (n_6292));
-  sky130_fd_sc_hd__a222oi_1 g435899(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [15]), .A2 (n_2008), .B1 (n_1411), .B2
-       (\u_soc_uart_to_xbar[d_data] [14]), .C1 (n_5694), .C2 (n_2173),
-       .Y (n_6291));
-  sky130_fd_sc_hd__nor2_1 g435909(.A (n_1042), .B (n_5970), .Y
-       (n_6508));
-  sky130_fd_sc_hd__nor2_1 g435910(.A (n_1046), .B (n_5970), .Y
-       (n_6507));
-  sky130_fd_sc_hd__nor2_1 g435915(.A (n_1248), .B (n_5970), .Y
-       (n_6506));
-  sky130_fd_sc_hd__nand2b_1 g435955(.A_N
-       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .B (n_5408),
-       .Y (n_6505));
-  sky130_fd_sc_hd__nand2_1 g435957(.A (n_5969), .B (n_1262), .Y
-       (n_6504));
-  sky130_fd_sc_hd__nand2_1 g435958(.A (n_5991), .B (n_479), .Y
-       (n_6503));
-  sky130_fd_sc_hd__nand2_1 g435964(.A (n_5947), .B (n_5955), .Y
-       (n_6502));
-  sky130_fd_sc_hd__nor2_1 g435968(.A (n_5983), .B (n_5957), .Y
-       (n_6500));
-  sky130_fd_sc_hd__nor2_1 g435969(.A (n_5983), .B (n_5669), .Y
-       (n_6499));
-  sky130_fd_sc_hd__nor3_1 g435970(.A (n_1924), .B (n_5701), .C
-       (n_1429), .Y (n_6498));
-  sky130_fd_sc_hd__nor2_1 g435971(.A (n_5983), .B (n_5670), .Y
-       (n_6497));
-  sky130_fd_sc_hd__nor2_1 g435972(.A (n_5983), .B (n_5668), .Y
-       (n_6496));
-  sky130_fd_sc_hd__nand2_1 g435977(.A (n_5935), .B (io_out[37]), .Y
-       (n_6495));
-  sky130_fd_sc_hd__o21bai_1 g435979(.A1 (n_1912), .A2 (n_1754), .B1_N
-       (n_5993), .Y (n_6493));
-  sky130_fd_sc_hd__o21bai_1 g435980(.A1 (n_1912), .A2 (n_1755), .B1_N
-       (n_5992), .Y (n_6492));
-  sky130_fd_sc_hd__nor2_1 g435981(.A (n_15933), .B (n_5970), .Y
-       (n_6491));
-  sky130_fd_sc_hd__nor2_1 g435982(.A (n_5358), .B (n_15918), .Y
-       (n_6490));
-  sky130_fd_sc_hd__nor2_1 g435983(.A (n_15929), .B (n_5970), .Y
-       (n_6488));
-  sky130_fd_sc_hd__nor2_1 g435984(.A (n_1436), .B (n_5970), .Y
-       (n_6487));
-  sky130_fd_sc_hd__a22o_1 g435985(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]), .X
-       (n_6290));
-  sky130_fd_sc_hd__a22o_1 g435986(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]), .X
-       (n_6289));
-  sky130_fd_sc_hd__a22o_1 g435987(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]), .X
-       (n_6288));
-  sky130_fd_sc_hd__a22o_1 g435988(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]), .X
-       (n_6287));
-  sky130_fd_sc_hd__nand4_1 g435989(.A (n_5099), .B (n_1800), .C
-       (n_2136), .D (n_1512), .Y (n_6286));
-  sky130_fd_sc_hd__a22o_1 g435990(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]), .X
-       (n_6285));
-  sky130_fd_sc_hd__a22o_1 g435991(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]), .X
-       (n_6284));
-  sky130_fd_sc_hd__a22o_1 g435992(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]), .X
-       (n_6283));
-  sky130_fd_sc_hd__a22o_1 g435993(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]), .X
-       (n_6282));
-  sky130_fd_sc_hd__a22o_1 g435994(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]), .X
-       (n_6281));
-  sky130_fd_sc_hd__a22o_1 g435995(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]), .X
-       (n_6280));
-  sky130_fd_sc_hd__a22o_1 g435996(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]), .X
-       (n_6279));
-  sky130_fd_sc_hd__a22o_1 g435997(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]), .X
-       (n_6278));
-  sky130_fd_sc_hd__a22o_1 g435998(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]), .X
-       (n_6277));
-  sky130_fd_sc_hd__a22o_1 g435999(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]), .X
-       (n_6276));
-  sky130_fd_sc_hd__a22o_1 g436000(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]), .X
-       (n_6275));
-  sky130_fd_sc_hd__a22o_1 g436001(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]), .X
-       (n_6274));
-  sky130_fd_sc_hd__a22o_1 g436002(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]), .X
-       (n_6273));
-  sky130_fd_sc_hd__a22o_1 g436003(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]), .X
-       (n_6272));
-  sky130_fd_sc_hd__a22o_1 g436004(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]), .X
-       (n_6271));
-  sky130_fd_sc_hd__a22o_1 g436005(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]), .X
-       (n_6270));
-  sky130_fd_sc_hd__a22o_1 g436006(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]), .X
-       (n_6269));
-  sky130_fd_sc_hd__a22o_1 g436007(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]), .X
-       (n_6268));
-  sky130_fd_sc_hd__a22o_1 g436008(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]), .X
-       (n_6267));
-  sky130_fd_sc_hd__a22o_1 g436009(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]), .X
-       (n_6266));
-  sky130_fd_sc_hd__a22o_1 g436010(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]), .X
-       (n_6265));
-  sky130_fd_sc_hd__a22o_1 g436011(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]), .X
-       (n_6264));
-  sky130_fd_sc_hd__a22o_1 g436012(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]), .X
-       (n_6263));
-  sky130_fd_sc_hd__a22o_1 g436013(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]), .X
-       (n_6262));
-  sky130_fd_sc_hd__nand4_1 g436014(.A (n_5116), .B (n_1796), .C
-       (n_2136), .D (n_1507), .Y (n_6261));
-  sky130_fd_sc_hd__a22o_1 g436015(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]), .X
-       (n_6260));
-  sky130_fd_sc_hd__a22o_1 g436016(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]), .X
-       (n_6259));
-  sky130_fd_sc_hd__a22o_1 g436017(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]), .X
-       (n_6258));
-  sky130_fd_sc_hd__a22oi_1 g436018(.A1 (n_3094), .A2 (n_1422), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .Y
-       (n_6257));
-  sky130_fd_sc_hd__a22oi_1 g436019(.A1 (n_3091), .A2 (n_1422), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .Y
-       (n_6256));
-  sky130_fd_sc_hd__a22oi_1 g436020(.A1 (n_3094), .A2 (n_1423), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .Y
-       (n_6255));
-  sky130_fd_sc_hd__a22oi_1 g436021(.A1 (n_3091), .A2 (n_1423), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .Y
-       (n_6254));
-  sky130_fd_sc_hd__a22oi_1 g436022(.A1 (n_3094), .A2 (n_1524), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .Y
-       (n_6253));
-  sky130_fd_sc_hd__a22oi_1 g436023(.A1 (n_3091), .A2 (n_1524), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .Y
-       (n_6252));
-  sky130_fd_sc_hd__a22oi_1 g436024(.A1 (n_3086), .A2 (n_1525), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .Y
-       (n_6251));
-  sky130_fd_sc_hd__a22oi_1 g436025(.A1 (n_3087), .A2 (n_1525), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .Y
-       (n_6250));
-  sky130_fd_sc_hd__a22oi_1 g436026(.A1 (n_3086), .A2 (n_1422), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .Y
-       (n_6249));
-  sky130_fd_sc_hd__a22o_1 g436027(.A1 (n_3087), .A2 (n_1422), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .X
-       (n_6248));
-  sky130_fd_sc_hd__a22oi_1 g436028(.A1 (n_3086), .A2 (n_1423), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .Y
-       (n_6247));
-  sky130_fd_sc_hd__a22oi_1 g436029(.A1 (n_3087), .A2 (n_1423), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .Y
-       (n_6246));
-  sky130_fd_sc_hd__a22oi_1 g436030(.A1 (n_3086), .A2 (n_1524), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .Y
-       (n_6245));
-  sky130_fd_sc_hd__a22oi_1 g436031(.A1 (n_3087), .A2 (n_1524), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .Y
-       (n_6244));
-  sky130_fd_sc_hd__a22oi_1 g436032(.A1 (n_3094), .A2 (n_1522), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .Y
-       (n_6243));
-  sky130_fd_sc_hd__a22oi_1 g436033(.A1 (n_3091), .A2 (n_1522), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .Y
-       (n_6242));
-  sky130_fd_sc_hd__a22oi_1 g436034(.A1 (n_3094), .A2 (n_1417), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .Y
-       (n_6241));
-  sky130_fd_sc_hd__a22oi_1 g436035(.A1 (n_3091), .A2 (n_1417), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .Y
-       (n_6240));
-  sky130_fd_sc_hd__a22oi_1 g436036(.A1 (n_3094), .A2 (n_1421), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .Y
-       (n_6239));
-  sky130_fd_sc_hd__a22oi_1 g436037(.A1 (n_3091), .A2 (n_1421), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .Y
-       (n_6238));
-  sky130_fd_sc_hd__a22oi_1 g436038(.A1 (n_3094), .A2 (n_1523), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .Y
-       (n_6237));
-  sky130_fd_sc_hd__a22oi_1 g436039(.A1 (n_3091), .A2 (n_1523), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .Y
-       (n_6236));
-  sky130_fd_sc_hd__a22oi_1 g436040(.A1 (n_3086), .A2 (n_1522), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .Y
-       (n_6235));
-  sky130_fd_sc_hd__a22oi_1 g436041(.A1 (n_3087), .A2 (n_1522), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .Y
-       (n_6234));
-  sky130_fd_sc_hd__a22oi_1 g436042(.A1 (n_3086), .A2 (n_1417), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .Y
-       (n_6233));
-  sky130_fd_sc_hd__a22oi_1 g436043(.A1 (n_3087), .A2 (n_1417), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .Y
-       (n_6232));
-  sky130_fd_sc_hd__a22oi_1 g436044(.A1 (n_3086), .A2 (n_1421), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .Y
-       (n_6231));
-  sky130_fd_sc_hd__a22oi_1 g436045(.A1 (n_3087), .A2 (n_1421), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .Y
-       (n_6230));
-  sky130_fd_sc_hd__a22oi_1 g436046(.A1 (n_3086), .A2 (n_1523), .B1
-       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .Y
-       (n_6229));
-  sky130_fd_sc_hd__a22oi_1 g436047(.A1 (n_3087), .A2 (n_1523), .B1
-       (n_5699), .B2 (n_1563), .Y (n_6228));
-  sky130_fd_sc_hd__a22oi_1 g436048(.A1 (n_5384), .A2 (n_5705), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .Y
-       (n_6227));
-  sky130_fd_sc_hd__a22o_1 g436049(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[0]), .B1 (n_5666), .B2
-       (u_soc_u_dccm_rdata3[0]), .X (n_6226));
-  sky130_fd_sc_hd__a22o_1 g436050(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[1]), .B1 (n_5667), .B2
-       (u_soc_u_dccm_rdata2[1]), .X (n_6225));
-  sky130_fd_sc_hd__a22o_1 g436051(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[2]), .B1 (n_5667), .B2
-       (u_soc_u_dccm_rdata2[2]), .X (n_6224));
-  sky130_fd_sc_hd__a22o_1 g436052(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[3]), .B1 (n_5667), .B2
-       (u_soc_u_dccm_rdata2[3]), .X (n_6223));
-  sky130_fd_sc_hd__a22o_1 g436053(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[4]), .B1 (n_5667), .B2
-       (u_soc_u_dccm_rdata2[4]), .X (n_6222));
-  sky130_fd_sc_hd__a22o_1 g436054(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[5]), .B1 (n_5667), .B2
-       (u_soc_u_dccm_rdata2[5]), .X (n_6221));
-  sky130_fd_sc_hd__a22o_1 g436055(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[6]), .B1 (n_5666), .B2
-       (u_soc_u_dccm_rdata3[6]), .X (n_6220));
-  sky130_fd_sc_hd__a22o_1 g436056(.A1 (n_5664), .A2
-       (u_soc_u_dccm_rdata1[7]), .B1 (n_5666), .B2
-       (u_soc_u_dccm_rdata3[7]), .X (n_6219));
-  sky130_fd_sc_hd__a22o_1 g436057(.A1 (n_5685), .A2
-       (u_soc_u_dccm_rdata1[8]), .B1 (n_5683), .B2
-       (u_soc_u_dccm_rdata3[8]), .X (n_6218));
-  sky130_fd_sc_hd__a22o_1 g436058(.A1 (n_5685), .A2
-       (u_soc_u_dccm_rdata1[10]), .B1 (n_5683), .B2
-       (u_soc_u_dccm_rdata3[10]), .X (n_6217));
-  sky130_fd_sc_hd__a22o_1 g436059(.A1 (n_5685), .A2
-       (u_soc_u_dccm_rdata1[11]), .B1 (n_5683), .B2
-       (u_soc_u_dccm_rdata3[11]), .X (n_6216));
-  sky130_fd_sc_hd__a22o_1 g436060(.A1 (n_5685), .A2
-       (u_soc_u_dccm_rdata1[13]), .B1 (n_5683), .B2
-       (u_soc_u_dccm_rdata3[13]), .X (n_6215));
-  sky130_fd_sc_hd__a22o_1 g436061(.A1 (n_5685), .A2
-       (u_soc_u_dccm_rdata1[15]), .B1 (n_5683), .B2
-       (u_soc_u_dccm_rdata3[15]), .X (n_6214));
-  sky130_fd_sc_hd__a22oi_1 g436062(.A1 (n_5647), .A2 (n_13419), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [1]), .Y (n_6213));
-  sky130_fd_sc_hd__a22oi_1 g436063(.A1 (n_5651), .A2 (n_13419), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [2]), .Y (n_6212));
-  sky130_fd_sc_hd__a22oi_1 g436064(.A1 (n_5647), .A2 (n_13421), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [3]), .Y (n_6211));
-  sky130_fd_sc_hd__a22oi_1 g436065(.A1 (n_5651), .A2 (n_13421), .B1
-       (n_5647), .B2 (n_13422), .Y (n_6210));
-  sky130_fd_sc_hd__a22oi_1 g436066(.A1 (n_2982), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [6]), .B1 (n_5651), .B2 (n_13422), .Y (n_6209));
-  sky130_fd_sc_hd__a22oi_1 g436067(.A1 (n_5651), .A2 (n_13423), .B1
-       (n_5647), .B2 (n_13424), .Y (n_6208));
-  sky130_fd_sc_hd__a22oi_1 g436068(.A1 (n_5647), .A2 (n_13425), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [7]), .Y (n_6207));
-  sky130_fd_sc_hd__a22oi_1 g436069(.A1 (n_2982), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [10]), .B1 (n_5651), .B2 (n_13426), .Y (n_6206));
-  sky130_fd_sc_hd__a22oi_1 g436070(.A1 (n_5651), .A2 (n_13427), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [10]), .Y (n_6205));
-  sky130_fd_sc_hd__a22oi_1 g436071(.A1 (n_2982), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [12]), .B1 (n_5651), .B2 (n_13428), .Y (n_6204));
-  sky130_fd_sc_hd__a22oi_1 g436072(.A1 (n_5651), .A2 (n_13429), .B1
-       (n_5647), .B2 (n_13430), .Y (n_6203));
-  sky130_fd_sc_hd__a22oi_1 g436073(.A1 (n_5647), .A2 (n_13431), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [13]), .Y (n_6202));
-  sky130_fd_sc_hd__a22oi_1 g436074(.A1 (n_5651), .A2 (n_13431), .B1
-       (n_5647), .B2 (n_13432), .Y (n_6201));
-  sky130_fd_sc_hd__a22oi_1 g436075(.A1 (n_5647), .A2 (n_13433), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [15]), .Y (n_6200));
-  sky130_fd_sc_hd__a22oi_1 g436076(.A1 (n_5651), .A2 (n_13433), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [16]), .Y (n_6199));
-  sky130_fd_sc_hd__a22oi_1 g436077(.A1 (n_2982), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [18]), .B1 (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [17]), .Y (n_6198));
-  sky130_fd_sc_hd__a22oi_1 g436078(.A1 (n_5651), .A2 (n_13435), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [18]), .Y (n_6197));
-  sky130_fd_sc_hd__a22oi_1 g436079(.A1 (n_5651), .A2 (n_13436), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [19]), .Y (n_6196));
-  sky130_fd_sc_hd__a22oi_1 g436080(.A1 (n_5651), .A2 (n_13437), .B1
-       (n_5647), .B2 (n_13438), .Y (n_6195));
-  sky130_fd_sc_hd__a22oi_1 g436081(.A1 (n_5651), .A2 (n_13438), .B1
-       (n_5647), .B2 (n_13439), .Y (n_6194));
-  sky130_fd_sc_hd__a22oi_1 g436082(.A1 (n_5651), .A2 (n_13439), .B1
-       (n_5647), .B2 (n_13440), .Y (n_6193));
-  sky130_fd_sc_hd__a22oi_1 g436083(.A1 (n_5647), .A2 (n_13441), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [23]), .Y (n_6192));
-  sky130_fd_sc_hd__a22oi_1 g436084(.A1 (n_5651), .A2 (n_13441), .B1
-       (n_5647), .B2 (n_13442), .Y (n_6191));
-  sky130_fd_sc_hd__a22oi_1 g436085(.A1 (n_5647), .A2 (n_13443), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [25]), .Y (n_6190));
-  sky130_fd_sc_hd__a22oi_1 g436086(.A1 (n_2982), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [27]), .B1 (n_5651), .B2 (n_13443), .Y (n_6189));
-  sky130_fd_sc_hd__a22oi_1 g436087(.A1 (n_5651), .A2 (n_13444), .B1
-       (n_5647), .B2 (n_13445), .Y (n_6188));
-  sky130_fd_sc_hd__a22oi_1 g436088(.A1 (n_5651), .A2 (n_13445), .B1
-       (n_5647), .B2 (n_13446), .Y (n_6187));
-  sky130_fd_sc_hd__a22oi_1 g436089(.A1 (n_5647), .A2 (n_13447), .B1
-       (n_5649), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [29]), .Y (n_6186));
-  sky130_fd_sc_hd__a22oi_1 g436090(.A1 (n_5651), .A2 (n_13447), .B1
-       (n_5647), .B2 (n_13448), .Y (n_6185));
-  sky130_fd_sc_hd__o2bb2ai_1 g436091(.A1_N
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [31]), .A2_N (n_5649), .B1 (n_1245), .B2 (n_5646), .Y (n_6184));
-  sky130_fd_sc_hd__o2bb2ai_1 g436092(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2_N (n_3137), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B2 (n_3137), .Y (n_6183));
-  sky130_fd_sc_hd__o2bb2ai_1 g436093(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]), .A2_N (n_2981), .B1 (n_1771), .B2 (n_5676), .Y (n_6182));
-  sky130_fd_sc_hd__o2bb2ai_1 g436094(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]), .A2_N (n_2981), .B1 (n_1767), .B2 (n_5676), .Y (n_6181));
-  sky130_fd_sc_hd__o2bb2ai_1 g436095(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]), .A2_N (n_2981), .B1 (n_1775), .B2 (n_5676), .Y (n_6180));
-  sky130_fd_sc_hd__o2bb2ai_1 g436096(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]), .A2_N (n_2981), .B1 (n_1773), .B2 (n_5676), .Y (n_6179));
-  sky130_fd_sc_hd__o2bb2ai_1 g436097(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [7]), .A2_N (n_2981), .B1 (n_1769), .B2 (n_5676), .Y (n_6178));
-  sky130_fd_sc_hd__o2bb2ai_1 g436098(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [8]), .A2_N (n_2981), .B1 (n_1766), .B2 (n_5676), .Y (n_6177));
-  sky130_fd_sc_hd__a22o_1 g436099(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]), .X
-       (n_6176));
-  sky130_fd_sc_hd__a22o_1 g436100(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]), .X
-       (n_6175));
-  sky130_fd_sc_hd__a22o_1 g436101(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]), .X
-       (n_6174));
-  sky130_fd_sc_hd__o2bb2ai_1 g436102(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [19]), .A2_N (n_2981), .B1 (n_1763), .B2 (n_5675), .Y (n_6173));
-  sky130_fd_sc_hd__o2bb2ai_1 g436103(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [20]), .A2_N (n_2981), .B1 (n_1772), .B2 (n_5675), .Y (n_6172));
-  sky130_fd_sc_hd__a22o_1 g436104(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]), .X
-       (n_6171));
-  sky130_fd_sc_hd__a22o_1 g436105(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]), .X
-       (n_6170));
-  sky130_fd_sc_hd__o2bb2ai_1 g436106(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [21]), .A2_N (n_2981), .B1 (n_1774), .B2 (n_5675), .Y (n_6169));
-  sky130_fd_sc_hd__o2bb2ai_1 g436107(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [22]), .A2_N (n_2981), .B1 (n_1770), .B2 (n_5675), .Y (n_6168));
-  sky130_fd_sc_hd__o2bb2ai_1 g436108(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [23]), .A2_N (n_2981), .B1 (n_1765), .B2 (n_5675), .Y (n_6167));
-  sky130_fd_sc_hd__o2bb2ai_1 g436109(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [24]), .A2_N (n_2981), .B1 (n_1764), .B2 (n_5675), .Y (n_6166));
-  sky130_fd_sc_hd__a22o_1 g436110(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]), .X
-       (n_6165));
-  sky130_fd_sc_hd__a22o_1 g436111(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]), .X
-       (n_6164));
-  sky130_fd_sc_hd__a22o_1 g436112(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]), .X
-       (n_6163));
-  sky130_fd_sc_hd__a22o_1 g436113(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]), .X
-       (n_6162));
-  sky130_fd_sc_hd__a22o_1 g436114(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]), .X
-       (n_6161));
-  sky130_fd_sc_hd__a22o_1 g436115(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]), .X
-       (n_6160));
-  sky130_fd_sc_hd__a22o_1 g436116(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]), .X
-       (n_6159));
-  sky130_fd_sc_hd__a22o_1 g436117(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]), .X
-       (n_6158));
-  sky130_fd_sc_hd__a22o_1 g436118(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]), .X
-       (n_6157));
-  sky130_fd_sc_hd__o2bb2ai_1 g436119(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]), .A2_N (n_2980), .B1 (n_1767), .B2 (n_5681), .Y (n_6156));
-  sky130_fd_sc_hd__o2bb2ai_1 g436120(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]), .A2_N (n_2980), .B1 (n_1771), .B2 (n_5681), .Y (n_6155));
-  sky130_fd_sc_hd__o2bb2ai_1 g436121(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]), .A2_N (n_2980), .B1 (n_1775), .B2 (n_5681), .Y (n_6154));
-  sky130_fd_sc_hd__o2bb2ai_1 g436122(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [7]), .A2_N (n_2980), .B1 (n_1769), .B2 (n_5681), .Y (n_6153));
-  sky130_fd_sc_hd__o2bb2ai_1 g436123(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]), .A2_N (n_2980), .B1 (n_1773), .B2 (n_5681), .Y (n_6152));
-  sky130_fd_sc_hd__o2bb2ai_1 g436124(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [8]), .A2_N (n_2980), .B1 (n_1766), .B2 (n_5681), .Y (n_6151));
-  sky130_fd_sc_hd__a22o_1 g436125(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]), .X
-       (n_6150));
-  sky130_fd_sc_hd__a22o_1 g436126(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]), .X
-       (n_6149));
-  sky130_fd_sc_hd__a22o_1 g436127(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]), .X
-       (n_6148));
-  sky130_fd_sc_hd__a22o_1 g436128(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]), .X
-       (n_6147));
-  sky130_fd_sc_hd__a22o_1 g436129(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]), .X
-       (n_6146));
-  sky130_fd_sc_hd__a22o_1 g436130(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]), .X
-       (n_6145));
-  sky130_fd_sc_hd__o2bb2ai_1 g436131(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [19]), .A2_N (n_2980), .B1 (n_1763), .B2 (n_5672), .Y (n_6144));
-  sky130_fd_sc_hd__o2bb2ai_1 g436132(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [20]), .A2_N (n_2980), .B1 (n_1772), .B2 (n_5672), .Y (n_6143));
-  sky130_fd_sc_hd__o2bb2ai_1 g436133(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [21]), .A2_N (n_2980), .B1 (n_1774), .B2 (n_5672), .Y (n_6142));
-  sky130_fd_sc_hd__o2bb2ai_1 g436134(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [22]), .A2_N (n_2980), .B1 (n_1770), .B2 (n_5672), .Y (n_6141));
-  sky130_fd_sc_hd__a22o_1 g436135(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]), .X
-       (n_6140));
-  sky130_fd_sc_hd__o2bb2ai_1 g436136(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [23]), .A2_N (n_2980), .B1 (n_1765), .B2 (n_5672), .Y (n_6139));
-  sky130_fd_sc_hd__a22o_1 g436137(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]), .X
-       (n_6138));
-  sky130_fd_sc_hd__a22o_1 g436138(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]), .X
-       (n_6137));
-  sky130_fd_sc_hd__o2bb2ai_1 g436139(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [24]), .A2_N (n_2980), .B1 (n_1764), .B2 (n_5672), .Y (n_6136));
-  sky130_fd_sc_hd__a22o_1 g436140(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]), .X
-       (n_6135));
-  sky130_fd_sc_hd__a22o_1 g436141(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]), .X
-       (n_6134));
-  sky130_fd_sc_hd__a22o_1 g436142(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]), .X
-       (n_6133));
-  sky130_fd_sc_hd__a22o_1 g436143(.A1 (n_5368), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5660), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]), .X
-       (n_6132));
-  sky130_fd_sc_hd__a22o_1 g436144(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]), .X
-       (n_6131));
-  sky130_fd_sc_hd__a22o_1 g436145(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]), .X
-       (n_6130));
-  sky130_fd_sc_hd__a22o_1 g436146(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]), .X
-       (n_6129));
-  sky130_fd_sc_hd__a22o_1 g436147(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]), .X
-       (n_6128));
-  sky130_fd_sc_hd__a22o_1 g436148(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]), .X
-       (n_6127));
-  sky130_fd_sc_hd__a221o_1 g436149(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [11]), .B1 (n_1513), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]), .C1
-       (n_5596), .X (n_6126));
-  sky130_fd_sc_hd__a22o_1 g436150(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]), .X
-       (n_6125));
-  sky130_fd_sc_hd__a22o_1 g436151(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]), .X
-       (n_6124));
-  sky130_fd_sc_hd__a22o_1 g436152(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]), .X
-       (n_6123));
-  sky130_fd_sc_hd__a22o_1 g436153(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]), .X
-       (n_6122));
-  sky130_fd_sc_hd__a22o_1 g436154(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]), .X
-       (n_6121));
-  sky130_fd_sc_hd__a22o_1 g436155(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]), .X
-       (n_6120));
-  sky130_fd_sc_hd__a22o_1 g436156(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]), .X
-       (n_6119));
-  sky130_fd_sc_hd__a22o_1 g436157(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]), .X
-       (n_6118));
-  sky130_fd_sc_hd__a22o_1 g436158(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]), .X
-       (n_6117));
-  sky130_fd_sc_hd__a22o_1 g436159(.A1 (n_5371), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5656), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]), .X
-       (n_6116));
-  sky130_fd_sc_hd__a22o_1 g436160(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]), .X
-       (n_6115));
-  sky130_fd_sc_hd__a22o_1 g436161(.A1 (n_5369), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5658), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]), .X
-       (n_6114));
-  sky130_fd_sc_hd__a22o_1 g436162(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]), .X
-       (n_6113));
-  sky130_fd_sc_hd__a22o_1 g436163(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]), .X
-       (n_6112));
-  sky130_fd_sc_hd__a22o_1 g436164(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]), .X
-       (n_6111));
-  sky130_fd_sc_hd__a22o_1 g436165(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]), .X
-       (n_6110));
-  sky130_fd_sc_hd__a22o_1 g436166(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]), .X
-       (n_6109));
-  sky130_fd_sc_hd__a22o_1 g436167(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]), .X
-       (n_6108));
-  sky130_fd_sc_hd__a22o_1 g436168(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]), .X
-       (n_6107));
-  sky130_fd_sc_hd__a22o_1 g436169(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]), .X
-       (n_6106));
-  sky130_fd_sc_hd__a22o_1 g436170(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]), .X
-       (n_6105));
-  sky130_fd_sc_hd__a22o_1 g436171(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]), .X
-       (n_6104));
-  sky130_fd_sc_hd__a22o_1 g436172(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]), .X
-       (n_6103));
-  sky130_fd_sc_hd__a22o_1 g436173(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]), .X
-       (n_6102));
-  sky130_fd_sc_hd__a22o_1 g436174(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]), .X
-       (n_6101));
-  sky130_fd_sc_hd__a22o_1 g436175(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]), .X
-       (n_6100));
-  sky130_fd_sc_hd__a22o_1 g436176(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]), .X
-       (n_6099));
-  sky130_fd_sc_hd__a22o_1 g436177(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]), .X
-       (n_6098));
-  sky130_fd_sc_hd__a22o_1 g436178(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]), .X
-       (n_6097));
-  sky130_fd_sc_hd__a22o_1 g436179(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]), .X
-       (n_6096));
-  sky130_fd_sc_hd__a22o_1 g436180(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]), .X
-       (n_6095));
-  sky130_fd_sc_hd__a22o_1 g436181(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]), .X
-       (n_6094));
-  sky130_fd_sc_hd__a22o_1 g436182(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]), .X
-       (n_6093));
-  sky130_fd_sc_hd__a22o_1 g436183(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]), .X
-       (n_6092));
-  sky130_fd_sc_hd__a22o_1 g436184(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]), .X
-       (n_6091));
-  sky130_fd_sc_hd__a22o_1 g436185(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]), .X
-       (n_6090));
-  sky130_fd_sc_hd__a22o_1 g436186(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]), .X
-       (n_6089));
-  sky130_fd_sc_hd__a22o_1 g436187(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]), .X
-       (n_6088));
-  sky130_fd_sc_hd__a22o_1 g436188(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]), .X
-       (n_6087));
-  sky130_fd_sc_hd__a22o_1 g436189(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]), .X
-       (n_6086));
-  sky130_fd_sc_hd__a22o_1 g436190(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]), .X
-       (n_6085));
-  sky130_fd_sc_hd__a22o_1 g436191(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]), .X
-       (n_6084));
-  sky130_fd_sc_hd__a22o_1 g436192(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]), .X
-       (n_6083));
-  sky130_fd_sc_hd__a22o_1 g436193(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]), .X
-       (n_6082));
-  sky130_fd_sc_hd__a22o_1 g436194(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]), .X
-       (n_6081));
-  sky130_fd_sc_hd__a22o_1 g436195(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]), .X
-       (n_6080));
-  sky130_fd_sc_hd__a22o_1 g436196(.A1 (n_5653), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5657), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]), .X
-       (n_6079));
-  sky130_fd_sc_hd__a22o_1 g436197(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]), .X
-       (n_6078));
-  sky130_fd_sc_hd__a22o_1 g436198(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]), .X
-       (n_6077));
-  sky130_fd_sc_hd__a22o_1 g436199(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]), .X
-       (n_6076));
-  sky130_fd_sc_hd__a22o_1 g436200(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]), .X
-       (n_6075));
-  sky130_fd_sc_hd__a22o_1 g436201(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]), .X
-       (n_6074));
-  sky130_fd_sc_hd__a22o_1 g436202(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]), .X
-       (n_6073));
-  sky130_fd_sc_hd__a22o_1 g436203(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]), .X
-       (n_6072));
-  sky130_fd_sc_hd__a22o_1 g436204(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]), .X
-       (n_6071));
-  sky130_fd_sc_hd__a22o_1 g436205(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]), .X
-       (n_6070));
-  sky130_fd_sc_hd__a22o_1 g436206(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]), .X
-       (n_6069));
-  sky130_fd_sc_hd__a22o_1 g436207(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]), .X
-       (n_6068));
-  sky130_fd_sc_hd__a22o_1 g436208(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]), .X
-       (n_6067));
-  sky130_fd_sc_hd__a22o_1 g436209(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]), .X
-       (n_6066));
-  sky130_fd_sc_hd__a22o_1 g436210(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]), .X
-       (n_6065));
-  sky130_fd_sc_hd__a22o_1 g436211(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]), .X
-       (n_6064));
-  sky130_fd_sc_hd__a22o_1 g436212(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]), .X
-       (n_6063));
-  sky130_fd_sc_hd__a22o_1 g436213(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]), .X
-       (n_6062));
-  sky130_fd_sc_hd__a22o_1 g436214(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]), .X
-       (n_6061));
-  sky130_fd_sc_hd__a22o_1 g436215(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]), .X
-       (n_6060));
-  sky130_fd_sc_hd__a22o_1 g436216(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]), .X
-       (n_6059));
-  sky130_fd_sc_hd__a22o_1 g436217(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]), .X
-       (n_6058));
-  sky130_fd_sc_hd__a22o_1 g436218(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]), .X
-       (n_6057));
-  sky130_fd_sc_hd__a22o_1 g436219(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]), .X
-       (n_6056));
-  sky130_fd_sc_hd__a22o_1 g436220(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]), .X
-       (n_6055));
-  sky130_fd_sc_hd__a22o_1 g436221(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]), .X
-       (n_6054));
-  sky130_fd_sc_hd__a22o_1 g436222(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]), .X
-       (n_6053));
-  sky130_fd_sc_hd__a22o_1 g436223(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]), .X
-       (n_6052));
-  sky130_fd_sc_hd__a22o_1 g436224(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]), .X
-       (n_6051));
-  sky130_fd_sc_hd__a22o_1 g436225(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]), .X
-       (n_6050));
-  sky130_fd_sc_hd__a22o_1 g436226(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]), .X
-       (n_6049));
-  sky130_fd_sc_hd__a22o_1 g436227(.A1 (n_5661), .A2
-       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5655), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]), .X
-       (n_6048));
-  sky130_fd_sc_hd__a22o_1 g436228(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]), .X
-       (n_6047));
-  sky130_fd_sc_hd__a22o_1 g436229(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]), .X
-       (n_6046));
-  sky130_fd_sc_hd__a22o_1 g436230(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]), .X
-       (n_6045));
-  sky130_fd_sc_hd__a22o_1 g436231(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]), .X
-       (n_6044));
-  sky130_fd_sc_hd__a22o_1 g436232(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]), .X
-       (n_6043));
-  sky130_fd_sc_hd__a22o_1 g436233(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]), .X
-       (n_6042));
-  sky130_fd_sc_hd__a22o_1 g436234(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]), .X
-       (n_6041));
-  sky130_fd_sc_hd__a22o_1 g436235(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]), .X
-       (n_6040));
-  sky130_fd_sc_hd__a22o_1 g436236(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]), .X
-       (n_6039));
-  sky130_fd_sc_hd__a22o_1 g436237(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]), .X
-       (n_6038));
-  sky130_fd_sc_hd__a22o_1 g436238(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]), .X
-       (n_6037));
-  sky130_fd_sc_hd__a22o_1 g436239(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]), .X
-       (n_6036));
-  sky130_fd_sc_hd__a22o_1 g436240(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]), .X
-       (n_6035));
-  sky130_fd_sc_hd__a22o_1 g436241(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]), .X
-       (n_6034));
-  sky130_fd_sc_hd__a22o_1 g436242(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]), .X
-       (n_6033));
-  sky130_fd_sc_hd__a22o_1 g436243(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]), .X
-       (n_6032));
-  sky130_fd_sc_hd__a22o_1 g436244(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]), .X
-       (n_6031));
-  sky130_fd_sc_hd__a22o_1 g436245(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]), .X
-       (n_6030));
-  sky130_fd_sc_hd__a22o_1 g436246(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]), .X
-       (n_6029));
-  sky130_fd_sc_hd__a22o_1 g436247(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]), .X
-       (n_6028));
-  sky130_fd_sc_hd__a22o_1 g436248(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]), .X
-       (n_6027));
-  sky130_fd_sc_hd__a22o_1 g436249(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]), .X
-       (n_6026));
-  sky130_fd_sc_hd__a22o_1 g436250(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]), .X
-       (n_6025));
-  sky130_fd_sc_hd__a22o_1 g436251(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]), .X
-       (n_6024));
-  sky130_fd_sc_hd__a22o_1 g436252(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]), .X
-       (n_6023));
-  sky130_fd_sc_hd__a22o_1 g436253(.A1 (n_5372), .A2
-       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5662), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]), .X
-       (n_6022));
-  sky130_fd_sc_hd__a22o_1 g436254(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]), .X
-       (n_6021));
-  sky130_fd_sc_hd__a22o_1 g436255(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]), .X
-       (n_6020));
-  sky130_fd_sc_hd__a22o_1 g436256(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]), .X
-       (n_6019));
-  sky130_fd_sc_hd__a22o_1 g436257(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]), .X
-       (n_6018));
-  sky130_fd_sc_hd__a22o_1 g436258(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]), .X
-       (n_6017));
-  sky130_fd_sc_hd__a22o_1 g436259(.A1 (n_5367), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5659), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]), .X
-       (n_6016));
-  sky130_fd_sc_hd__a22o_1 g436260(.A1 (n_5370), .A2
-       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5654), .B2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]), .X
-       (n_6015));
-  sky130_fd_sc_hd__o2bb2ai_1 g436261(.A1_N
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
-       ), .A2_N (n_5702), .B1 (n_5702), .B2 (n_13376), .Y (n_6014));
-  sky130_fd_sc_hd__a222oi_1 g436262(.A1 (n_3077), .A2 (n_5433), .B1
-       (n_5385), .B2 (n_2401), .C1 (n_5387), .C2 (n_2561), .Y (n_6013));
-  sky130_fd_sc_hd__o221ai_1 g436263(.A1 (n_2582), .A2 (n_5388), .B1
-       (n_2584), .B2 (n_5386), .C1 (n_5630), .Y (n_6012));
-  sky130_fd_sc_hd__a222oi_1 g436264(.A1 (n_5461), .A2 (n_3077), .B1
-       (n_5385), .B2 (n_2367), .C1 (n_5387), .C2 (n_2567), .Y (n_6011));
-  sky130_fd_sc_hd__a222oi_1 g436265(.A1 (n_5462), .A2 (n_3077), .B1
-       (n_5385), .B2 (n_2365), .C1 (n_5387), .C2 (n_2566), .Y (n_6010));
-  sky130_fd_sc_hd__inv_2 g436267(.A (n_6007), .Y (n_6008));
-  sky130_fd_sc_hd__inv_2 g436268(.A (n_6003), .Y (n_6004));
-  sky130_fd_sc_hd__inv_2 g436269(.A (n_5999), .Y (n_6000));
-  sky130_fd_sc_hd__inv_2 g436270(.A (n_5997), .Y (n_5998));
-  sky130_fd_sc_hd__inv_2 g436271(.A (n_5995), .Y (n_5996));
-  sky130_fd_sc_hd__inv_1 g436272(.A (n_5974), .Y (n_5973));
-  sky130_fd_sc_hd__inv_2 g436273(.A (n_5969), .Y (n_5970));
-  sky130_fd_sc_hd__inv_2 g436274(.A (n_5966), .Y (n_5965));
-  sky130_fd_sc_hd__inv_2 g436275(.A (n_5964), .Y (n_5963));
-  sky130_fd_sc_hd__clkinv_1 g436276(.A (n_5955), .Y (n_5954));
-  sky130_fd_sc_hd__inv_2 g436277(.A (n_5953), .Y (n_5952));
-  sky130_fd_sc_hd__inv_1 g436278(.A (n_5950), .Y (n_5951));
-  sky130_fd_sc_hd__inv_2 g436279(.A (n_5947), .Y (n_5946));
-  sky130_fd_sc_hd__inv_2 g436280(.A (n_5945), .Y (n_5944));
-  sky130_fd_sc_hd__inv_2 g436281(.A (n_5943), .Y (n_5942));
-  sky130_fd_sc_hd__inv_2 g436282(.A (n_5941), .Y (n_5940));
-  sky130_fd_sc_hd__inv_2 g436283(.A (n_16007), .Y (n_5935));
-  sky130_fd_sc_hd__a221o_1 g436284(.A1 (n_3118), .A2 (n_1084), .B1
-       (n_3107), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .C1 (n_1058), .X (n_5933));
-  sky130_fd_sc_hd__o21ai_0 g436285(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .A2 (n_5409), .B1 (io_out[37]), .Y (n_5932));
-  sky130_fd_sc_hd__o211ai_1 g436287(.A1 (n_610), .A2 (n_3110), .B1
-       (n_1088), .C1 (n_5260), .Y (n_5930));
-  sky130_fd_sc_hd__o21ai_0 g436288(.A1
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .A2 (n_5468),
-       .B1 (n_5671), .Y (n_5929));
-  sky130_fd_sc_hd__o211ai_1 g436289(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .A2 (n_3096), .B1 (n_3088), .C1 (n_5393), .Y (n_5928));
-  sky130_fd_sc_hd__o2bb2ai_1 g436290(.A1_N (n_1397), .A2_N (n_2418),
-       .B1 (n_1195), .B2 (n_5364), .Y (n_5927));
-  sky130_fd_sc_hd__o2bb2ai_1 g436291(.A1_N (n_1396), .A2_N (n_2418),
-       .B1 (n_1202), .B2 (n_5364), .Y (n_5926));
-  sky130_fd_sc_hd__o2bb2ai_1 g436292(.A1_N (n_1399), .A2_N (n_2418),
-       .B1 (n_1180), .B2 (n_5364), .Y (n_5925));
-  sky130_fd_sc_hd__a22o_1 g436293(.A1 (n_2289), .A2 (n_1077), .B1
-       (n_2407), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .X (n_5924));
-  sky130_fd_sc_hd__o2bb2ai_1 g436294(.A1_N (n_1400), .A2_N (n_2418),
-       .B1 (n_1170), .B2 (n_5364), .Y (n_5923));
-  sky130_fd_sc_hd__o21ai_0 g436295(.A1
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .A2 (n_2896), .B1
-       (n_5696), .Y (n_5922));
-  sky130_fd_sc_hd__or4_1 g436296(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [23]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [25]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [24]), .D (n_2948), .X (n_5921));
-  sky130_fd_sc_hd__or4_1 g436298(.A (n_13414), .B (n_13413), .C
-       (n_13412), .D (n_2947), .X (n_5919));
-  sky130_fd_sc_hd__o2bb2ai_1 g436299(.A1_N (n_1394), .A2_N (n_2418),
-       .B1 (n_1203), .B2 (n_5364), .Y (n_5918));
-  sky130_fd_sc_hd__nand2_1 g436300(.A (n_5651), .B (n_13417), .Y
-       (n_5917));
-  sky130_fd_sc_hd__nand2_1 g436301(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]), .Y (n_5916));
-  sky130_fd_sc_hd__nand2_1 g436302(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [7]), .Y (n_5915));
-  sky130_fd_sc_hd__nand2_1 g436303(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [8]), .Y (n_5914));
-  sky130_fd_sc_hd__nand2_1 g436304(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [9]), .Y (n_5913));
-  sky130_fd_sc_hd__nand2_1 g436305(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_5912));
-  sky130_fd_sc_hd__nand2_1 g436306(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [12]), .Y (n_5911));
-  sky130_fd_sc_hd__nand2_1 g436307(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [14]), .Y (n_5910));
-  sky130_fd_sc_hd__nand2_1 g436308(.A (n_5642), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [16]), .Y (n_5909));
-  sky130_fd_sc_hd__nand2_1 g436309(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [1]), .Y (n_5908));
-  sky130_fd_sc_hd__nand2_1 g436310(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [7]), .Y (n_5907));
-  sky130_fd_sc_hd__nand2_1 g436311(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [8]), .Y (n_5906));
-  sky130_fd_sc_hd__nand2_1 g436312(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [9]), .Y (n_5905));
-  sky130_fd_sc_hd__nand2_1 g436313(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [11]), .Y (n_5904));
-  sky130_fd_sc_hd__nand2_1 g436314(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [12]), .Y (n_5903));
-  sky130_fd_sc_hd__nand2_1 g436315(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [14]), .Y (n_5902));
-  sky130_fd_sc_hd__nand2_1 g436316(.A (n_5644), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [16]), .Y (n_5901));
-  sky130_fd_sc_hd__a221o_1 g436317(.A1 (n_3129), .A2 (n_1057), .B1
-       (n_3109), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .C1 (n_1086), .X (n_5900));
-  sky130_fd_sc_hd__a2bb2oi_1 g436318(.A1_N (n_1171), .A2_N (n_5364),
-       .B1 (n_1389), .B2 (n_2418), .Y (n_5899));
-  sky130_fd_sc_hd__nand2_1 g436319(.A (n_5700), .B (n_16012), .Y
-       (n_5898));
-  sky130_fd_sc_hd__nor2_1 g436320(.A (n_3099), .B (n_5546), .Y
-       (n_5897));
-  sky130_fd_sc_hd__nor2_1 g436321(.A (n_2413), .B (n_5708), .Y
-       (n_5896));
-  sky130_fd_sc_hd__nor2_1 g436322(.A (n_2413), .B (n_5712), .Y
-       (n_5895));
-  sky130_fd_sc_hd__nor2_1 g436323(.A (n_2413), .B (n_5711), .Y
-       (n_5894));
-  sky130_fd_sc_hd__o41ai_1 g436324(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A3 (n_1624), .A4 (n_2543), .B1 (n_5573), .Y (n_5893));
-  sky130_fd_sc_hd__o41ai_1 g436325(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A3 (n_1594), .A4 (n_2544), .B1 (n_5574), .Y (n_5892));
-  sky130_fd_sc_hd__a21oi_1 g436326(.A1 (n_5406), .A2 (n_1013), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Y (n_5891));
-  sky130_fd_sc_hd__o31ai_1 g436327(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2 (n_636), .A3 (n_1449), .B1 (n_5543), .Y (n_5890));
-  sky130_fd_sc_hd__o21ai_0 g436328(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .A2 (n_5418), .B1 (io_out[37]), .Y (n_5889));
-  sky130_fd_sc_hd__o21a_1 g436329(.A1
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .A2 (n_13381), .B1
-       (n_5704), .X (n_5888));
-  sky130_fd_sc_hd__nor2_1 g436330(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5632), .Y
-       (n_5887));
-  sky130_fd_sc_hd__nor2_1 g436331(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5622), .Y
-       (n_5886));
-  sky130_fd_sc_hd__nor2_1 g436332(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5629), .Y
-       (n_5885));
-  sky130_fd_sc_hd__nor2_1 g436333(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_5085), .Y
-       (n_5884));
-  sky130_fd_sc_hd__a221oi_1 g436334(.A1 (n_1024), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [18]), .B1 (n_1035), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [2]), .C1 (n_5638), .Y (n_5883));
-  sky130_fd_sc_hd__o21ai_1 g436335(.A1 (n_487), .A2 (n_2900), .B1
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .Y (n_5882));
-  sky130_fd_sc_hd__nor2_1 g436336(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5624), .Y
-       (n_5881));
-  sky130_fd_sc_hd__nand2_1 g436337(.A (n_5589), .B (n_5537), .Y
-       (n_5880));
-  sky130_fd_sc_hd__nor2_1 g436339(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5621), .Y
-       (n_5878));
-  sky130_fd_sc_hd__nor2_1 g436340(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5623), .Y
-       (n_5877));
-  sky130_fd_sc_hd__o31ai_1 g436341(.A1 (n_43), .A2 (n_2295), .A3
-       (n_3136), .B1 (n_3043), .Y (n_5876));
-  sky130_fd_sc_hd__nor2_1 g436342(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5633), .Y
-       (n_5875));
-  sky130_fd_sc_hd__a21oi_1 g436345(.A1 (n_5432), .A2 (n_2001), .B1
-       (n_2857), .Y (n_6007));
-  sky130_fd_sc_hd__o21ai_1 g436346(.A1 (n_16011), .A2 (n_5429), .B1
-       (n_2858), .Y (n_6006));
-  sky130_fd_sc_hd__nor2_1 g436348(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .B (n_5697), .Y (n_6005));
-  sky130_fd_sc_hd__a21oi_1 g436351(.A1 (n_5465), .A2 (n_2001), .B1
-       (n_2857), .Y (n_6003));
-  sky130_fd_sc_hd__a221oi_1 g436352(.A1 (n_1024), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [23]), .B1 (n_1035), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [7]), .C1 (n_5639), .Y (n_6002));
-  sky130_fd_sc_hd__o21ai_1 g436355(.A1 (n_16011), .A2 (n_15922), .B1
-       (n_2858), .Y (n_6001));
-  sky130_fd_sc_hd__a21oi_1 g436356(.A1 (n_5467), .A2 (n_2001), .B1
-       (n_2857), .Y (n_5999));
-  sky130_fd_sc_hd__a21oi_1 g436357(.A1 (n_5423), .A2 (n_2001), .B1
-       (n_2857), .Y (n_5997));
-  sky130_fd_sc_hd__a21oi_1 g436358(.A1 (n_5420), .A2 (n_2001), .B1
-       (n_2857), .Y (n_5995));
-  sky130_fd_sc_hd__a21oi_1 g436359(.A1 (n_5452), .A2 (n_2001), .B1
-       (n_5102), .Y (n_5994));
-  sky130_fd_sc_hd__o21bai_1 g436360(.A1 (n_1050), .A2 (n_3145), .B1_N
-       (n_5703), .Y (n_5993));
-  sky130_fd_sc_hd__a221o_1 g436361(.A1 (n_3145), .A2 (n_1051), .B1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .C1 (n_15923), .X (n_5992));
-  sky130_fd_sc_hd__nor2_1 g436364(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .B (n_5680), .Y (n_5991));
-  sky130_fd_sc_hd__nor2_1 g436366(.A (n_497), .B (n_3138), .Y (n_5990));
-  sky130_fd_sc_hd__nand2_1 g436367(.A (n_5709), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Y (n_5989));
-  sky130_fd_sc_hd__nor2_1 g436371(.A (n_1467), .B (n_3141), .Y
-       (n_5988));
-  sky130_fd_sc_hd__and2_1 g436372(.A (n_5678), .B (n_2172), .X
-       (n_5987));
-  sky130_fd_sc_hd__and2_1 g436373(.A (n_5695), .B (n_2173), .X
-       (n_5986));
-  sky130_fd_sc_hd__and2_1 g436374(.A (n_5695), .B (n_2174), .X
-       (n_5985));
-  sky130_fd_sc_hd__and2_1 g436375(.A (n_5695), .B (n_2175), .X
-       (n_5984));
-  sky130_fd_sc_hd__nand2b_1 g436376(.A_N (n_5701), .B (io_out[37]), .Y
-       (n_5983));
-  sky130_fd_sc_hd__nor2_1 g436377(.A (n_479), .B (n_5674), .Y (n_5982));
-  sky130_fd_sc_hd__and2_1 g436378(.A (n_5678), .B (n_2165), .X
-       (n_5981));
-  sky130_fd_sc_hd__nor2_1 g436379(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .B (n_5680), .Y (n_5980));
-  sky130_fd_sc_hd__and2_1 g436381(.A (n_5678), .B (n_2171), .X
-       (n_5979));
-  sky130_fd_sc_hd__and2_1 g436382(.A (n_5678), .B (n_2164), .X
-       (n_5978));
-  sky130_fd_sc_hd__and2_1 g436383(.A (n_5678), .B (n_2166), .X
-       (n_5977));
-  sky130_fd_sc_hd__and2_1 g436384(.A (n_5678), .B (n_2170), .X
-       (n_5976));
-  sky130_fd_sc_hd__nand3_1 g436385(.A (n_5145), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .C (n_577), .Y
-       (n_5975));
-  sky130_fd_sc_hd__a221oi_1 g436387(.A1 (n_3008), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [23]), .C1 (n_5581), .Y (n_5974));
-  sky130_fd_sc_hd__nor2_1 g436392(.A (n_1226), .B (n_5689), .Y
-       (n_5972));
-  sky130_fd_sc_hd__nor2_1 g436394(.A (n_1226), .B (n_5690), .Y
-       (n_5971));
-  sky130_fd_sc_hd__nor3_1 g436395(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .B (n_1446), .C
-       (n_2890), .Y (n_5969));
-  sky130_fd_sc_hd__nor2_1 g436396(.A (n_1225), .B (n_5690), .Y
-       (n_5968));
-  sky130_fd_sc_hd__nor2_1 g436397(.A (n_1229), .B (n_5690), .Y
-       (n_5967));
-  sky130_fd_sc_hd__a221oi_1 g436398(.A1 (n_3005), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [20]), .C1 (n_5534), .Y (n_5966));
-  sky130_fd_sc_hd__a221oi_1 g436399(.A1 (n_3000), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [19]), .C1 (n_5545), .Y (n_5964));
-  sky130_fd_sc_hd__nor2_1 g436400(.A (n_1231), .B (n_5690), .Y
-       (n_5962));
-  sky130_fd_sc_hd__nor3_1 g436401(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .C (n_5398), .Y (n_5961));
-  sky130_fd_sc_hd__nor2_1 g436402(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .B (n_5706), .Y (n_5960));
-  sky130_fd_sc_hd__nor2_1 g436403(.A (n_1231), .B (n_5689), .Y
-       (n_5959));
-  sky130_fd_sc_hd__nor2_1 g436404(.A (n_1225), .B (n_5689), .Y
-       (n_5958));
-  sky130_fd_sc_hd__or4_1 g436405(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .C (n_1680), .D (n_3123), .X (n_5957));
-  sky130_fd_sc_hd__nor2_1 g436406(.A (n_1229), .B (n_5689), .Y
-       (n_5956));
-  sky130_fd_sc_hd__a221oi_1 g436408(.A1 (n_3010), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [21]), .C1 (n_5576), .Y (n_5955));
-  sky130_fd_sc_hd__a221oi_1 g436409(.A1 (n_2998), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [18]), .C1 (n_5533), .Y (n_5953));
-  sky130_fd_sc_hd__nor3_1 g436410(.A (n_533), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .C (n_5398), .Y (n_5950));
-  sky130_fd_sc_hd__nor2_1 g436411(.A (n_533), .B (n_5706), .Y (n_5949));
-  sky130_fd_sc_hd__nor2_1 g436412(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .B (n_5674), .Y (n_5948));
-  sky130_fd_sc_hd__a221oi_1 g436414(.A1 (n_3006), .A2 (n_1029), .B1
-       (n_1030), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [22]), .C1 (n_5575), .Y (n_5947));
-  sky130_fd_sc_hd__nand2_1 g436419(.A (n_5641), .B (io_out[37]), .Y
-       (n_5945));
-  sky130_fd_sc_hd__nand2_1 g436420(.A (n_5645), .B (io_out[37]), .Y
-       (n_5943));
-  sky130_fd_sc_hd__nor2_1 g436421(.A (n_471), .B (n_5643), .Y (n_5941));
-  sky130_fd_sc_hd__nand2_1 g436423(.A (n_5713), .B (n_5401), .Y
-       (n_5939));
-  sky130_fd_sc_hd__and2_1 g436424(.A (n_5699), .B (n_15946), .X
-       (n_5938));
-  sky130_fd_sc_hd__a21o_1 g436425(.A1 (n_1755), .A2 (n_5396), .B1
-       (n_5700), .X (n_5937));
-  sky130_fd_sc_hd__nor2_2 g436427(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [1]), .B (n_5693), .Y (n_5934));
-  sky130_fd_sc_hd__inv_1 g436428(.A (n_5865), .Y (n_5866));
-  sky130_fd_sc_hd__inv_2 g436429(.A (n_5856), .Y (n_5855));
-  sky130_fd_sc_hd__inv_2 g436430(.A (n_5854), .Y (n_5853));
-  sky130_fd_sc_hd__inv_2 g436431(.A (n_5852), .Y (n_5851));
-  sky130_fd_sc_hd__inv_2 g436432(.A (n_5850), .Y (n_5849));
-  sky130_fd_sc_hd__inv_2 g436433(.A (n_5848), .Y (n_5847));
-  sky130_fd_sc_hd__inv_2 g436434(.A (n_5846), .Y (n_5845));
-  sky130_fd_sc_hd__inv_2 g436435(.A (n_5844), .Y (n_5843));
-  sky130_fd_sc_hd__inv_2 g436436(.A (n_5841), .Y (n_5842));
-  sky130_fd_sc_hd__inv_2 g436437(.A (n_5840), .Y (n_5839));
-  sky130_fd_sc_hd__inv_2 g436438(.A (n_5838), .Y (n_5837));
-  sky130_fd_sc_hd__inv_2 g436439(.A (n_5836), .Y (n_5835));
-  sky130_fd_sc_hd__inv_2 g436440(.A (n_5834), .Y (n_5833));
-  sky130_fd_sc_hd__inv_2 g436441(.A (n_5832), .Y (n_5831));
-  sky130_fd_sc_hd__inv_2 g436442(.A (n_5830), .Y (n_5829));
-  sky130_fd_sc_hd__inv_2 g436443(.A (n_5828), .Y (n_5827));
-  sky130_fd_sc_hd__inv_2 g436444(.A (n_5826), .Y (n_5825));
-  sky130_fd_sc_hd__a22oi_1 g436445(.A1 (n_5366), .A2 (n_13386), .B1
-       (n_5358), .B2 (n_13387), .Y (n_5824));
-  sky130_fd_sc_hd__xor2_1 g436446(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B (n_4322), .X (n_5823));
-  sky130_fd_sc_hd__xor2_1 g436447(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B (n_4323), .X (n_5822));
-  sky130_fd_sc_hd__o2bb2ai_1 g436448(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [32]), .A2_N (n_2980), .B1 (n_3133), .B2 (n_5377), .Y (n_5821));
-  sky130_fd_sc_hd__o2bb2ai_1 g436449(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [31]), .A2_N (n_2980), .B1 (n_3024), .B2 (n_5377), .Y (n_5820));
-  sky130_fd_sc_hd__o2bb2ai_1 g436450(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [30]), .A2_N (n_2980), .B1 (n_3135), .B2 (n_5377), .Y (n_5819));
-  sky130_fd_sc_hd__o2bb2ai_1 g436451(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [29]), .A2_N (n_2980), .B1 (n_3131), .B2 (n_5377), .Y (n_5818));
-  sky130_fd_sc_hd__o2bb2ai_1 g436452(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [28]), .A2_N (n_2980), .B1 (n_3028), .B2 (n_5377), .Y (n_5817));
-  sky130_fd_sc_hd__o2bb2ai_1 g436453(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [27]), .A2_N (n_2980), .B1 (n_3128), .B2 (n_5377), .Y (n_5816));
-  sky130_fd_sc_hd__o2bb2ai_1 g436454(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [26]), .A2_N (n_2980), .B1 (n_3140), .B2 (n_5377), .Y (n_5815));
-  sky130_fd_sc_hd__o2bb2ai_1 g436455(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [25]), .A2_N (n_2980), .B1 (n_3016), .B2 (n_5377), .Y (n_5814));
-  sky130_fd_sc_hd__o2bb2ai_1 g436456(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [18]), .A2_N (n_2980), .B1 (n_13354), .B2 (n_5377), .Y (n_5813));
-  sky130_fd_sc_hd__o2bb2ai_1 g436457(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [17]), .A2_N (n_2980), .B1 (n_13355), .B2 (n_5377), .Y (n_5812));
-  sky130_fd_sc_hd__o2bb2ai_1 g436458(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [15]), .A2_N (n_2980), .B1 (n_3018), .B2 (n_5377), .Y (n_5811));
-  sky130_fd_sc_hd__o2bb2ai_1 g436459(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [14]), .A2_N (n_2980), .B1 (n_3022), .B2 (n_5377), .Y (n_5810));
-  sky130_fd_sc_hd__o2bb2ai_1 g436460(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [16]), .A2_N (n_2980), .B1 (n_3030), .B2 (n_5377), .Y (n_5809));
-  sky130_fd_sc_hd__o2bb2ai_1 g436461(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [13]), .A2_N (n_2980), .B1 (n_3120), .B2 (n_5377), .Y (n_5808));
-  sky130_fd_sc_hd__o2bb2ai_1 g436462(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [12]), .A2_N (n_2980), .B1 (n_3032), .B2 (n_5377), .Y (n_5807));
-  sky130_fd_sc_hd__o2bb2ai_1 g436463(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [11]), .A2_N (n_2980), .B1 (n_3020), .B2 (n_5377), .Y (n_5806));
-  sky130_fd_sc_hd__o2bb2ai_1 g436464(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [10]), .A2_N (n_2980), .B1 (n_3026), .B2 (n_5377), .Y (n_5805));
-  sky130_fd_sc_hd__o2bb2ai_1 g436465(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [9]), .A2_N (n_2980), .B1 (n_3122), .B2 (n_5377), .Y (n_5804));
-  sky130_fd_sc_hd__o2bb2ai_1 g436466(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]), .A2_N (n_2980), .B1 (n_13356), .B2 (n_5377), .Y (n_5803));
-  sky130_fd_sc_hd__o2bb2ai_1 g436467(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]), .A2_N (n_2980), .B1 (n_13357), .B2 (n_5377), .Y (n_5802));
-  sky130_fd_sc_hd__o2bb2ai_1 g436468(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [32]), .A2_N (n_2981), .B1 (n_3133), .B2 (n_5379), .Y (n_5801));
-  sky130_fd_sc_hd__o2bb2ai_1 g436469(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [31]), .A2_N (n_2981), .B1 (n_3024), .B2 (n_5379), .Y (n_5800));
-  sky130_fd_sc_hd__o2bb2ai_1 g436470(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [30]), .A2_N (n_2981), .B1 (n_3135), .B2 (n_5379), .Y (n_5799));
-  sky130_fd_sc_hd__o2bb2ai_1 g436471(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [29]), .A2_N (n_2981), .B1 (n_3131), .B2 (n_5379), .Y (n_5798));
-  sky130_fd_sc_hd__o2bb2ai_1 g436472(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [28]), .A2_N (n_2981), .B1 (n_3028), .B2 (n_5379), .Y (n_5797));
-  sky130_fd_sc_hd__o2bb2ai_1 g436473(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [27]), .A2_N (n_2981), .B1 (n_3128), .B2 (n_5379), .Y (n_5796));
-  sky130_fd_sc_hd__o2bb2ai_1 g436474(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [26]), .A2_N (n_2981), .B1 (n_3140), .B2 (n_5379), .Y (n_5795));
-  sky130_fd_sc_hd__nand3_1 g436476(.A (n_5117), .B (n_2656), .C
-       (n_2916), .Y (n_5793));
-  sky130_fd_sc_hd__nand3_1 g436477(.A (n_5095), .B (n_2346), .C
-       (n_1790), .Y (n_5792));
-  sky130_fd_sc_hd__a21oi_1 g436478(.A1 (n_1410), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]), .B1
-       (n_5550), .Y (n_5791));
-  sky130_fd_sc_hd__nand3_1 g436479(.A (n_5089), .B (n_2964), .C
-       (n_2661), .Y (n_5790));
-  sky130_fd_sc_hd__nand3_1 g436480(.A (n_5105), .B (n_2357), .C
-       (n_1803), .Y (n_5789));
-  sky130_fd_sc_hd__nand4_1 g436481(.A (n_2410), .B (n_2923), .C
-       (n_1988), .D (n_2946), .Y (n_5788));
-  sky130_fd_sc_hd__a221oi_1 g436482(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]), .C1
-       (n_5549), .Y (n_5787));
-  sky130_fd_sc_hd__a221oi_1 g436483(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[9]), .B1 (n_1214),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]), .C1
-       (n_5553), .Y (n_5786));
-  sky130_fd_sc_hd__a221oi_1 g436484(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[14]), .B1 (n_1214),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]), .C1
-       (n_5571), .Y (n_5785));
-  sky130_fd_sc_hd__a221o_1 g436485(.A1 (n_2421), .A2 (n_13559), .B1
-       (n_3085), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .C1
-       (n_2195), .X (n_5784));
-  sky130_fd_sc_hd__a221o_1 g436486(.A1 (n_2421), .A2 (n_13560), .B1
-       (n_3085), .B2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .C1
-       (n_5171), .X (n_5783));
-  sky130_fd_sc_hd__a221oi_1 g436487(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[0]), .B1 (n_1233),
-       .B2 (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .C1
-       (n_5558), .Y (n_5782));
-  sky130_fd_sc_hd__a221oi_1 g436488(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]), .C1
-       (n_5547), .Y (n_5781));
-  sky130_fd_sc_hd__a221oi_1 g436489(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[10]), .B1 (n_1214),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]), .C1
-       (n_5551), .Y (n_5780));
-  sky130_fd_sc_hd__nand3_1 g436490(.A (n_2970), .B (n_5086), .C
-       (n_1801), .Y (n_5779));
-  sky130_fd_sc_hd__nand4_1 g436491(.A (n_1982), .B (n_2958), .C
-       (n_1946), .D (n_1828), .Y (n_5778));
-  sky130_fd_sc_hd__xor2_1 g436492(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .B (n_2886), .X
-       (n_5777));
-  sky130_fd_sc_hd__a2bb2oi_1 g436493(.A1_N (n_13328), .A2_N (n_2886),
-       .B1 (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B2 (n_2886),
-       .Y (n_5776));
-  sky130_fd_sc_hd__a2bb2oi_1 g436494(.A1_N (n_669), .A2_N (n_5401), .B1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [1]), .B2 (n_1845), .Y (n_5775));
-  sky130_fd_sc_hd__a22oi_1 g436495(.A1 (n_5374), .A2
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_3076), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [1]), .Y (n_5774));
-  sky130_fd_sc_hd__a22oi_1 g436496(.A1 (n_5376), .A2 (n_13419), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [2]), .Y
-       (n_5773));
-  sky130_fd_sc_hd__a22oi_1 g436497(.A1 (n_5376), .A2 (n_13420), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [3]), .Y
-       (n_5772));
-  sky130_fd_sc_hd__a22oi_1 g436498(.A1 (n_5376), .A2 (n_13422), .B1
-       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [5]), .Y
-       (n_5771));
-  sky130_fd_sc_hd__o2bb2ai_1 g436499(.A1_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       [1]), .A2_N (n_3099), .B1 (n_5419), .B2 (n_6), .Y (n_5770));
-  sky130_fd_sc_hd__o22ai_1 g436500(.A1 (n_5391), .A2 (n_1702), .B1
-       (n_1028), .B2 (n_1612), .Y (n_5769));
-  sky130_fd_sc_hd__a22oi_1 g436501(.A1 (n_5384), .A2 (n_3142), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .Y
-       (n_5768));
-  sky130_fd_sc_hd__a22oi_1 g436502(.A1 (n_5384), .A2 (n_5427), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .Y
-       (n_5767));
-  sky130_fd_sc_hd__a2bb2oi_1 g436503(.A1_N (n_2369), .A2_N (n_5386),
-       .B1 (n_5435), .B2 (n_3077), .Y (n_5766));
-  sky130_fd_sc_hd__a22oi_1 g436504(.A1 (n_5384), .A2 (n_5421), .B1
-       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .Y
-       (n_5765));
-  sky130_fd_sc_hd__a2bb2oi_1 g436505(.A1_N (n_2370), .A2_N (n_5386),
-       .B1 (n_5437), .B2 (n_3077), .Y (n_5764));
-  sky130_fd_sc_hd__a2bb2oi_1 g436506(.A1_N (n_2597), .A2_N (n_5386),
-       .B1 (n_5440), .B2 (n_3077), .Y (n_5763));
-  sky130_fd_sc_hd__o2bb2ai_1 g436507(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [25]), .A2_N (n_2981), .B1 (n_3016), .B2 (n_5379), .Y (n_5762));
-  sky130_fd_sc_hd__a2bb2oi_1 g436508(.A1_N (n_2577), .A2_N (n_5386),
-       .B1 (n_5448), .B2 (n_3077), .Y (n_5761));
-  sky130_fd_sc_hd__o2bb2ai_1 g436509(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [17]), .A2_N (n_2981), .B1 (n_13355), .B2 (n_5379), .Y (n_5760));
-  sky130_fd_sc_hd__o2bb2ai_1 g436510(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [18]), .A2_N (n_2981), .B1 (n_13354), .B2 (n_5379), .Y (n_5759));
-  sky130_fd_sc_hd__a22oi_1 g436511(.A1 (n_3077), .A2 (n_5459), .B1
-       (n_5385), .B2 (n_2565), .Y (n_5758));
-  sky130_fd_sc_hd__a22oi_1 g436512(.A1 (n_3077), .A2 (n_5460), .B1
-       (n_5385), .B2 (n_2581), .Y (n_5757));
-  sky130_fd_sc_hd__o2bb2ai_1 g436513(.A1_N (n_5463), .A2_N (n_3077),
-       .B1 (n_2399), .B2 (n_5386), .Y (n_5756));
-  sky130_fd_sc_hd__o2bb2ai_1 g436514(.A1_N (n_5464), .A2_N (n_3077),
-       .B1 (n_2402), .B2 (n_5386), .Y (n_5755));
-  sky130_fd_sc_hd__a22oi_1 g436515(.A1 (n_5360), .A2 (n_16012), .B1
-       (n_5358), .B2 (n_13416), .Y (n_5754));
-  sky130_fd_sc_hd__a22oi_1 g436516(.A1 (n_5358), .A2 (n_13386), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [2]), .Y (n_5753));
-  sky130_fd_sc_hd__o2bb2ai_1 g436517(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [16]), .A2_N (n_2981), .B1 (n_3030), .B2 (n_5379), .Y (n_5752));
-  sky130_fd_sc_hd__a22oi_1 g436518(.A1
-       (\u_soc_xbar_to_dccm[a_address] [3]), .A2 (n_5360), .B1
-       (n_5358), .B2 (n_13388), .Y (n_5751));
-  sky130_fd_sc_hd__a22oi_1 g436519(.A1 (n_5366), .A2 (n_13388), .B1
-       (n_5358), .B2 (n_13389), .Y (n_5750));
-  sky130_fd_sc_hd__a22oi_1 g436520(.A1 (n_5366), .A2 (n_13389), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [6]), .Y (n_5749));
-  sky130_fd_sc_hd__a22oi_1 g436521(.A1
-       (\u_soc_xbar_to_dccm[a_address] [6]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [7]), .Y (n_5748));
-  sky130_fd_sc_hd__a22oi_1 g436522(.A1
-       (\u_soc_xbar_to_dccm[a_address] [7]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [8]), .Y (n_5747));
-  sky130_fd_sc_hd__a22oi_1 g436523(.A1
-       (\u_soc_xbar_to_dccm[a_address] [8]), .A2 (n_5360), .B1
-       (n_5358), .B2 (n_13393), .Y (n_5746));
-  sky130_fd_sc_hd__a22oi_1 g436524(.A1 (n_5366), .A2 (n_13393), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [10]), .Y (n_5745));
-  sky130_fd_sc_hd__a22oi_1 g436525(.A1
-       (\u_soc_xbar_to_dccm[a_address] [10]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [11]), .Y (n_5744));
-  sky130_fd_sc_hd__a22oi_1 g436526(.A1 (n_5358), .A2 (n_13396), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [12]), .Y (n_5743));
-  sky130_fd_sc_hd__a22oi_1 g436527(.A1 (n_5366), .A2 (n_13396), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [13]), .Y (n_5742));
-  sky130_fd_sc_hd__a22oi_1 g436528(.A1
-       (\u_soc_xbar_to_dccm[a_address] [13]), .A2 (n_5360), .B1
-       (n_5358), .B2 (n_13398), .Y (n_5741));
-  sky130_fd_sc_hd__a22oi_1 g436529(.A1
-       (\u_soc_lsu_to_xbar[a_address] [14]), .A2 (n_5360), .B1
-       (n_5358), .B2 (n_13399), .Y (n_5740));
-  sky130_fd_sc_hd__a22oi_1 g436530(.A1
-       (\u_soc_lsu_to_xbar[a_address] [15]), .A2 (n_5360), .B1
-       (n_5366), .B2 (n_13399), .Y (n_5739));
-  sky130_fd_sc_hd__a22oi_1 g436531(.A1 (n_5366), .A2 (n_13400), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [17]), .Y (n_5738));
-  sky130_fd_sc_hd__a22oi_1 g436532(.A1 (n_5366), .A2 (n_13401), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [18]), .Y (n_5737));
-  sky130_fd_sc_hd__a22oi_1 g436533(.A1
-       (\u_soc_lsu_to_xbar[a_address] [18]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [19]), .Y (n_5736));
-  sky130_fd_sc_hd__a22oi_1 g436534(.A1 (n_5366), .A2 (n_13403), .B1
-       (n_5358), .B2 (n_13404), .Y (n_5735));
-  sky130_fd_sc_hd__a22oi_1 g436535(.A1
-       (\u_soc_lsu_to_xbar[a_address] [20]), .A2 (n_5360), .B1
-       (n_5366), .B2 (n_13404), .Y (n_5734));
-  sky130_fd_sc_hd__a22oi_1 g436536(.A1
-       (\u_soc_lsu_to_xbar[a_address] [21]), .A2 (n_5360), .B1
-       (n_5358), .B2 (n_13406), .Y (n_5733));
-  sky130_fd_sc_hd__a22oi_1 g436537(.A1 (n_5358), .A2 (n_13407), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [23]), .Y (n_5732));
-  sky130_fd_sc_hd__a22oi_1 g436538(.A1
-       (\u_soc_lsu_to_xbar[a_address] [23]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [24]), .Y (n_5731));
-  sky130_fd_sc_hd__a22oi_1 g436539(.A1
-       (\u_soc_lsu_to_xbar[a_address] [24]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [25]), .Y (n_5730));
-  sky130_fd_sc_hd__a22oi_1 g436540(.A1
-       (\u_soc_lsu_to_xbar[a_address] [25]), .A2 (n_5360), .B1
-       (n_5358), .B2 (n_13410), .Y (n_5729));
-  sky130_fd_sc_hd__a22oi_1 g436541(.A1
-       (\u_soc_lsu_to_xbar[a_address] [26]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [27]), .Y (n_5728));
-  sky130_fd_sc_hd__a22oi_1 g436542(.A1 (n_5366), .A2 (n_13411), .B1
-       (n_5358), .B2 (n_13412), .Y (n_5727));
-  sky130_fd_sc_hd__a22oi_1 g436543(.A1 (n_5366), .A2 (n_13412), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [29]), .Y (n_5726));
-  sky130_fd_sc_hd__a22oi_1 g436544(.A1 (n_5366), .A2 (n_13413), .B1
-       (n_5358), .B2 (n_13414), .Y (n_5725));
-  sky130_fd_sc_hd__a22oi_1 g436545(.A1
-       (\u_soc_lsu_to_xbar[a_address] [30]), .A2 (n_5360), .B1
-       (n_5362), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [31]), .Y (n_5724));
-  sky130_fd_sc_hd__xor2_1 g436546(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B (n_5409), .X (n_5723));
-  sky130_fd_sc_hd__o2bb2ai_1 g436547(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]), .A2_N (n_2981), .B1 (n_13357), .B2 (n_5379), .Y (n_5722));
-  sky130_fd_sc_hd__o2bb2ai_1 g436548(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]), .A2_N (n_2981), .B1 (n_13356), .B2 (n_5379), .Y (n_5721));
-  sky130_fd_sc_hd__o2bb2ai_1 g436549(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [9]), .A2_N (n_2981), .B1 (n_3122), .B2 (n_5379), .Y (n_5720));
-  sky130_fd_sc_hd__o2bb2ai_1 g436550(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [10]), .A2_N (n_2981), .B1 (n_3026), .B2 (n_5379), .Y (n_5719));
-  sky130_fd_sc_hd__o2bb2ai_1 g436551(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [11]), .A2_N (n_2981), .B1 (n_3020), .B2 (n_5379), .Y (n_5718));
-  sky130_fd_sc_hd__o2bb2ai_1 g436552(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [13]), .A2_N (n_2981), .B1 (n_3120), .B2 (n_5379), .Y (n_5717));
-  sky130_fd_sc_hd__o2bb2ai_1 g436553(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [14]), .A2_N (n_2981), .B1 (n_3022), .B2 (n_5379), .Y (n_5716));
-  sky130_fd_sc_hd__o2bb2ai_1 g436554(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [15]), .A2_N (n_2981), .B1 (n_3018), .B2 (n_5379), .Y (n_5715));
-  sky130_fd_sc_hd__o2bb2ai_1 g436555(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [12]), .A2_N (n_2981), .B1 (n_3032), .B2 (n_5379), .Y (n_5714));
-  sky130_fd_sc_hd__o21ai_1 g436556(.A1 (n_16011), .A2 (n_5426), .B1
-       (n_2858), .Y (n_5874));
-  sky130_fd_sc_hd__a22oi_1 g436557(.A1 (n_5454), .A2 (n_2001), .B1
-       (n_5466), .B2 (n_16011), .Y (n_5873));
-  sky130_fd_sc_hd__a2bb2oi_1 g436558(.A1_N (n_2001), .A2_N (n_2603),
-       .B1 (n_2001), .B2 (n_5450), .Y (n_5872));
-  sky130_fd_sc_hd__o2bb2ai_1 g436559(.A1_N (n_2001), .A2_N (n_5444),
-       .B1 (n_2001), .B2 (n_15922), .Y (n_5871));
-  sky130_fd_sc_hd__a22o_1 g436560(.A1 (n_5456), .A2 (n_2001), .B1
-       (n_5446), .B2 (n_16011), .X (n_5870));
-  sky130_fd_sc_hd__a22o_1 g436561(.A1 (n_5455), .A2 (n_2001), .B1
-       (n_5443), .B2 (n_16011), .X (n_5869));
-  sky130_fd_sc_hd__a22oi_1 g436562(.A1 (n_5453), .A2 (n_2001), .B1
-       (n_5438), .B2 (n_16011), .Y (n_5868));
-  sky130_fd_sc_hd__a22oi_1 g436563(.A1 (n_5449), .A2 (n_2001), .B1
-       (n_2608), .B2 (n_16011), .Y (n_5867));
-  sky130_fd_sc_hd__a22oi_1 g436564(.A1 (n_5447), .A2 (n_2001), .B1
-       (n_5425), .B2 (n_16011), .Y (n_5865));
-  sky130_fd_sc_hd__a22oi_1 g436565(.A1 (n_5442), .A2 (n_2001), .B1
-       (n_5420), .B2 (n_16011), .Y (n_5864));
-  sky130_fd_sc_hd__a22oi_1 g436566(.A1 (n_5439), .A2 (n_2001), .B1
-       (n_5423), .B2 (n_16011), .Y (n_5863));
-  sky130_fd_sc_hd__a22oi_1 g436567(.A1 (n_5465), .A2 (n_16011), .B1
-       (n_5436), .B2 (n_2001), .Y (n_5862));
-  sky130_fd_sc_hd__a22oi_1 g436568(.A1 (n_5434), .A2 (n_2001), .B1
-       (n_5467), .B2 (n_16011), .Y (n_5861));
-  sky130_fd_sc_hd__a22oi_1 g436569(.A1 (n_5432), .A2 (n_16011), .B1
-       (n_5431), .B2 (n_2001), .Y (n_5860));
-  sky130_fd_sc_hd__a2bb2oi_1 g436570(.A1_N (n_2001), .A2_N (n_5429),
-       .B1 (n_2001), .B2 (n_5430), .Y (n_5859));
-  sky130_fd_sc_hd__a32oi_1 g436571(.A1 (n_16011), .A2 (n_2549), .A3
-       (n_1743), .B1 (n_5451), .B2 (n_2001), .Y (n_5858));
-  sky130_fd_sc_hd__nor4_1 g436572(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .C (n_2959), .D
-       (n_1222), .Y (n_5857));
-  sky130_fd_sc_hd__a222oi_1 g436573(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [32]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3132), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [32]), .Y (n_5856));
-  sky130_fd_sc_hd__a222oi_1 g436574(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [31]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3023), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [31]), .Y (n_5854));
-  sky130_fd_sc_hd__a222oi_1 g436575(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [30]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3134), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [30]), .Y (n_5852));
-  sky130_fd_sc_hd__a222oi_1 g436576(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [29]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3130), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [29]), .Y (n_5850));
-  sky130_fd_sc_hd__a222oi_1 g436577(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [28]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3027), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [28]), .Y (n_5848));
-  sky130_fd_sc_hd__a222oi_1 g436578(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [27]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3127), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [27]), .Y (n_5846));
-  sky130_fd_sc_hd__a222oi_1 g436579(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [26]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3139), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [26]), .Y (n_5844));
-  sky130_fd_sc_hd__a222oi_1 g436580(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [25]), .A2 (n_13493), .B1 (n_13492), .B2 (n_3015), .C1
-       (n_13494), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [25]), .Y (n_5841));
-  sky130_fd_sc_hd__a222oi_1 g436581(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [16]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3029), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [16]), .Y (n_5840));
-  sky130_fd_sc_hd__a222oi_1 g436582(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [15]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3017), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [15]), .Y (n_5838));
-  sky130_fd_sc_hd__a222oi_1 g436583(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [14]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3021), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [14]), .Y (n_5836));
-  sky130_fd_sc_hd__a222oi_1 g436584(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [13]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3119), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [13]), .Y (n_5834));
-  sky130_fd_sc_hd__a222oi_1 g436585(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [12]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3031), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [12]), .Y (n_5832));
-  sky130_fd_sc_hd__a222oi_1 g436586(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [11]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3019), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_5830));
-  sky130_fd_sc_hd__a222oi_1 g436587(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [10]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3025), .C1
-       (n_13493), .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [10]), .Y (n_5828));
-  sky130_fd_sc_hd__a222oi_1 g436588(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [9]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3121), .C1 (n_13493),
-       .C2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [9]), .Y (n_5826));
-  sky130_fd_sc_hd__inv_1 g437777(.A (n_5691), .Y (n_5692));
-  sky130_fd_sc_hd__clkinv_1 g437778(.A (n_5680), .Y (n_5679));
-  sky130_fd_sc_hd__clkinv_1 g437779(.A (n_5674), .Y (n_5673));
-  sky130_fd_sc_hd__inv_1 g437780(.A (n_5651), .Y (n_5650));
-  sky130_fd_sc_hd__inv_1 g437781(.A (n_5649), .Y (n_5648));
-  sky130_fd_sc_hd__clkinv_1 g437782(.A (n_5647), .Y (n_5646));
-  sky130_fd_sc_hd__inv_2 g437783(.A (n_5645), .Y (n_5644));
-  sky130_fd_sc_hd__inv_2 g437784(.A (n_5642), .Y (n_5641));
-  sky130_fd_sc_hd__nand2_1 g437785(.A (n_5400), .B (n_5240), .Y
-       (n_5640));
-  sky130_fd_sc_hd__o22ai_1 g437786(.A1 (n_1026), .A2 (n_3009), .B1
-       (n_1038), .B2 (n_2997), .Y (n_5639));
-  sky130_fd_sc_hd__o22ai_1 g437787(.A1 (n_1026), .A2 (n_2999), .B1
-       (n_1038), .B2 (n_3013), .Y (n_5638));
-  sky130_fd_sc_hd__o2bb2ai_1 g437788(.A1_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .A2_N (n_2296), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B2 (n_2296), .Y (n_5637));
-  sky130_fd_sc_hd__a22oi_1 g437789(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [16]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [16]), .Y (n_5636));
-  sky130_fd_sc_hd__a22oi_1 g437790(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [24]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [24]), .Y (n_5635));
-  sky130_fd_sc_hd__a22oi_1 g437791(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [8]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [8]), .Y (n_5634));
-  sky130_fd_sc_hd__nor2_1 g437792(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]), .B
-       (n_5416), .Y (n_5633));
-  sky130_fd_sc_hd__nor2_1 g437793(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]), .B
-       (n_5417), .Y (n_5632));
-  sky130_fd_sc_hd__nand2_1 g437794(.A (n_3098), .B (n_5419), .Y
-       (n_5631));
-  sky130_fd_sc_hd__nand2_1 g437795(.A (n_3077), .B (n_5445), .Y
-       (n_5630));
-  sky130_fd_sc_hd__nor2_1 g437796(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]), .B
-       (n_5403), .Y (n_5629));
-  sky130_fd_sc_hd__a22oi_1 g437797(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [23]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [23]), .Y (n_5628));
-  sky130_fd_sc_hd__a22oi_1 g437798(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [15]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [15]), .Y (n_5627));
-  sky130_fd_sc_hd__a22oi_1 g437799(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [7]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [7]), .Y (n_5626));
-  sky130_fd_sc_hd__a22oi_1 g437800(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [14]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [14]), .Y (n_5625));
-  sky130_fd_sc_hd__nor2_1 g437801(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]), .B
-       (n_5410), .Y (n_5624));
-  sky130_fd_sc_hd__nor2_1 g437802(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]), .B
-       (n_5414), .Y (n_5623));
-  sky130_fd_sc_hd__nor2_1 g437803(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]), .B
-       (n_5415), .Y (n_5622));
-  sky130_fd_sc_hd__nor2_1 g437804(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]), .B
-       (n_5413), .Y (n_5621));
-  sky130_fd_sc_hd__a22oi_1 g437805(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [22]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [22]), .Y (n_5620));
-  sky130_fd_sc_hd__a22oi_1 g437806(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [21]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [21]), .Y (n_5619));
-  sky130_fd_sc_hd__o2bb2ai_1 g437807(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .A2_N (n_3146), .B1
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B2 (n_3146), .Y (n_5618));
-  sky130_fd_sc_hd__nand2_1 g437808(.A (n_5393), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [2]), .Y (n_5617));
-  sky130_fd_sc_hd__a22oi_1 g437809(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [13]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [13]), .Y (n_5616));
-  sky130_fd_sc_hd__nand2_1 g437810(.A (n_5393), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [1]), .Y (n_5615));
-  sky130_fd_sc_hd__a22oi_1 g437811(.A1 (n_2985), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [12]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [12]), .Y (n_5614));
-  sky130_fd_sc_hd__a22oi_1 g437812(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [20]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [20]), .Y (n_5613));
-  sky130_fd_sc_hd__a22oi_1 g437813(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [28]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [28]), .Y (n_5612));
-  sky130_fd_sc_hd__a22oi_1 g437814(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [11]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [11]), .Y (n_5611));
-  sky130_fd_sc_hd__o21ai_1 g437815(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2 (n_3070), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]), .Y (n_5610));
-  sky130_fd_sc_hd__a22oi_1 g437816(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [19]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [19]), .Y (n_5609));
-  sky130_fd_sc_hd__a22oi_1 g437817(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [18]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [18]), .Y (n_5608));
-  sky130_fd_sc_hd__a22oi_1 g437818(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [10]), .B1 (n_2008), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
-       [10]), .Y (n_5607));
-  sky130_fd_sc_hd__a22oi_1 g437819(.A1 (n_2985), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [1]), .B1 (n_2987), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]), .Y (n_5606));
-  sky130_fd_sc_hd__a22oi_1 g437820(.A1 (n_2987), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [9]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [9]), .Y (n_5605));
-  sky130_fd_sc_hd__a22oi_1 g437821(.A1 (n_2985), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [17]), .B1 (n_2009), .B2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [17]), .Y (n_5604));
-  sky130_fd_sc_hd__a32oi_1 g437822(.A1 (n_2984), .A2 (n_13525), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B1 (n_1746), .B2
-       (\u_soc_lsu_to_xbar[a_address] [29]), .Y (n_5603));
-  sky130_fd_sc_hd__a32oi_1 g437823(.A1 (n_2984), .A2 (n_13524), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B1 (n_1745), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .Y (n_5602));
-  sky130_fd_sc_hd__a32oi_1 g437824(.A1 (n_2984), .A2 (n_13523), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .B1 (n_1745), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .Y (n_5601));
-  sky130_fd_sc_hd__a32oi_1 g437825(.A1 (n_2984), .A2 (n_13522), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .B1 (n_1746), .B2
-       (\u_soc_lsu_to_xbar[a_address] [26]), .Y (n_5600));
-  sky130_fd_sc_hd__a32oi_1 g437826(.A1 (n_2984), .A2 (n_13521), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B1 (n_1745), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .Y (n_5599));
-  sky130_fd_sc_hd__a32oi_1 g437827(.A1 (n_2984), .A2 (n_13520), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B1 (n_1746), .B2
-       (\u_soc_lsu_to_xbar[a_address] [24]), .Y (n_5598));
-  sky130_fd_sc_hd__a22oi_1 g437828(.A1 (n_2986), .A2
-       (\u_soc_lsu_to_xbar[a_address] [24]), .B1 (n_1746), .B2
-       (\u_soc_lsu_to_xbar[a_address] [23]), .Y (n_5597));
-  sky130_fd_sc_hd__nand2_1 g437829(.A (n_5088), .B (n_2932), .Y
-       (n_5596));
-  sky130_fd_sc_hd__a32oi_1 g437830(.A1 (n_2984), .A2 (n_13516), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .B1 (n_2986), .B2
-       (\u_soc_lsu_to_xbar[a_address] [21]), .Y (n_5595));
-  sky130_fd_sc_hd__a32oi_1 g437831(.A1 (n_2984), .A2 (n_13515), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B1 (n_2986), .B2
-       (\u_soc_lsu_to_xbar[a_address] [20]), .Y (n_5594));
-  sky130_fd_sc_hd__a32oi_1 g437832(.A1 (n_2984), .A2 (n_13514), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B1 (n_2986), .B2
-       (\u_soc_lsu_to_xbar[a_address] [19]), .Y (n_5593));
-  sky130_fd_sc_hd__a32oi_1 g437833(.A1 (n_2984), .A2 (n_13513), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .B1 (n_2986), .B2
-       (\u_soc_lsu_to_xbar[a_address] [18]), .Y (n_5592));
-  sky130_fd_sc_hd__a32oi_1 g437834(.A1 (n_2984), .A2 (n_13512), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .B1 (n_2986), .B2
-       (\u_soc_lsu_to_xbar[a_address] [17]), .Y (n_5591));
-  sky130_fd_sc_hd__a32oi_1 g437835(.A1 (n_2984), .A2 (n_13511), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B1 (n_2986), .B2
-       (\u_soc_lsu_to_xbar[a_address] [16]), .Y (n_5590));
-  sky130_fd_sc_hd__o41ai_1 g437836(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2 (n_19), .A3 (n_1834), .A4 (n_2159), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_5589));
-  sky130_fd_sc_hd__a22oi_1 g437837(.A1 (n_2986), .A2
-       (\u_soc_lsu_to_xbar[a_address] [15]), .B1 (n_1746), .B2
-       (\u_soc_lsu_to_xbar[a_address] [14]), .Y (n_5588));
-  sky130_fd_sc_hd__nor2_1 g437838(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_5144), .Y
-       (n_5587));
-  sky130_fd_sc_hd__a22oi_1 g437839(.A1 (n_2986), .A2
-       (\u_soc_lsu_to_xbar[a_address] [14]), .B1 (n_1746), .B2
-       (\u_soc_xbar_to_dccm[a_address] [13]), .Y (n_5586));
-  sky130_fd_sc_hd__nor2_1 g437840(.A (n_2295), .B (n_5398), .Y
-       (n_5585));
-  sky130_fd_sc_hd__o2bb2ai_1 g437841(.A1_N
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .A2_N (n_2299), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B2 (n_2299), .Y (n_5584));
-  sky130_fd_sc_hd__a22oi_1 g437842(.A1 (n_2986), .A2
-       (\u_soc_xbar_to_dccm[a_address] [3]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .Y (n_5583));
-  sky130_fd_sc_hd__a22oi_1 g437843(.A1 (n_2986), .A2 (n_16012), .B1
-       (n_1746), .B2 (n_13576), .Y (n_5582));
-  sky130_fd_sc_hd__a22o_1 g437844(.A1 (n_2996), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [7]), .X (n_5581));
-  sky130_fd_sc_hd__a22oi_1 g437845(.A1 (n_13675), .A2 (n_3074), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .Y
-       (n_5580));
-  sky130_fd_sc_hd__a22oi_1 g437846(.A1 (n_13674), .A2 (n_3074), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .Y
-       (n_5579));
-  sky130_fd_sc_hd__a22oi_1 g437847(.A1 (n_13673), .A2 (n_3074), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]), .Y
-       (n_5578));
-  sky130_fd_sc_hd__a22oi_1 g437848(.A1 (n_13672), .A2 (n_3074), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]), .Y
-       (n_5577));
-  sky130_fd_sc_hd__a22o_1 g437849(.A1 (n_2993), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [5]), .X (n_5576));
-  sky130_fd_sc_hd__a22o_1 g437850(.A1 (n_2995), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [6]), .X (n_5575));
-  sky130_fd_sc_hd__o41ai_1 g437851(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A3 (n_1665), .A4 (n_2159), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .Y (n_5574));
-  sky130_fd_sc_hd__o41ai_1 g437852(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A3 (n_1836), .A4 (n_2158), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .Y (n_5573));
-  sky130_fd_sc_hd__nor3_1 g437853(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_2622), .C
-       (n_5468), .Y (n_5572));
-  sky130_fd_sc_hd__nand3_1 g437854(.A (n_5118), .B (n_2934), .C
-       (n_1978), .Y (n_5571));
-  sky130_fd_sc_hd__o21ai_0 g437855(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .A2 (n_13501), .B1
-       (n_5363), .Y (n_5570));
-  sky130_fd_sc_hd__a21oi_1 g437856(.A1 (n_3109), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_1057), .Y (n_5569));
-  sky130_fd_sc_hd__o21ai_0 g437857(.A1 (n_13503), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B1 (n_5363), .Y
-       (n_5568));
-  sky130_fd_sc_hd__o21ai_0 g437858(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .A2 (n_13504), .B1
-       (n_5363), .Y (n_5567));
-  sky130_fd_sc_hd__o21ai_0 g437859(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2 (n_13505), .B1
-       (n_5363), .Y (n_5566));
-  sky130_fd_sc_hd__o21ai_0 g437860(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .A2 (n_13506), .B1
-       (n_5363), .Y (n_5565));
-  sky130_fd_sc_hd__o21ai_0 g437861(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .A2 (n_13507), .B1
-       (n_5363), .Y (n_5564));
-  sky130_fd_sc_hd__o21ai_0 g437862(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .A2 (n_13508), .B1
-       (n_5363), .Y (n_5563));
-  sky130_fd_sc_hd__nor2_1 g437863(.A (n_1172), .B (n_5364), .Y
-       (n_5562));
-  sky130_fd_sc_hd__o21ai_1 g437864(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .A2 (n_13517), .B1
-       (n_5363), .Y (n_5561));
-  sky130_fd_sc_hd__o21ai_1 g437865(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .A2 (n_13518), .B1
-       (n_5363), .Y (n_5560));
-  sky130_fd_sc_hd__o21ai_0 g437866(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .A2 (n_13519), .B1
-       (n_5363), .Y (n_5559));
-  sky130_fd_sc_hd__nand3_1 g437867(.A (n_5090), .B (n_2928), .C
-       (n_1980), .Y (n_5558));
-  sky130_fd_sc_hd__nand2_1 g437868(.A (n_5400), .B (n_5257), .Y
-       (n_5557));
-  sky130_fd_sc_hd__nand2_1 g437869(.A (n_5400), .B (n_5256), .Y
-       (n_5556));
-  sky130_fd_sc_hd__nand2_1 g437870(.A (n_5400), .B (n_5255), .Y
-       (n_5555));
-  sky130_fd_sc_hd__xor2_1 g437871(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B (n_3041), .X (n_5554));
-  sky130_fd_sc_hd__nand3_1 g437872(.A (n_5097), .B (n_2922), .C
-       (n_1977), .Y (n_5553));
-  sky130_fd_sc_hd__nor2_1 g437873(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_2945), .Y
-       (n_5552));
-  sky130_fd_sc_hd__nand3_1 g437874(.A (n_5098), .B (n_2943), .C
-       (n_1965), .Y (n_5551));
-  sky130_fd_sc_hd__nand2_1 g437875(.A (n_5091), .B (n_2660), .Y
-       (n_5550));
-  sky130_fd_sc_hd__nand3_1 g437876(.A (n_5094), .B (n_1945), .C
-       (n_1961), .Y (n_5549));
-  sky130_fd_sc_hd__nor3_1 g437877(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_2662), .C
-       (n_2896), .Y (n_5548));
-  sky130_fd_sc_hd__nand3_1 g437878(.A (n_2379), .B (n_2918), .C
-       (n_1976), .Y (n_5547));
-  sky130_fd_sc_hd__a21oi_1 g437879(.A1 (n_3097), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [1]), .Y (n_5546));
-  sky130_fd_sc_hd__a22o_1 g437880(.A1 (n_2988), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [3]), .X (n_5545));
-  sky130_fd_sc_hd__a21o_1 g437881(.A1 (n_3098), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_5418), .X (n_5544));
-  sky130_fd_sc_hd__o21ai_1 g437882(.A1 (n_3098), .A2 (n_1915), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_5543));
-  sky130_fd_sc_hd__a21oi_1 g437883(.A1 (n_2298), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B1
-       (n_5408), .Y (n_5542));
-  sky130_fd_sc_hd__a21oi_1 g437884(.A1 (n_3107), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_1084), .Y (n_5541));
-  sky130_fd_sc_hd__a22oi_1 g437885(.A1 (n_13671), .A2 (n_3074), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]), .Y
-       (n_5540));
-  sky130_fd_sc_hd__a21oi_1 g437886(.A1 (n_30), .A2 (n_112), .B1
-       (n_5364), .Y (n_5539));
-  sky130_fd_sc_hd__o21ai_1 g437887(.A1 (n_13496), .A2 (n_15943), .B1
-       (n_5363), .Y (n_5538));
-  sky130_fd_sc_hd__nand4b_1 g437888(.A_N (n_2544), .B (n_1561), .C
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .D (n_98), .Y (n_5537));
-  sky130_fd_sc_hd__a22oi_1 g437889(.A1 (n_13670), .A2 (n_3074), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]), .Y
-       (n_5536));
-  sky130_fd_sc_hd__o21ai_1 g437890(.A1 (n_13497), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_5363), .Y
-       (n_5535));
-  sky130_fd_sc_hd__a22o_1 g437891(.A1 (n_2990), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [4]), .X (n_5534));
-  sky130_fd_sc_hd__a22o_1 g437892(.A1 (n_3012), .A2 (n_1027), .B1
-       (n_1024), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
-       [2]), .X (n_5533));
-  sky130_fd_sc_hd__o21ai_1 g437893(.A1 (n_636), .A2 (n_3097), .B1
-       (n_1914), .Y (n_5532));
-  sky130_fd_sc_hd__a22oi_1 g437894(.A1 (n_3074), .A2 (n_13669), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]), .Y
-       (n_5531));
-  sky130_fd_sc_hd__o21ai_0 g437895(.A1 (n_13495), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B1 (n_5363), .Y
-       (n_5530));
-  sky130_fd_sc_hd__a21oi_1 g437896(.A1 (n_2921), .A2 (n_1032), .B1
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .Y (n_5529));
-  sky130_fd_sc_hd__a22oi_1 g437897(.A1 (n_3074), .A2 (n_13668), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]), .Y
-       (n_5528));
-  sky130_fd_sc_hd__o211ai_1 g437898(.A1 (n_98), .A2 (n_2291), .B1
-       (n_1085), .C1 (n_2942), .Y (n_5527));
-  sky130_fd_sc_hd__a22oi_1 g437899(.A1 (n_3074), .A2 (n_13667), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]), .Y
-       (n_5526));
-  sky130_fd_sc_hd__a21boi_1 g437900(.A1 (n_3148), .A2 (n_188), .B1_N
-       (n_5407), .Y (n_5525));
-  sky130_fd_sc_hd__o22ai_1 g437901(.A1
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .A2 (n_2957), .B1
-       (n_143), .B2 (n_1689), .Y (n_5524));
-  sky130_fd_sc_hd__a22oi_1 g437902(.A1 (n_3075), .A2 (n_13709), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]), .Y
-       (n_5523));
-  sky130_fd_sc_hd__a22oi_1 g437903(.A1 (n_3075), .A2 (n_13710), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]), .Y
-       (n_5522));
-  sky130_fd_sc_hd__a22oi_1 g437904(.A1 (n_3075), .A2 (n_13711), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]), .Y
-       (n_5521));
-  sky130_fd_sc_hd__a22oi_1 g437905(.A1 (n_3075), .A2 (n_13712), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]), .Y
-       (n_5520));
-  sky130_fd_sc_hd__a22oi_1 g437906(.A1 (n_3075), .A2 (n_13713), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]), .Y
-       (n_5519));
-  sky130_fd_sc_hd__a22oi_1 g437907(.A1 (n_3075), .A2 (n_13714), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]), .Y
-       (n_5518));
-  sky130_fd_sc_hd__a22oi_1 g437908(.A1 (n_3075), .A2 (n_13715), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]), .Y
-       (n_5517));
-  sky130_fd_sc_hd__a22oi_1 g437909(.A1 (n_3075), .A2 (n_13716), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]), .Y
-       (n_5516));
-  sky130_fd_sc_hd__a22oi_1 g437910(.A1 (n_3075), .A2 (n_13717), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]), .Y
-       (n_5515));
-  sky130_fd_sc_hd__a22oi_1 g437911(.A1 (n_3075), .A2 (n_13718), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]), .Y
-       (n_5514));
-  sky130_fd_sc_hd__a22oi_1 g437912(.A1 (n_3075), .A2 (n_13719), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]), .Y
-       (n_5513));
-  sky130_fd_sc_hd__a22oi_1 g437913(.A1 (n_3075), .A2 (n_13721), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]), .Y
-       (n_5512));
-  sky130_fd_sc_hd__a22oi_1 g437914(.A1 (n_3075), .A2 (n_13720), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]), .Y
-       (n_5511));
-  sky130_fd_sc_hd__a22oi_1 g437915(.A1 (n_3075), .A2 (n_13722), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]), .Y
-       (n_5510));
-  sky130_fd_sc_hd__a22oi_1 g437916(.A1 (n_3075), .A2 (n_13723), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]), .Y
-       (n_5509));
-  sky130_fd_sc_hd__a22oi_1 g437917(.A1 (n_3075), .A2 (n_13724), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]), .Y
-       (n_5508));
-  sky130_fd_sc_hd__a22oi_1 g437918(.A1 (n_3075), .A2 (n_13725), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]), .Y
-       (n_5507));
-  sky130_fd_sc_hd__a22oi_1 g437919(.A1 (n_3075), .A2 (n_13726), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]), .Y
-       (n_5506));
-  sky130_fd_sc_hd__a22oi_1 g437920(.A1 (n_3075), .A2 (n_13727), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]), .Y
-       (n_5505));
-  sky130_fd_sc_hd__a22oi_1 g437921(.A1 (n_3075), .A2 (n_13728), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]), .Y
-       (n_5504));
-  sky130_fd_sc_hd__a22oi_1 g437922(.A1 (n_3075), .A2 (n_13729), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]), .Y
-       (n_5503));
-  sky130_fd_sc_hd__a22oi_1 g437923(.A1 (n_3075), .A2 (n_13730), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]), .Y
-       (n_5502));
-  sky130_fd_sc_hd__a22oi_1 g437924(.A1 (n_3075), .A2 (n_13731), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]), .Y
-       (n_5501));
-  sky130_fd_sc_hd__a22oi_1 g437925(.A1 (n_3075), .A2 (n_13732), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]), .Y
-       (n_5500));
-  sky130_fd_sc_hd__a22oi_1 g437926(.A1 (n_3075), .A2 (n_13733), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]), .Y
-       (n_5499));
-  sky130_fd_sc_hd__a22oi_1 g437927(.A1 (n_3075), .A2 (n_13734), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]), .Y
-       (n_5498));
-  sky130_fd_sc_hd__a22oi_1 g437928(.A1 (n_3075), .A2 (n_13735), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]), .Y
-       (n_5497));
-  sky130_fd_sc_hd__a22oi_1 g437929(.A1 (n_3075), .A2 (n_13736), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]), .Y
-       (n_5496));
-  sky130_fd_sc_hd__a22oi_1 g437930(.A1 (n_3075), .A2 (n_13737), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]), .Y
-       (n_5495));
-  sky130_fd_sc_hd__a22oi_1 g437931(.A1 (n_3075), .A2 (n_13738), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]), .Y
-       (n_5494));
-  sky130_fd_sc_hd__a22oi_1 g437932(.A1 (n_3075), .A2 (n_13739), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .Y
-       (n_5493));
-  sky130_fd_sc_hd__a22oi_1 g437933(.A1 (n_3075), .A2 (n_13740), .B1
-       (n_2675), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .Y
-       (n_5492));
-  sky130_fd_sc_hd__a22oi_1 g437934(.A1 (n_3074), .A2 (n_13644), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]), .Y
-       (n_5491));
-  sky130_fd_sc_hd__a22oi_1 g437935(.A1 (n_3074), .A2 (n_13645), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]), .Y
-       (n_5490));
-  sky130_fd_sc_hd__a22oi_1 g437936(.A1 (n_3074), .A2 (n_13646), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]), .Y
-       (n_5489));
-  sky130_fd_sc_hd__a22oi_1 g437937(.A1 (n_3074), .A2 (n_13647), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]), .Y
-       (n_5488));
-  sky130_fd_sc_hd__a22oi_1 g437938(.A1 (n_3074), .A2 (n_13648), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]), .Y
-       (n_5487));
-  sky130_fd_sc_hd__a22oi_1 g437939(.A1 (n_3074), .A2 (n_13649), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]), .Y
-       (n_5486));
-  sky130_fd_sc_hd__a22oi_1 g437940(.A1 (n_3074), .A2 (n_13650), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]), .Y
-       (n_5485));
-  sky130_fd_sc_hd__a22oi_1 g437941(.A1 (n_3074), .A2 (n_13651), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]), .Y
-       (n_5484));
-  sky130_fd_sc_hd__a22oi_1 g437942(.A1 (n_3074), .A2 (n_13652), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]), .Y
-       (n_5483));
-  sky130_fd_sc_hd__a22oi_1 g437943(.A1 (n_3074), .A2 (n_13653), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]), .Y
-       (n_5482));
-  sky130_fd_sc_hd__a22oi_1 g437944(.A1 (n_3074), .A2 (n_13654), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]), .Y
-       (n_5481));
-  sky130_fd_sc_hd__a22oi_1 g437945(.A1 (n_3074), .A2 (n_13656), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]), .Y
-       (n_5480));
-  sky130_fd_sc_hd__a22oi_1 g437946(.A1 (n_3074), .A2 (n_13655), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]), .Y
-       (n_5479));
-  sky130_fd_sc_hd__a22oi_1 g437947(.A1 (n_3074), .A2 (n_13657), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]), .Y
-       (n_5478));
-  sky130_fd_sc_hd__a22oi_1 g437948(.A1 (n_3074), .A2 (n_13658), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]), .Y
-       (n_5477));
-  sky130_fd_sc_hd__a22oi_1 g437949(.A1 (n_3074), .A2 (n_13659), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]), .Y
-       (n_5476));
-  sky130_fd_sc_hd__a22oi_1 g437950(.A1 (n_3074), .A2 (n_13660), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]), .Y
-       (n_5475));
-  sky130_fd_sc_hd__a22oi_1 g437951(.A1 (n_3074), .A2 (n_13661), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]), .Y
-       (n_5474));
-  sky130_fd_sc_hd__a22oi_1 g437952(.A1 (n_3074), .A2 (n_13662), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]), .Y
-       (n_5473));
-  sky130_fd_sc_hd__a22oi_1 g437953(.A1 (n_3074), .A2 (n_13663), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]), .Y
-       (n_5472));
-  sky130_fd_sc_hd__a22oi_1 g437954(.A1 (n_3074), .A2 (n_13664), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]), .Y
-       (n_5471));
-  sky130_fd_sc_hd__a22oi_1 g437955(.A1 (n_3074), .A2 (n_13665), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]), .Y
-       (n_5470));
-  sky130_fd_sc_hd__a22oi_1 g437956(.A1 (n_3074), .A2 (n_13666), .B1
-       (n_2677), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]), .Y
-       (n_5469));
-  sky130_fd_sc_hd__nand2_1 g438739(.A (n_5412), .B (n_15946), .Y
-       (n_5713));
-  sky130_fd_sc_hd__nand2_1 g438741(.A (n_5443), .B (n_2001), .Y
-       (n_5712));
-  sky130_fd_sc_hd__nand2_1 g438743(.A (n_5446), .B (n_2001), .Y
-       (n_5711));
-  sky130_fd_sc_hd__nand2b_1 g438744(.A_N (n_13376), .B (n_5422), .Y
-       (n_5710));
-  sky130_fd_sc_hd__nor2_1 g438752(.A (n_13449), .B (n_2886), .Y
-       (n_5709));
-  sky130_fd_sc_hd__nand2_1 g438767(.A (n_5438), .B (n_2001), .Y
-       (n_5708));
-  sky130_fd_sc_hd__nand2_1 g438783(.A (n_5391), .B (n_589), .Y
-       (n_5707));
-  sky130_fd_sc_hd__nand2_1 g438791(.A (n_2302), .B (n_5392), .Y
-       (n_5706));
-  sky130_fd_sc_hd__nor2b_1 g438808(.A (n_16011), .B_N (n_5466), .Y
-       (n_5705));
-  sky130_fd_sc_hd__nor3_1 g438851(.A (n_13885), .B (n_13488), .C
-       (n_3042), .Y (n_5704));
-  sky130_fd_sc_hd__nor3_1 g438856(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_16), .C
-       (n_3143), .Y (n_5703));
-  sky130_fd_sc_hd__or3_1 g438857(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_556), .C
-       (n_3088), .X (n_5702));
-  sky130_fd_sc_hd__a31oi_1 g438859(.A1 (n_1401), .A2 (n_1094), .A3
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]), .B1 (n_5149), .Y (n_5701));
-  sky130_fd_sc_hd__o22ai_1 g438916(.A1 (n_1056), .A2 (n_3143), .B1
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B2 (n_2944), .Y
-       (n_5700));
-  sky130_fd_sc_hd__nor3_1 g438920(.A (n_16), .B
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .C (n_3147), .Y
-       (n_5699));
-  sky130_fd_sc_hd__nand2_1 g438921(.A (n_5392), .B (n_1264), .Y
-       (n_5697));
-  sky130_fd_sc_hd__nand2_1 g438922(.A (n_2896), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .Y (n_5696));
-  sky130_fd_sc_hd__nor2_1 g438923(.A (n_471), .B (n_5394), .Y (n_5695));
-  sky130_fd_sc_hd__nor2_1 g438924(.A (n_5394), .B (n_5381), .Y
-       (n_5694));
-  sky130_fd_sc_hd__nand2_1 g438925(.A (n_5391), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .Y (n_5693));
-  sky130_fd_sc_hd__nand2_1 g438926(.A (n_5391), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .Y (n_5691));
-  sky130_fd_sc_hd__nand2_1 g438928(.A (n_5405), .B (io_out[37]), .Y
-       (n_5690));
-  sky130_fd_sc_hd__nand2_1 g438929(.A (n_5382), .B (n_5405), .Y
-       (n_5689));
-  sky130_fd_sc_hd__nor2_1 g438930(.A (n_1231), .B (n_5394), .Y
-       (n_5688));
-  sky130_fd_sc_hd__a21oi_1 g438931(.A1 (n_1632), .A2 (n_1701), .B1
-       (n_5399), .Y (n_5687));
-  sky130_fd_sc_hd__a21oi_1 g438932(.A1 (n_1682), .A2 (n_1728), .B1
-       (n_5399), .Y (n_5686));
-  sky130_fd_sc_hd__nor2_1 g438933(.A (n_1226), .B (n_5394), .Y
-       (n_5685));
-  sky130_fd_sc_hd__a21oi_1 g438934(.A1 (n_1684), .A2 (n_1698), .B1
-       (n_5404), .Y (n_5684));
-  sky130_fd_sc_hd__nor2_1 g438935(.A (n_1229), .B (n_5394), .Y
-       (n_5683));
-  sky130_fd_sc_hd__nor2_1 g438936(.A (n_1225), .B (n_5394), .Y
-       (n_5682));
-  sky130_fd_sc_hd__nand2_1 g438937(.A (n_5378), .B (n_13384), .Y
-       (n_5681));
-  sky130_fd_sc_hd__nand2_1 g438938(.A (n_5396), .B (n_533), .Y
-       (n_5680));
-  sky130_fd_sc_hd__nor2_1 g438939(.A (n_471), .B (n_5399), .Y (n_5678));
-  sky130_fd_sc_hd__nor2_1 g438940(.A (n_5399), .B (n_5381), .Y
-       (n_5677));
-  sky130_fd_sc_hd__nand2_1 g438941(.A (n_5380), .B (n_13384), .Y
-       (n_5676));
-  sky130_fd_sc_hd__nand2_1 g438942(.A (n_5380), .B (n_13385), .Y
-       (n_5675));
-  sky130_fd_sc_hd__nand2_1 g438943(.A (n_5396), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .Y (n_5674));
-  sky130_fd_sc_hd__nand2_1 g438944(.A (n_5378), .B (n_13385), .Y
-       (n_5672));
-  sky130_fd_sc_hd__nand2_1 g438945(.A (n_5468), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .Y (n_5671));
-  sky130_fd_sc_hd__a21o_1 g438946(.A1 (n_3107), .A2 (io_out[37]), .B1
-       (n_1076), .X (n_5670));
-  sky130_fd_sc_hd__nand2_1 g438947(.A (n_5139), .B (n_1084), .Y
-       (n_5669));
-  sky130_fd_sc_hd__nand2_1 g438948(.A (n_5140), .B (n_1058), .Y
-       (n_5668));
-  sky130_fd_sc_hd__nor2_1 g438949(.A (n_1231), .B (n_5397), .Y
-       (n_5667));
-  sky130_fd_sc_hd__nor2_1 g438950(.A (n_1229), .B (n_5397), .Y
-       (n_5666));
-  sky130_fd_sc_hd__nor2_1 g438951(.A (n_1225), .B (n_5397), .Y
-       (n_5665));
-  sky130_fd_sc_hd__nor2_1 g438952(.A (n_1226), .B (n_5397), .Y
-       (n_5664));
-  sky130_fd_sc_hd__nor2_1 g438955(.A (n_5395), .B (n_1755), .Y
-       (n_5663));
-  sky130_fd_sc_hd__nor2_1 g438956(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5415), .Y
-       (n_5662));
-  sky130_fd_sc_hd__nor2_1 g438957(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_15919), .Y
-       (n_5661));
-  sky130_fd_sc_hd__nor2_1 g438958(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5410), .Y
-       (n_5660));
-  sky130_fd_sc_hd__nor2_1 g438959(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5417), .Y
-       (n_5659));
-  sky130_fd_sc_hd__nor2_1 g438960(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5416), .Y
-       (n_5658));
-  sky130_fd_sc_hd__nor2b_1 g438961(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B_N (n_5406), .Y
-       (n_5657));
-  sky130_fd_sc_hd__nor2_1 g438962(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5414), .Y
-       (n_5656));
-  sky130_fd_sc_hd__nor2_1 g438963(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5403), .Y
-       (n_5655));
-  sky130_fd_sc_hd__nor2_1 g438964(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5413), .Y
-       (n_5654));
-  sky130_fd_sc_hd__nor2_1 g438965(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5406), .Y
-       (n_5653));
-  sky130_fd_sc_hd__or2_2 g438966(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_5401), .X
-       (n_5652));
-  sky130_fd_sc_hd__nor2_1 g438967(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_5357), .Y
-       (n_5651));
-  sky130_fd_sc_hd__nor2_1 g438968(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_5361), .Y
-       (n_5649));
-  sky130_fd_sc_hd__nor2_1 g438969(.A (n_16), .B (n_5357), .Y (n_5647));
-  sky130_fd_sc_hd__a21oi_1 g438970(.A1 (n_3109), .A2 (io_out[37]), .B1
-       (n_1097), .Y (n_5645));
-  sky130_fd_sc_hd__or3b_2 g438971(.A (n_1693), .B (n_3124), .C_N
-       (n_1086), .X (n_5643));
-  sky130_fd_sc_hd__nand2_1 g438972(.A (n_5146), .B (n_1057), .Y
-       (n_5642));
-  sky130_fd_sc_hd__clkinv_1 g438973(.A (n_2890), .Y (n_5468));
-  sky130_fd_sc_hd__inv_1 g438974(.A (n_5425), .Y (n_5426));
-  sky130_fd_sc_hd__inv_2 g438975(.A (n_5404), .Y (n_5405));
-  sky130_fd_sc_hd__inv_1 g438976(.A (n_15919), .Y (n_5403));
-  sky130_fd_sc_hd__inv_1 g438977(.A (n_5396), .Y (n_5395));
-  sky130_fd_sc_hd__inv_1 g438978(.A (n_5393), .Y (n_5392));
-  sky130_fd_sc_hd__inv_2 g438979(.A (n_5388), .Y (n_5387));
-  sky130_fd_sc_hd__inv_2 g438980(.A (n_5386), .Y (n_5385));
-  sky130_fd_sc_hd__inv_2 g438981(.A (n_5384), .Y (n_5383));
-  sky130_fd_sc_hd__inv_1 g438982(.A (n_5382), .Y (n_5381));
-  sky130_fd_sc_hd__inv_2 g438983(.A (n_5380), .Y (n_5379));
-  sky130_fd_sc_hd__inv_2 g438984(.A (n_5378), .Y (n_5377));
-  sky130_fd_sc_hd__inv_1 g438985(.A (n_5376), .Y (n_5375));
-  sky130_fd_sc_hd__clkinv_1 g438986(.A (n_5374), .Y (n_5373));
-  sky130_fd_sc_hd__inv_2 g438988(.A (n_5364), .Y (n_5363));
-  sky130_fd_sc_hd__inv_2 g438989(.A (n_5362), .Y (n_5361));
-  sky130_fd_sc_hd__inv_2 g438991(.A (n_5358), .Y (n_5357));
-  sky130_fd_sc_hd__o2bb2ai_1 g438992(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2052), .Y (n_5325));
-  sky130_fd_sc_hd__o2bb2ai_1 g438993(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]), .A2_N
-       (n_2828), .B1 (n_2081), .B2 (n_1994), .Y (n_5324));
-  sky130_fd_sc_hd__o2bb2ai_1 g438994(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]), .A2_N
-       (n_2828), .B1 (n_2016), .B2 (n_1994), .Y (n_5323));
-  sky130_fd_sc_hd__o2bb2ai_1 g438995(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]), .A2_N
-       (n_2828), .B1 (n_2107), .B2 (n_1994), .Y (n_5322));
-  sky130_fd_sc_hd__o2bb2ai_1 g438996(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]), .A2_N
-       (n_2828), .B1 (n_2108), .B2 (n_1994), .Y (n_5321));
-  sky130_fd_sc_hd__o2bb2ai_1 g438997(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]), .A2_N
-       (n_2828), .B1 (n_2112), .B2 (n_1994), .Y (n_5320));
-  sky130_fd_sc_hd__o2bb2ai_1 g438998(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]), .A2_N
-       (n_2828), .B1 (n_2106), .B2 (n_1994), .Y (n_5319));
-  sky130_fd_sc_hd__o2bb2ai_1 g438999(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]), .A2_N
-       (n_2426), .B1 (n_2134), .B2 (n_2217), .Y (n_5318));
-  sky130_fd_sc_hd__o2bb2ai_1 g439000(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]), .A2_N
-       (n_2426), .B1 (n_2081), .B2 (n_2217), .Y (n_5317));
-  sky130_fd_sc_hd__o2bb2ai_1 g439001(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]), .A2_N
-       (n_2426), .B1 (n_2016), .B2 (n_2217), .Y (n_5316));
-  sky130_fd_sc_hd__o2bb2ai_1 g439002(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]), .A2_N
-       (n_2426), .B1 (n_2102), .B2 (n_2217), .Y (n_5315));
-  sky130_fd_sc_hd__o2bb2ai_1 g439003(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2059), .Y (n_5314));
-  sky130_fd_sc_hd__o2bb2ai_1 g439004(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]), .A2_N
-       (n_2426), .B1 (n_2107), .B2 (n_2217), .Y (n_5313));
-  sky130_fd_sc_hd__o2bb2ai_1 g439005(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]), .A2_N
-       (n_2426), .B1 (n_2108), .B2 (n_2217), .Y (n_5312));
-  sky130_fd_sc_hd__o2bb2ai_1 g439006(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]), .A2_N
-       (n_2426), .B1 (n_2112), .B2 (n_2217), .Y (n_5311));
-  sky130_fd_sc_hd__o2bb2ai_1 g439007(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]), .A2_N
-       (n_2426), .B1 (n_2106), .B2 (n_2217), .Y (n_5310));
-  sky130_fd_sc_hd__o2bb2ai_1 g439008(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]), .A2_N
-       (n_2863), .B1 (n_2081), .B2 (n_2230), .Y (n_5309));
-  sky130_fd_sc_hd__o2bb2ai_1 g439009(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]), .A2_N
-       (n_2863), .B1 (n_2016), .B2 (n_2230), .Y (n_5308));
-  sky130_fd_sc_hd__o2bb2ai_1 g439010(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]), .A2_N
-       (n_2863), .B1 (n_2102), .B2 (n_2230), .Y (n_5307));
-  sky130_fd_sc_hd__a22oi_1 g439011(.A1 (n_2009), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [4]), .B1 (n_2534), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]), .Y (n_5306));
-  sky130_fd_sc_hd__o2bb2ai_1 g439012(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]), .A2_N
-       (n_2863), .B1 (n_2107), .B2 (n_2230), .Y (n_5305));
-  sky130_fd_sc_hd__o2bb2ai_1 g439013(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]), .A2_N
-       (n_2863), .B1 (n_2108), .B2 (n_2230), .Y (n_5304));
-  sky130_fd_sc_hd__o2bb2ai_1 g439014(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]), .A2_N
-       (n_2863), .B1 (n_2112), .B2 (n_2230), .Y (n_5303));
-  sky130_fd_sc_hd__o2bb2ai_1 g439015(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2073), .Y (n_5302));
-  sky130_fd_sc_hd__o2bb2ai_1 g439016(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]), .A2_N
-       (n_2863), .B1 (n_2106), .B2 (n_2230), .Y (n_5301));
-  sky130_fd_sc_hd__o2bb2ai_1 g439017(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2091), .Y (n_5300));
-  sky130_fd_sc_hd__o2bb2ai_1 g439018(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2095), .Y (n_5299));
-  sky130_fd_sc_hd__o2bb2ai_1 g439019(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2070), .Y (n_5298));
-  sky130_fd_sc_hd__o2bb2ai_1 g439020(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2071), .Y (n_5297));
-  sky130_fd_sc_hd__o2bb2ai_1 g439021(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2035), .Y (n_5296));
-  sky130_fd_sc_hd__o2bb2ai_1 g439022(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2017), .Y (n_5295));
-  sky130_fd_sc_hd__o2bb2ai_1 g439023(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2130), .Y (n_5294));
-  sky130_fd_sc_hd__o2bb2ai_1 g439024(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2075), .Y (n_5293));
-  sky130_fd_sc_hd__o2bb2ai_1 g439025(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2091), .Y (n_5292));
-  sky130_fd_sc_hd__o2bb2ai_1 g439026(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2095), .Y (n_5291));
-  sky130_fd_sc_hd__o2bb2ai_1 g439027(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2070), .Y (n_5290));
-  sky130_fd_sc_hd__o2bb2ai_1 g439028(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2071), .Y (n_5289));
-  sky130_fd_sc_hd__o2bb2ai_1 g439029(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2035), .Y (n_5288));
-  sky130_fd_sc_hd__o2bb2ai_1 g439030(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2017), .Y (n_5287));
-  sky130_fd_sc_hd__o2bb2ai_1 g439031(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2037), .Y (n_5286));
-  sky130_fd_sc_hd__o2bb2ai_1 g439032(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]), .A2_N
-       (n_2827), .B1 (n_1994), .B2 (n_2130), .Y (n_5285));
-  sky130_fd_sc_hd__o2bb2ai_1 g439033(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2091), .Y (n_5284));
-  sky130_fd_sc_hd__o2bb2ai_1 g439034(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2095), .Y (n_5283));
-  sky130_fd_sc_hd__o2bb2ai_1 g439035(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2070), .Y (n_5282));
-  sky130_fd_sc_hd__o2bb2ai_1 g439036(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2071), .Y (n_5281));
-  sky130_fd_sc_hd__o2bb2ai_1 g439037(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2035), .Y (n_5280));
-  sky130_fd_sc_hd__o2bb2ai_1 g439038(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2017), .Y (n_5279));
-  sky130_fd_sc_hd__o2bb2ai_1 g439039(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2037), .Y (n_5278));
-  sky130_fd_sc_hd__a22oi_1 g439040(.A1 (n_2009), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [3]), .B1 (n_2534), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]), .Y (n_5277));
-  sky130_fd_sc_hd__o2bb2ai_1 g439041(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]), .A2_N
-       (n_2849), .B1 (n_2024), .B2 (n_2223), .Y (n_5276));
-  sky130_fd_sc_hd__o2bb2ai_1 g439042(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]), .A2_N
-       (n_2428), .B1 (n_2217), .B2 (n_2130), .Y (n_5275));
-  sky130_fd_sc_hd__nand2_1 g439043(.A (n_2982), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [9]), .Y (n_5274));
-  sky130_fd_sc_hd__nand2_1 g439044(.A (n_2987), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [17]), .Y (n_5273));
-  sky130_fd_sc_hd__nand2_1 g439045(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [9]), .Y (n_5272));
-  sky130_fd_sc_hd__nand2_1 g439046(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [10]), .Y (n_5271));
-  sky130_fd_sc_hd__nand2_1 g439047(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [18]), .Y (n_5270));
-  sky130_fd_sc_hd__nand2_1 g439048(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [26]), .Y (n_5269));
-  sky130_fd_sc_hd__nand2_1 g439049(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [19]), .Y (n_5268));
-  sky130_fd_sc_hd__nand2_1 g439050(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [11]), .Y (n_5267));
-  sky130_fd_sc_hd__nand2_1 g439051(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [27]), .Y (n_5266));
-  sky130_fd_sc_hd__nand2_1 g439052(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [28]), .Y (n_5265));
-  sky130_fd_sc_hd__nand2_1 g439053(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [20]), .Y (n_5264));
-  sky130_fd_sc_hd__nand2_1 g439054(.A (n_2987), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [12]), .Y (n_5263));
-  sky130_fd_sc_hd__nand2_1 g439055(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [25]), .Y (n_5262));
-  sky130_fd_sc_hd__nand2_1 g439056(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [13]), .Y (n_5261));
-  sky130_fd_sc_hd__nand2_1 g439057(.A (n_3110), .B (n_1083), .Y
-       (n_5260));
-  sky130_fd_sc_hd__nand2_1 g439058(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [21]), .Y (n_5259));
-  sky130_fd_sc_hd__nand2_1 g439059(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [29]), .Y (n_5258));
-  sky130_fd_sc_hd__nand2_1 g439060(.A (n_3003), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_5257));
-  sky130_fd_sc_hd__nand2_1 g439061(.A (n_3003), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [3]), .Y (n_5256));
-  sky130_fd_sc_hd__nand2_1 g439062(.A (n_3003), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [4]), .Y (n_5255));
-  sky130_fd_sc_hd__nand2_1 g439063(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [14]), .Y (n_5254));
-  sky130_fd_sc_hd__nand2_1 g439064(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [30]), .Y (n_5253));
-  sky130_fd_sc_hd__nand2_1 g439065(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [7]), .Y (n_5252));
-  sky130_fd_sc_hd__nand2_1 g439066(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [15]), .Y (n_5251));
-  sky130_fd_sc_hd__nand2_1 g439067(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [23]), .Y (n_5250));
-  sky130_fd_sc_hd__nand2_1 g439068(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [31]), .Y (n_5249));
-  sky130_fd_sc_hd__nand2_1 g439069(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [8]), .Y (n_5248));
-  sky130_fd_sc_hd__nand2_1 g439070(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [32]), .Y (n_5247));
-  sky130_fd_sc_hd__nand2_1 g439071(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [24]), .Y (n_5246));
-  sky130_fd_sc_hd__nand2_1 g439072(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [16]), .Y (n_5245));
-  sky130_fd_sc_hd__o2bb2ai_1 g439073(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2091), .Y (n_5244));
-  sky130_fd_sc_hd__o2bb2ai_1 g439074(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2095), .Y (n_5243));
-  sky130_fd_sc_hd__o2bb2ai_1 g439075(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2071), .Y (n_5242));
-  sky130_fd_sc_hd__a22o_1 g439076(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]), .B1
-       (n_2047), .B2 (n_2214), .X (n_5241));
-  sky130_fd_sc_hd__nand2_1 g439077(.A (n_3003), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [2]), .Y (n_5240));
-  sky130_fd_sc_hd__nand2_1 g439078(.A (n_2985), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [22]), .Y (n_5239));
-  sky130_fd_sc_hd__o2bb2ai_1 g439079(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2035), .Y (n_5238));
-  sky130_fd_sc_hd__o2bb2ai_1 g439080(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2017), .Y (n_5237));
-  sky130_fd_sc_hd__o2bb2ai_1 g439081(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2130), .Y (n_5236));
-  sky130_fd_sc_hd__a22o_1 g439082(.A1 (n_2842), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]), .B1
-       (n_2228), .B2 (n_2025), .X (n_5235));
-  sky130_fd_sc_hd__o2bb2ai_1 g439083(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]), .A2_N
-       (n_2424), .B1 (n_2081), .B2 (n_2220), .Y (n_5234));
-  sky130_fd_sc_hd__o2bb2ai_1 g439084(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]), .A2_N
-       (n_2424), .B1 (n_2016), .B2 (n_2220), .Y (n_5233));
-  sky130_fd_sc_hd__o2bb2ai_1 g439085(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]), .A2_N
-       (n_2424), .B1 (n_2102), .B2 (n_2220), .Y (n_5232));
-  sky130_fd_sc_hd__o2bb2ai_1 g439086(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]), .A2_N
-       (n_2424), .B1 (n_2107), .B2 (n_2220), .Y (n_5231));
-  sky130_fd_sc_hd__o2bb2ai_1 g439087(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]), .A2_N
-       (n_2424), .B1 (n_2108), .B2 (n_2220), .Y (n_5230));
-  sky130_fd_sc_hd__o2bb2ai_1 g439088(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]), .A2_N
-       (n_2424), .B1 (n_2112), .B2 (n_2220), .Y (n_5229));
-  sky130_fd_sc_hd__o2bb2ai_1 g439089(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]), .A2_N
-       (n_2424), .B1 (n_2106), .B2 (n_2220), .Y (n_5228));
-  sky130_fd_sc_hd__o2bb2ai_1 g439090(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]), .A2_N
-       (n_2746), .B1 (n_2134), .B2 (n_2224), .Y (n_5227));
-  sky130_fd_sc_hd__o2bb2ai_1 g439091(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]), .A2_N
-       (n_2746), .B1 (n_2016), .B2 (n_2224), .Y (n_5226));
-  sky130_fd_sc_hd__nand2_1 g439092(.A (n_2986), .B
-       (\u_soc_xbar_to_dccm[a_address] [6]), .Y (n_5225));
-  sky130_fd_sc_hd__o2bb2ai_1 g439093(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]), .A2_N
-       (n_2746), .B1 (n_2107), .B2 (n_2224), .Y (n_5224));
-  sky130_fd_sc_hd__nand2_1 g439094(.A (n_2986), .B
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_5223));
-  sky130_fd_sc_hd__o2bb2ai_1 g439095(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]), .A2_N
-       (n_2746), .B1 (n_2108), .B2 (n_2224), .Y (n_5222));
-  sky130_fd_sc_hd__o2bb2ai_1 g439096(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]), .A2_N
-       (n_2746), .B1 (n_2112), .B2 (n_2224), .Y (n_5221));
-  sky130_fd_sc_hd__o2bb2ai_1 g439097(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]), .A2_N
-       (n_2746), .B1 (n_2106), .B2 (n_2224), .Y (n_5220));
-  sky130_fd_sc_hd__o2bb2ai_1 g439098(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]), .A2_N
-       (n_2878), .B1 (n_2134), .B2 (n_2216), .Y (n_5219));
-  sky130_fd_sc_hd__o2bb2ai_1 g439099(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]), .A2_N
-       (n_2878), .B1 (n_2081), .B2 (n_2216), .Y (n_5218));
-  sky130_fd_sc_hd__o2bb2ai_1 g439100(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]), .A2_N
-       (n_2878), .B1 (n_2016), .B2 (n_2216), .Y (n_5217));
-  sky130_fd_sc_hd__o2bb2ai_1 g439101(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]), .A2_N
-       (n_2878), .B1 (n_2102), .B2 (n_2216), .Y (n_5216));
-  sky130_fd_sc_hd__o2bb2ai_1 g439102(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]), .A2_N
-       (n_2878), .B1 (n_2107), .B2 (n_2216), .Y (n_5215));
-  sky130_fd_sc_hd__o2bb2ai_1 g439103(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]), .A2_N
-       (n_2878), .B1 (n_2108), .B2 (n_2216), .Y (n_5214));
-  sky130_fd_sc_hd__o2bb2ai_1 g439104(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]), .A2_N
-       (n_2878), .B1 (n_2112), .B2 (n_2216), .Y (n_5213));
-  sky130_fd_sc_hd__o2bb2ai_1 g439105(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]), .A2_N
-       (n_2878), .B1 (n_2106), .B2 (n_2216), .Y (n_5212));
-  sky130_fd_sc_hd__o2bb2ai_1 g439106(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]), .A2_N
-       (n_2816), .B1 (n_2081), .B2 (n_2218), .Y (n_5211));
-  sky130_fd_sc_hd__o2bb2ai_1 g439107(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]), .A2_N
-       (n_2816), .B1 (n_2016), .B2 (n_2218), .Y (n_5210));
-  sky130_fd_sc_hd__o2bb2ai_1 g439108(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]), .A2_N
-       (n_2816), .B1 (n_2102), .B2 (n_2218), .Y (n_5209));
-  sky130_fd_sc_hd__o2bb2ai_1 g439109(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]), .A2_N
-       (n_2816), .B1 (n_2107), .B2 (n_2218), .Y (n_5208));
-  sky130_fd_sc_hd__o2bb2ai_1 g439110(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]), .A2_N
-       (n_2816), .B1 (n_2108), .B2 (n_2218), .Y (n_5207));
-  sky130_fd_sc_hd__o2bb2ai_1 g439111(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]), .A2_N
-       (n_2816), .B1 (n_2112), .B2 (n_2218), .Y (n_5206));
-  sky130_fd_sc_hd__o2bb2ai_1 g439112(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]), .A2_N
-       (n_2816), .B1 (n_2106), .B2 (n_2218), .Y (n_5205));
-  sky130_fd_sc_hd__a22o_1 g439113(.A1 (n_2779), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]), .B1
-       (n_2072), .B2 (n_2252), .X (n_5204));
-  sky130_fd_sc_hd__o2bb2ai_1 g439114(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2091), .Y (n_5203));
-  sky130_fd_sc_hd__o2bb2ai_1 g439115(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2095), .Y (n_5202));
-  sky130_fd_sc_hd__o2bb2ai_1 g439116(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2070), .Y (n_5201));
-  sky130_fd_sc_hd__o2bb2ai_1 g439117(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2071), .Y (n_5200));
-  sky130_fd_sc_hd__o2bb2ai_1 g439118(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2035), .Y (n_5199));
-  sky130_fd_sc_hd__o2bb2ai_1 g439119(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2017), .Y (n_5198));
-  sky130_fd_sc_hd__nand2_1 g439120(.A (n_2986), .B
-       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_5197));
-  sky130_fd_sc_hd__o2bb2ai_1 g439121(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2037), .Y (n_5196));
-  sky130_fd_sc_hd__o2bb2ai_1 g439122(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]), .A2_N
-       (n_2429), .B1 (n_2220), .B2 (n_2130), .Y (n_5195));
-  sky130_fd_sc_hd__o2bb2ai_1 g439123(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2091), .Y (n_5194));
-  sky130_fd_sc_hd__nand2_1 g439124(.A (n_3096), .B (n_2287), .Y
-       (n_5193));
-  sky130_fd_sc_hd__o2bb2ai_1 g439125(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2059), .Y (n_5192));
-  sky130_fd_sc_hd__o2bb2ai_1 g439126(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2070), .Y (n_5191));
-  sky130_fd_sc_hd__o2bb2ai_1 g439127(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2071), .Y (n_5190));
-  sky130_fd_sc_hd__o2bb2ai_1 g439128(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2035), .Y (n_5189));
-  sky130_fd_sc_hd__o2bb2ai_1 g439129(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2017), .Y (n_5188));
-  sky130_fd_sc_hd__o2bb2ai_1 g439130(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2128), .Y (n_5187));
-  sky130_fd_sc_hd__o2bb2ai_1 g439131(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2037), .Y (n_5186));
-  sky130_fd_sc_hd__a22o_1 g439132(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]), .B1
-       (n_2096), .B2 (n_2235), .X (n_5185));
-  sky130_fd_sc_hd__o2bb2ai_1 g439133(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2130), .Y (n_5184));
-  sky130_fd_sc_hd__o2bb2ai_1 g439134(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2091), .Y (n_5183));
-  sky130_fd_sc_hd__o2bb2ai_1 g439135(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2095), .Y (n_5182));
-  sky130_fd_sc_hd__o2bb2ai_1 g439136(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2070), .Y (n_5181));
-  sky130_fd_sc_hd__o2bb2ai_1 g439137(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2071), .Y (n_5180));
-  sky130_fd_sc_hd__o2bb2ai_1 g439138(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2035), .Y (n_5179));
-  sky130_fd_sc_hd__o2bb2ai_1 g439139(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2017), .Y (n_5178));
-  sky130_fd_sc_hd__o2bb2ai_1 g439140(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2037), .Y (n_5177));
-  sky130_fd_sc_hd__o2bb2ai_1 g439141(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]), .A2_N
-       (n_2883), .B1 (n_2216), .B2 (n_2130), .Y (n_5176));
-  sky130_fd_sc_hd__o2bb2ai_1 g439142(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2091), .Y (n_5175));
-  sky130_fd_sc_hd__o2bb2ai_1 g439143(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2095), .Y (n_5174));
-  sky130_fd_sc_hd__o2bb2ai_1 g439144(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2087), .Y (n_5173));
-  sky130_fd_sc_hd__o2bb2ai_1 g439145(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2071), .Y (n_5172));
-  sky130_fd_sc_hd__a21oi_1 g439146(.A1 (n_2298), .A2 (n_2186), .B1
-       (n_1751), .Y (n_5171));
-  sky130_fd_sc_hd__a221oi_1 g439147(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .C1
-       (n_2924), .Y (n_5170));
-  sky130_fd_sc_hd__o2bb2ai_1 g439148(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2035), .Y (n_5169));
-  sky130_fd_sc_hd__o31ai_1 g439149(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2 (n_1918), .A3 (n_1762), .B1 (n_2979), .Y (n_5168));
-  sky130_fd_sc_hd__o2bb2ai_1 g439150(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2017), .Y (n_5167));
-  sky130_fd_sc_hd__o2bb2ai_1 g439151(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2037), .Y (n_5166));
-  sky130_fd_sc_hd__a221o_1 g439152(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[0]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [0]), .C1 (n_2936), .X (n_5165));
-  sky130_fd_sc_hd__o2bb2ai_1 g439153(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2065), .Y (n_5164));
-  sky130_fd_sc_hd__o2bb2ai_1 g439154(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2130), .Y (n_5163));
-  sky130_fd_sc_hd__a21o_1 g439155(.A1 (n_2536), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]), .B1 (n_3113), .X (n_5162));
-  sky130_fd_sc_hd__a21o_1 g439156(.A1 (n_2536), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [2]), .B1 (n_3113), .X (n_5161));
-  sky130_fd_sc_hd__a21o_1 g439157(.A1 (n_2536), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [3]), .B1 (n_3113), .X (n_5160));
-  sky130_fd_sc_hd__a21o_1 g439158(.A1 (n_2536), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [4]), .B1 (n_3113), .X (n_5159));
-  sky130_fd_sc_hd__a21o_1 g439159(.A1 (n_2889), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [1]), .B1 (n_3114), .X (n_5158));
-  sky130_fd_sc_hd__a21o_1 g439160(.A1 (n_2889), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [2]), .B1 (n_3114), .X (n_5157));
-  sky130_fd_sc_hd__a21o_1 g439161(.A1 (n_2889), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [3]), .B1 (n_3114), .X (n_5156));
-  sky130_fd_sc_hd__a21o_1 g439162(.A1 (n_2889), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [4]), .B1 (n_3114), .X (n_5155));
-  sky130_fd_sc_hd__a21o_1 g439163(.A1 (n_2535), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [1]), .B1 (n_3112), .X (n_5154));
-  sky130_fd_sc_hd__a21o_1 g439164(.A1 (n_2535), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [2]), .B1 (n_3112), .X (n_5153));
-  sky130_fd_sc_hd__a21o_1 g439165(.A1 (n_2535), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [3]), .B1 (n_3112), .X (n_5152));
-  sky130_fd_sc_hd__a21o_1 g439166(.A1 (n_2535), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [4]), .B1 (n_3112), .X (n_5151));
-  sky130_fd_sc_hd__o31ai_1 g439167(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2 (n_1917), .A3 (n_1761), .B1 (n_3072), .Y (n_5150));
-  sky130_fd_sc_hd__o31ai_1 g439168(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A3 (n_1724), .B1 (n_2937), .Y (n_5149));
-  sky130_fd_sc_hd__o31ai_1 g439169(.A1 (n_10), .A2 (n_1531), .A3
-       (n_1909), .B1 (n_2968), .Y (n_5148));
-  sky130_fd_sc_hd__o21a_1 g439170(.A1 (n_1450), .A2 (n_2901), .B1
-       (n_3141), .X (n_5147));
-  sky130_fd_sc_hd__a21oi_1 g439171(.A1 (n_1458), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B1 (n_3124), .Y (n_5146));
-  sky130_fd_sc_hd__nor3_1 g439172(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_13473), .C
-       (n_2364), .Y (n_5145));
-  sky130_fd_sc_hd__a32oi_1 g439173(.A1 (n_1535), .A2 (n_1066), .A3
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .B1 (n_1738), .B2
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .Y (n_5144));
-  sky130_fd_sc_hd__nand3_1 g439174(.A (n_1259), .B (n_13610), .C
-       (n_2372), .Y (n_5143));
-  sky130_fd_sc_hd__o2bb2ai_1 g439175(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2113), .Y (n_5142));
-  sky130_fd_sc_hd__o21a_1 g439176(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2 (n_2542), .B1 (n_3137), .X (n_5141));
-  sky130_fd_sc_hd__a21oi_1 g439177(.A1 (n_1092), .A2 (n_1063), .B1
-       (n_3123), .Y (n_5140));
-  sky130_fd_sc_hd__a21oi_1 g439178(.A1 (n_1092), .A2 (n_1091), .B1
-       (n_3123), .Y (n_5139));
-  sky130_fd_sc_hd__o21ai_1 g439179(.A1 (n_1831), .A2 (n_2543), .B1
-       (n_3065), .Y (n_5138));
-  sky130_fd_sc_hd__o31ai_1 g439180(.A1 (n_1085), .A2 (n_15927), .A3
-       (n_2544), .B1 (n_3045), .Y (n_5137));
-  sky130_fd_sc_hd__nand3_1 g439181(.A (n_2984), .B (n_15943), .C
-       (n_13496), .Y (n_5136));
-  sky130_fd_sc_hd__nand3_1 g439182(.A (n_2984), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .C (n_13499), .Y
-       (n_5135));
-  sky130_fd_sc_hd__nand3_1 g439183(.A (n_2984), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .C (n_13500), .Y
-       (n_5134));
-  sky130_fd_sc_hd__nand3_1 g439184(.A (n_2984), .B (n_13501), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .Y (n_5133));
-  sky130_fd_sc_hd__nand3_1 g439185(.A (n_2984), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .C (n_13503), .Y
-       (n_5132));
-  sky130_fd_sc_hd__nand3_1 g439186(.A (n_2984), .B (n_13504), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .Y (n_5131));
-  sky130_fd_sc_hd__nand3_1 g439187(.A (n_2984), .B (n_13505), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_5130));
-  sky130_fd_sc_hd__nand3_1 g439188(.A (n_2984), .B (n_13506), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .Y (n_5129));
-  sky130_fd_sc_hd__nand3_1 g439189(.A (n_2984), .B (n_13507), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .Y (n_5128));
-  sky130_fd_sc_hd__nand3_1 g439190(.A (n_2984), .B (n_13508), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .Y (n_5127));
-  sky130_fd_sc_hd__nand3_1 g439191(.A (n_2984), .B (n_13509), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .Y (n_5126));
-  sky130_fd_sc_hd__nand3_1 g439192(.A (n_2984), .B (n_13510), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .Y (n_5125));
-  sky130_fd_sc_hd__nand3_1 g439193(.A (n_2984), .B (n_13517), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .Y (n_5124));
-  sky130_fd_sc_hd__nand3_1 g439194(.A (n_2984), .B (n_13518), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .Y (n_5123));
-  sky130_fd_sc_hd__nand3_1 g439195(.A (n_2984), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .C (n_13497), .Y
-       (n_5122));
-  sky130_fd_sc_hd__nand3_1 g439196(.A (n_2984), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .C (n_13502), .Y
-       (n_5121));
-  sky130_fd_sc_hd__nand3_1 g439197(.A (n_2984), .B (n_13526), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .Y (n_5120));
-  sky130_fd_sc_hd__a222oi_1 g439198(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [19]), .A2 (n_2246), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[19]), .Y (n_5119));
-  sky130_fd_sc_hd__a222oi_1 g439199(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]), .A2
-       (n_1410), .B1 (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]), .C1
-       (n_1749), .C2 (u_soc_u_top_u_core_csr_mtvec[14]), .Y (n_5118));
-  sky130_fd_sc_hd__a222oi_1 g439200(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]), .A2
-       (n_1513), .B1 (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[20]), .Y (n_5117));
-  sky130_fd_sc_hd__a222oi_1 g439201(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [21]), .A2 (n_2246), .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]), .Y
-       (n_5116));
-  sky130_fd_sc_hd__a222oi_1 g439202(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [22]), .A2 (n_2246), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[22]), .Y (n_5115));
-  sky130_fd_sc_hd__a222oi_1 g439203(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [23]), .A2 (n_2246), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[23]), .Y (n_5114));
-  sky130_fd_sc_hd__a222oi_1 g439204(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [24]), .A2 (n_2246), .B1 (n_1516), .B2
-       (u_soc_u_top_u_core_csr_depc[24]), .C1 (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]), .Y
-       (n_5113));
-  sky130_fd_sc_hd__a222oi_1 g439205(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [25]), .A2 (n_2246), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[25]), .Y (n_5112));
-  sky130_fd_sc_hd__a222oi_1 g439206(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [26]), .A2 (n_2246), .B1 (n_1516), .B2
-       (u_soc_u_top_u_core_csr_depc[26]), .C1 (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]), .Y
-       (n_5111));
-  sky130_fd_sc_hd__a222oi_1 g439207(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [27]), .A2 (n_2246), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[27]), .Y (n_5110));
-  sky130_fd_sc_hd__a222oi_1 g439208(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [28]), .A2 (n_2246), .B1 (n_1516), .B2
-       (u_soc_u_top_u_core_csr_depc[28]), .C1 (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]), .Y
-       (n_5109));
-  sky130_fd_sc_hd__a222oi_1 g439209(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [29]), .A2 (n_2246), .B1 (n_1516), .B2
-       (u_soc_u_top_u_core_csr_depc[29]), .C1 (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]), .Y
-       (n_5108));
-  sky130_fd_sc_hd__a222oi_1 g439210(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [31]), .A2 (n_2246), .B1 (n_1513), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .Y
-       (n_5107));
-  sky130_fd_sc_hd__a222oi_1 g439211(.A1
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]), .A2
-       (n_1214), .B1 (n_2241), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]), .C1
-       (n_2244), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]), .Y
-       (n_5106));
-  sky130_fd_sc_hd__a222oi_1 g439212(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]), .A2
-       (n_1513), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]), .C1
-       (n_1214), .C2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]), .Y (n_5105));
-  sky130_fd_sc_hd__a222oi_1 g439213(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [18]), .A2 (n_2246), .B1 (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]), .C1
-       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[18]), .Y (n_5104));
-  sky130_fd_sc_hd__o2bb2ai_1 g439214(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2077), .Y (n_5103));
-  sky130_fd_sc_hd__nor3_1 g439215(.A (n_1744), .B (n_2001), .C
-       (n_2547), .Y (n_5102));
-  sky130_fd_sc_hd__a22o_1 g439216(.A1 (n_2803), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]), .B1
-       (n_2225), .B2 (n_2019), .X (n_5101));
-  sky130_fd_sc_hd__o2bb2ai_1 g439217(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2013), .Y (n_5100));
-  sky130_fd_sc_hd__a222oi_1 g439218(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [17]), .A2 (n_2246), .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]), .Y
-       (n_5099));
-  sky130_fd_sc_hd__a222oi_1 g439219(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]), .A2
-       (n_1410), .B1 (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]), .C1
-       (n_1749), .C2 (u_soc_u_top_u_core_csr_mtvec[10]), .Y (n_5098));
-  sky130_fd_sc_hd__a222oi_1 g439220(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]), .A2
-       (n_1513), .B1 (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]), .C1
-       (n_1749), .C2 (u_soc_u_top_u_core_csr_mtvec[9]), .Y (n_5097));
-  sky130_fd_sc_hd__a222oi_1 g439221(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [15]), .A2 (n_2246), .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]), .Y
-       (n_5096));
-  sky130_fd_sc_hd__a222oi_1 g439222(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]), .A2
-       (n_1233), .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]), .C1
-       (n_1214), .C2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
-       .Y (n_5095));
-  sky130_fd_sc_hd__a222oi_1 g439223(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]), .A2
-       (n_1233), .B1 (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]), .C1
-       (n_1409), .C2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[6]), .Y
-       (n_5094));
-  sky130_fd_sc_hd__a222oi_1 g439225(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [16]), .A2 (n_2246), .B1 (n_1516), .B2
-       (u_soc_u_top_u_core_csr_depc[16]), .C1 (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]), .Y
-       (n_5092));
-  sky130_fd_sc_hd__a222oi_1 g439226(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]), .A2
-       (n_2241), .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]), .C1
-       (n_2244), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]), .Y
-       (n_5091));
-  sky130_fd_sc_hd__a222oi_1 g439227(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [0]), .A2 (n_2246), .B1 (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]), .Y
-       (n_5090));
-  sky130_fd_sc_hd__a222oi_1 g439228(.A1
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]), .A2 (n_1214),
-       .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]), .Y
-       (n_5089));
-  sky130_fd_sc_hd__a222oi_1 g439229(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]), .A2
-       (n_2243), .B1 (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]), .C1
-       (n_2242), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]), .Y
-       (n_5088));
-  sky130_fd_sc_hd__a222oi_1 g439230(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [3]), .A2 (n_2246), .B1 (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]), .C1
-       (n_2243), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]), .Y
-       (n_5087));
-  sky130_fd_sc_hd__a222oi_1 g439231(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [5]), .A2 (n_2246), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[5]), .C1 (n_2244), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]), .Y
-       (n_5086));
-  sky130_fd_sc_hd__o21ai_0 g439232(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .A2 (n_2897), .B1
-       (n_3138), .Y (n_5085));
-  sky130_fd_sc_hd__o2bb2ai_1 g439233(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2125), .Y (n_5084));
-  sky130_fd_sc_hd__o2bb2ai_1 g439234(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2097), .Y (n_5083));
-  sky130_fd_sc_hd__o21ai_1 g439235(.A1 (n_1918), .A2 (n_2544), .B1
-       (n_3067), .Y (n_5082));
-  sky130_fd_sc_hd__o21ai_1 g439236(.A1 (n_1917), .A2 (n_2543), .B1
-       (n_3068), .Y (n_5081));
-  sky130_fd_sc_hd__o32ai_1 g439237(.A1 (n_10), .A2 (n_1908), .A3
-       (n_1434), .B1 (n_29), .B2 (n_2376), .Y (n_5080));
-  sky130_fd_sc_hd__o2bb2ai_1 g439238(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2117), .Y (n_5079));
-  sky130_fd_sc_hd__o2bb2ai_1 g439240(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2116), .Y (n_5077));
-  sky130_fd_sc_hd__a22o_1 g439241(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]), .B1
-       (n_2114), .B2 (n_1997), .X (n_5076));
-  sky130_fd_sc_hd__o2bb2ai_1 g439242(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2113), .Y (n_5075));
-  sky130_fd_sc_hd__a22o_1 g439243(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]), .B1
-       (n_2076), .B2 (n_1997), .X (n_5074));
-  sky130_fd_sc_hd__a22o_1 g439244(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]), .B1
-       (n_2121), .B2 (n_1997), .X (n_5073));
-  sky130_fd_sc_hd__a22o_1 g439245(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]), .B1
-       (n_2014), .B2 (n_1997), .X (n_5072));
-  sky130_fd_sc_hd__a22o_1 g439246(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]), .B1
-       (n_2126), .B2 (n_1997), .X (n_5071));
-  sky130_fd_sc_hd__a22o_1 g439247(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]), .B1
-       (n_2096), .B2 (n_1997), .X (n_5070));
-  sky130_fd_sc_hd__a22o_1 g439248(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]), .B1
-       (n_2118), .B2 (n_1997), .X (n_5069));
-  sky130_fd_sc_hd__a22o_1 g439249(.A1 (n_2786), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]), .B1
-       (n_2115), .B2 (n_1997), .X (n_5068));
-  sky130_fd_sc_hd__o2bb2ai_1 g439250(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2113), .Y (n_5067));
-  sky130_fd_sc_hd__o2bb2ai_1 g439251(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2077), .Y (n_5066));
-  sky130_fd_sc_hd__o2bb2ai_1 g439252(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2122), .Y (n_5065));
-  sky130_fd_sc_hd__o2bb2ai_1 g439253(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2013), .Y (n_5064));
-  sky130_fd_sc_hd__o2bb2ai_1 g439254(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2087), .Y (n_5063));
-  sky130_fd_sc_hd__o2bb2ai_1 g439255(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2125), .Y (n_5062));
-  sky130_fd_sc_hd__o2bb2ai_1 g439256(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2097), .Y (n_5061));
-  sky130_fd_sc_hd__o2bb2ai_1 g439257(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2117), .Y (n_5060));
-  sky130_fd_sc_hd__o2bb2ai_1 g439258(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]), .A2_N
-       (n_2721), .B1 (n_2223), .B2 (n_2116), .Y (n_5059));
-  sky130_fd_sc_hd__o2bb2ai_1 g439259(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2113), .Y (n_5058));
-  sky130_fd_sc_hd__o2bb2ai_1 g439260(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2077), .Y (n_5057));
-  sky130_fd_sc_hd__o2bb2ai_1 g439261(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2122), .Y (n_5056));
-  sky130_fd_sc_hd__o2bb2ai_1 g439262(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2013), .Y (n_5055));
-  sky130_fd_sc_hd__o2bb2ai_1 g439263(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2125), .Y (n_5054));
-  sky130_fd_sc_hd__o2bb2ai_1 g439264(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2097), .Y (n_5053));
-  sky130_fd_sc_hd__o2bb2ai_1 g439265(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2117), .Y (n_5052));
-  sky130_fd_sc_hd__o2bb2ai_1 g439266(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]), .A2_N
-       (n_2847), .B1 (n_1996), .B2 (n_2116), .Y (n_5051));
-  sky130_fd_sc_hd__o2bb2ai_1 g439267(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2128), .Y (n_5050));
-  sky130_fd_sc_hd__o2bb2ai_1 g439268(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2079), .Y (n_5049));
-  sky130_fd_sc_hd__o2bb2ai_1 g439269(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2104), .Y (n_5048));
-  sky130_fd_sc_hd__o2bb2ai_1 g439270(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2100), .Y (n_5047));
-  sky130_fd_sc_hd__o2bb2ai_1 g439271(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2083), .Y (n_5046));
-  sky130_fd_sc_hd__o2bb2ai_1 g439272(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2110), .Y (n_5045));
-  sky130_fd_sc_hd__o2bb2ai_1 g439273(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2128), .Y (n_5044));
-  sky130_fd_sc_hd__o2bb2ai_1 g439274(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2079), .Y (n_5043));
-  sky130_fd_sc_hd__o2bb2ai_1 g439275(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2085), .Y (n_5042));
-  sky130_fd_sc_hd__o2bb2ai_1 g439276(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2099), .Y (n_5041));
-  sky130_fd_sc_hd__o2bb2ai_1 g439277(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2104), .Y (n_5040));
-  sky130_fd_sc_hd__o2bb2ai_1 g439278(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2100), .Y (n_5039));
-  sky130_fd_sc_hd__o2bb2ai_1 g439279(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2083), .Y (n_5038));
-  sky130_fd_sc_hd__o2bb2ai_1 g439280(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]), .A2_N
-       (n_2448), .B1 (n_2106), .B2 (n_2219), .Y (n_5037));
-  sky130_fd_sc_hd__o2bb2ai_1 g439281(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2054), .Y (n_5036));
-  sky130_fd_sc_hd__o2bb2ai_1 g439282(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]), .A2_N
-       (n_2746), .B1 (n_2081), .B2 (n_2224), .Y (n_5035));
-  sky130_fd_sc_hd__o2bb2ai_1 g439283(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]), .A2_N
-       (n_2470), .B1 (n_2112), .B2 (n_2215), .Y (n_5034));
-  sky130_fd_sc_hd__o2bb2ai_1 g439284(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]), .A2_N
-       (n_2746), .B1 (n_2102), .B2 (n_2224), .Y (n_5033));
-  sky130_fd_sc_hd__a22o_1 g439285(.A1 (n_2444), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]), .B1
-       (n_2039), .B2 (n_2005), .X (n_5032));
-  sky130_fd_sc_hd__o2bb2ai_1 g439286(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2097), .Y (n_5031));
-  sky130_fd_sc_hd__a22o_1 g439287(.A1 (n_2444), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]), .B1
-       (n_2045), .B2 (n_2005), .X (n_5030));
-  sky130_fd_sc_hd__a22o_1 g439288(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_5029));
-  sky130_fd_sc_hd__o2bb2ai_1 g439289(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2083), .Y (n_5028));
-  sky130_fd_sc_hd__o2bb2ai_1 g439290(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2128), .Y (n_5027));
-  sky130_fd_sc_hd__o2bb2ai_1 g439291(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2124), .Y (n_5026));
-  sky130_fd_sc_hd__o2bb2ai_1 g439292(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2120), .Y (n_5025));
-  sky130_fd_sc_hd__o2bb2ai_1 g439293(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]), .A2_N
-       (n_2816), .B1 (n_2134), .B2 (n_2218), .Y (n_5024));
-  sky130_fd_sc_hd__o2bb2ai_1 g439294(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]), .A2_N
-       (n_2477), .B1 (n_2057), .B2 (n_2222), .Y (n_5023));
-  sky130_fd_sc_hd__a22o_1 g439295(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_5022));
-  sky130_fd_sc_hd__a22o_1 g439296(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_5021));
-  sky130_fd_sc_hd__a22o_1 g439297(.A1 (n_2718), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]), .B1
-       (n_2045), .B2 (n_2232), .X (n_5020));
-  sky130_fd_sc_hd__a22o_1 g439298(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_5019));
-  sky130_fd_sc_hd__a22oi_1 g439299(.A1 (n_2009), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [2]), .B1 (n_2534), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [2]), .Y (n_5018));
-  sky130_fd_sc_hd__o2bb2ai_1 g439300(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2093), .Y (n_5017));
-  sky130_fd_sc_hd__o2bb2ai_1 g439301(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2113), .Y (n_5016));
-  sky130_fd_sc_hd__o2bb2ai_1 g439302(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2110), .Y (n_5015));
-  sky130_fd_sc_hd__a22o_1 g439303(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]), .B1
-       (n_2039), .B2 (n_1993), .X (n_5014));
-  sky130_fd_sc_hd__o2bb2ai_1 g439304(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2069), .Y (n_5013));
-  sky130_fd_sc_hd__o2bb2ai_1 g439305(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2122), .Y (n_5012));
-  sky130_fd_sc_hd__a22o_1 g439306(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]), .B1
-       (n_2235), .B2 (n_2021), .X (n_5011));
-  sky130_fd_sc_hd__o2bb2ai_1 g439307(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2071), .Y (n_5010));
-  sky130_fd_sc_hd__o2bb2ai_1 g439308(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2017), .Y (n_5009));
-  sky130_fd_sc_hd__a22o_1 g439309(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]), .B1
-       (n_2235), .B2 (n_2027), .X (n_5008));
-  sky130_fd_sc_hd__o2bb2ai_1 g439310(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2037), .Y (n_5007));
-  sky130_fd_sc_hd__a22o_1 g439311(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]), .B1
-       (n_2235), .B2 (n_2031), .X (n_5006));
-  sky130_fd_sc_hd__a32o_1 g439312(.A1 (n_1889), .A2 (n_1432), .A3
-       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_2373), .B2
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .X (n_5005));
-  sky130_fd_sc_hd__a22o_1 g439313(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_5004));
-  sky130_fd_sc_hd__o2bb2ai_1 g439314(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2097), .Y (n_5003));
-  sky130_fd_sc_hd__a22o_1 g439315(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]), .B1
-       (n_2041), .B2 (n_1993), .X (n_5002));
-  sky130_fd_sc_hd__o2bb2ai_1 g439316(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]), .A2_N
-       (n_2477), .B1 (n_2065), .B2 (n_2222), .Y (n_5001));
-  sky130_fd_sc_hd__a22o_1 g439317(.A1 (n_2718), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]), .B1
-       (n_2049), .B2 (n_2232), .X (n_5000));
-  sky130_fd_sc_hd__a22o_1 g439318(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4999));
-  sky130_fd_sc_hd__a22o_1 g439319(.A1 (n_2718), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]), .B1
-       (n_2053), .B2 (n_2232), .X (n_4998));
-  sky130_fd_sc_hd__o2bb2ai_1 g439320(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]), .A2_N
-       (n_2477), .B1 (n_2063), .B2 (n_2222), .Y (n_4997));
-  sky130_fd_sc_hd__o2bb2ai_1 g439321(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2038), .Y (n_4996));
-  sky130_fd_sc_hd__o2bb2ai_1 g439322(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2046), .Y (n_4995));
-  sky130_fd_sc_hd__o2bb2ai_1 g439323(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2095), .Y (n_4994));
-  sky130_fd_sc_hd__a22o_1 g439324(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]), .B1
-       (n_2127), .B2 (n_2240), .X (n_4993));
-  sky130_fd_sc_hd__o2bb2ai_1 g439325(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2046), .Y (n_4992));
-  sky130_fd_sc_hd__a22o_1 g439326(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4991));
-  sky130_fd_sc_hd__a22o_1 g439327(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4990));
-  sky130_fd_sc_hd__o2bb2ai_1 g439328(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2057), .Y (n_4989));
-  sky130_fd_sc_hd__o2bb2ai_1 g439329(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2125), .Y (n_4988));
-  sky130_fd_sc_hd__o2bb2ai_1 g439330(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2125), .Y (n_4987));
-  sky130_fd_sc_hd__o2bb2ai_1 g439331(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2013), .Y (n_4986));
-  sky130_fd_sc_hd__o2bb2ai_1 g439332(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2013), .Y (n_4985));
-  sky130_fd_sc_hd__a22o_1 g439333(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]), .B1
-       (n_2039), .B2 (n_2235), .X (n_4984));
-  sky130_fd_sc_hd__o2bb2ai_1 g439334(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]), .A2_N
-       (n_2745), .B1 (n_2224), .B2 (n_2095), .Y (n_4983));
-  sky130_fd_sc_hd__o2bb2ai_1 g439335(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2079), .Y (n_4982));
-  sky130_fd_sc_hd__o2bb2ai_1 g439336(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]), .A2_N
-       (n_2449), .B1 (n_2219), .B2 (n_2037), .Y (n_4981));
-  sky130_fd_sc_hd__o2bb2ai_1 g439337(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2091), .Y (n_4980));
-  sky130_fd_sc_hd__o2bb2ai_1 g439338(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2097), .Y (n_4979));
-  sky130_fd_sc_hd__a22o_1 g439339(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4978));
-  sky130_fd_sc_hd__o2bb2ai_1 g439340(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2100), .Y (n_4977));
-  sky130_fd_sc_hd__a22o_1 g439341(.A1 (n_2714), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]), .B1
-       (n_2084), .B2 (n_2232), .X (n_4976));
-  sky130_fd_sc_hd__o2bb2ai_1 g439342(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2083), .Y (n_4975));
-  sky130_fd_sc_hd__o2bb2ai_1 g439343(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2104), .Y (n_4974));
-  sky130_fd_sc_hd__a22o_1 g439344(.A1 (n_2714), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]), .B1
-       (n_2103), .B2 (n_2232), .X (n_4973));
-  sky130_fd_sc_hd__o2bb2ai_1 g439345(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2128), .Y (n_4972));
-  sky130_fd_sc_hd__a22o_1 g439346(.A1 (n_2836), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]), .B1
-       (n_2126), .B2 (n_2236), .X (n_4971));
-  sky130_fd_sc_hd__o2bb2ai_1 g439347(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2116), .Y (n_4970));
-  sky130_fd_sc_hd__o2bb2ai_1 g439348(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]), .A2_N
-       (n_2524), .B1 (n_2018), .B2 (n_2007), .Y (n_4969));
-  sky130_fd_sc_hd__o2bb2ai_1 g439349(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2113), .Y (n_4968));
-  sky130_fd_sc_hd__o2bb2ai_1 g439350(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]), .A2_N
-       (n_2864), .B1 (n_2024), .B2 (n_2227), .Y (n_4967));
-  sky130_fd_sc_hd__a22o_1 g439351(.A1 (n_2714), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]), .B1
-       (n_2082), .B2 (n_2232), .X (n_4966));
-  sky130_fd_sc_hd__a22o_1 g439352(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]), .B1
-       (n_2043), .B2 (n_2228), .X (n_4965));
-  sky130_fd_sc_hd__o2bb2ai_1 g439353(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2085), .Y (n_4964));
-  sky130_fd_sc_hd__a22o_1 g439354(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]), .B1
-       (n_2039), .B2 (n_2214), .X (n_4963));
-  sky130_fd_sc_hd__o2bb2ai_1 g439355(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2038), .Y (n_4962));
-  sky130_fd_sc_hd__o2bb2ai_1 g439356(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]), .A2_N
-       (n_2424), .B1 (n_2134), .B2 (n_2220), .Y (n_4961));
-  sky130_fd_sc_hd__a22o_1 g439357(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4960));
-  sky130_fd_sc_hd__o2bb2ai_1 g439358(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]), .A2_N
-       (n_2490), .B1 (n_2016), .B2 (n_2004), .Y (n_4959));
-  sky130_fd_sc_hd__o2bb2ai_1 g439359(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2116), .Y (n_4958));
-  sky130_fd_sc_hd__o2bb2ai_1 g439360(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2079), .Y (n_4957));
-  sky130_fd_sc_hd__o2bb2ai_1 g439361(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2110), .Y (n_4956));
-  sky130_fd_sc_hd__a22o_1 g439362(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4955));
-  sky130_fd_sc_hd__a22o_1 g439363(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4954));
-  sky130_fd_sc_hd__a22o_1 g439364(.A1 (n_2759), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]), .B1
-       (n_2094), .B2 (n_2240), .X (n_4953));
-  sky130_fd_sc_hd__a22o_1 g439365(.A1 (n_2759), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]), .B1
-       (n_2129), .B2 (n_2240), .X (n_4952));
-  sky130_fd_sc_hd__a22o_1 g439366(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4951));
-  sky130_fd_sc_hd__o2bb2ai_1 g439367(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2059), .Y (n_4950));
-  sky130_fd_sc_hd__a22o_1 g439368(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4949));
-  sky130_fd_sc_hd__a22o_1 g439369(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4948));
-  sky130_fd_sc_hd__o2bb2ai_1 g439370(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2069), .Y (n_4947));
-  sky130_fd_sc_hd__a22o_1 g439371(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]), .B1
-       (n_2126), .B2 (n_2240), .X (n_4946));
-  sky130_fd_sc_hd__a22o_1 g439372(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4945));
-  sky130_fd_sc_hd__a22o_1 g439373(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4944));
-  sky130_fd_sc_hd__a22o_1 g439374(.A1 (n_2443), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]), .B1
-       (n_2005), .B2 (n_2033), .X (n_4943));
-  sky130_fd_sc_hd__a22o_1 g439375(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4942));
-  sky130_fd_sc_hd__o2bb2ai_1 g439376(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2037), .Y (n_4941));
-  sky130_fd_sc_hd__a22o_1 g439377(.A1 (n_2461), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]), .B1
-       (n_2235), .B2 (n_2105), .X (n_4940));
-  sky130_fd_sc_hd__o2bb2ai_1 g439378(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2104), .Y (n_4939));
-  sky130_fd_sc_hd__a22o_1 g439379(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4938));
-  sky130_fd_sc_hd__a22o_1 g439380(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]), .B1
-       (n_2014), .B2 (n_2235), .X (n_4937));
-  sky130_fd_sc_hd__a22o_1 g439381(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4936));
-  sky130_fd_sc_hd__a22o_1 g439382(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4935));
-  sky130_fd_sc_hd__a22o_1 g439383(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4934));
-  sky130_fd_sc_hd__o2bb2ai_1 g439384(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2093), .Y (n_4933));
-  sky130_fd_sc_hd__a22o_1 g439385(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4932));
-  sky130_fd_sc_hd__a22o_1 g439386(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4931));
-  sky130_fd_sc_hd__a22o_1 g439387(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4930));
-  sky130_fd_sc_hd__o2bb2ai_1 g439388(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2122), .Y (n_4929));
-  sky130_fd_sc_hd__a22o_1 g439389(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4928));
-  sky130_fd_sc_hd__a22o_1 g439390(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4927));
-  sky130_fd_sc_hd__a22o_1 g439391(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4926));
-  sky130_fd_sc_hd__a22o_1 g439392(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4925));
-  sky130_fd_sc_hd__o2bb2ai_1 g439393(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2117), .Y (n_4924));
-  sky130_fd_sc_hd__a22o_1 g439394(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4923));
-  sky130_fd_sc_hd__a22o_1 g439395(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4922));
-  sky130_fd_sc_hd__o2bb2ai_1 g439396(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2125), .Y (n_4921));
-  sky130_fd_sc_hd__a22o_1 g439397(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4920));
-  sky130_fd_sc_hd__a22o_1 g439398(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4919));
-  sky130_fd_sc_hd__a22o_1 g439399(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]), .B1
-       (n_2109), .B2 (n_2240), .X (n_4918));
-  sky130_fd_sc_hd__a22o_1 g439400(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4917));
-  sky130_fd_sc_hd__a22o_1 g439401(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4916));
-  sky130_fd_sc_hd__a22o_1 g439402(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4915));
-  sky130_fd_sc_hd__o2bb2ai_1 g439403(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2113), .Y (n_4914));
-  sky130_fd_sc_hd__a22o_1 g439404(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4913));
-  sky130_fd_sc_hd__o2bb2ai_1 g439405(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]), .A2_N
-       (n_2490), .B1 (n_2112), .B2 (n_2004), .Y (n_4912));
-  sky130_fd_sc_hd__o2bb2ai_1 g439406(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]), .A2_N
-       (n_2490), .B1 (n_2108), .B2 (n_2004), .Y (n_4911));
-  sky130_fd_sc_hd__a22o_1 g439407(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]), .B1
-       (n_2121), .B2 (n_2248), .X (n_4910));
-  sky130_fd_sc_hd__a22o_1 g439408(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4909));
-  sky130_fd_sc_hd__a22o_1 g439409(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4908));
-  sky130_fd_sc_hd__a22o_1 g439410(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4907));
-  sky130_fd_sc_hd__o2bb2ai_1 g439411(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2116), .Y (n_4906));
-  sky130_fd_sc_hd__a22o_1 g439412(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4905));
-  sky130_fd_sc_hd__a22o_1 g439413(.A1 (n_2467), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]), .B1
-       (n_2015), .B2 (n_2010), .X (n_4904));
-  sky130_fd_sc_hd__o2bb2ai_1 g439414(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2104), .Y (n_4903));
-  sky130_fd_sc_hd__a22o_1 g439415(.A1 (n_2461), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]), .B1
-       (n_2235), .B2 (n_2015), .X (n_4902));
-  sky130_fd_sc_hd__o2bb2ai_1 g439416(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2066), .Y (n_4901));
-  sky130_fd_sc_hd__a22o_1 g439417(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4900));
-  sky130_fd_sc_hd__a22o_1 g439418(.A1 (n_2836), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]), .B1
-       (n_2114), .B2 (n_2236), .X (n_4899));
-  sky130_fd_sc_hd__a22o_1 g439419(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]), .B1
-       (n_2118), .B2 (n_2248), .X (n_4898));
-  sky130_fd_sc_hd__a22o_1 g439420(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4897));
-  sky130_fd_sc_hd__o2bb2ai_1 g439421(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]), .A2_N
-       (n_2849), .B1 (n_2018), .B2 (n_2223), .Y (n_4896));
-  sky130_fd_sc_hd__o2bb2ai_1 g439422(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2044), .Y (n_4895));
-  sky130_fd_sc_hd__o2bb2ai_1 g439423(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]), .A2_N
-       (n_2470), .B1 (n_2016), .B2 (n_2215), .Y (n_4894));
-  sky130_fd_sc_hd__o2bb2ai_1 g439424(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2048), .Y (n_4893));
-  sky130_fd_sc_hd__o2bb2ai_1 g439425(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2066), .Y (n_4892));
-  sky130_fd_sc_hd__a22o_1 g439426(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]), .B1
-       (n_1997), .B2 (n_2033), .X (n_4891));
-  sky130_fd_sc_hd__a22o_1 g439427(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]), .B1
-       (n_1995), .B2 (n_2029), .X (n_4890));
-  sky130_fd_sc_hd__o2bb2ai_1 g439428(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2065), .Y (n_4889));
-  sky130_fd_sc_hd__o2bb2ai_1 g439429(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2042), .Y (n_4888));
-  sky130_fd_sc_hd__o2bb2ai_1 g439430(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]), .A2_N
-       (n_2863), .B1 (n_2134), .B2 (n_2230), .Y (n_4887));
-  sky130_fd_sc_hd__o2bb2ai_1 g439431(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2069), .Y (n_4886));
-  sky130_fd_sc_hd__a22o_1 g439432(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]), .B1
-       (n_2121), .B2 (n_2235), .X (n_4885));
-  sky130_fd_sc_hd__a22o_1 g439433(.A1 (n_2467), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]), .B1
-       (n_2111), .B2 (n_2010), .X (n_4884));
-  sky130_fd_sc_hd__a22o_1 g439434(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4883));
-  sky130_fd_sc_hd__o2bb2ai_1 g439435(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2087), .Y (n_4882));
-  sky130_fd_sc_hd__o2bb2ai_1 g439436(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2083), .Y (n_4881));
-  sky130_fd_sc_hd__o2bb2ai_1 g439437(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2100), .Y (n_4880));
-  sky130_fd_sc_hd__a22o_1 g439438(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]), .B1
-       (n_2041), .B2 (n_2214), .X (n_4879));
-  sky130_fd_sc_hd__a22o_1 g439439(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4878));
-  sky130_fd_sc_hd__o2bb2ai_1 g439440(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2110), .Y (n_4877));
-  sky130_fd_sc_hd__o2bb2ai_1 g439441(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2095), .Y (n_4876));
-  sky130_fd_sc_hd__o2bb2ai_1 g439442(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2073), .Y (n_4875));
-  sky130_fd_sc_hd__o2bb2ai_1 g439443(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2037), .Y (n_4874));
-  sky130_fd_sc_hd__a22o_1 g439444(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4873));
-  sky130_fd_sc_hd__a22o_1 g439445(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4872));
-  sky130_fd_sc_hd__a22o_1 g439446(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4871));
-  sky130_fd_sc_hd__a22o_1 g439447(.A1 (n_2836), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]), .B1
-       (n_2118), .B2 (n_2236), .X (n_4870));
-  sky130_fd_sc_hd__o2bb2ai_1 g439448(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2093), .Y (n_4869));
-  sky130_fd_sc_hd__a22o_1 g439449(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]), .B1
-       (n_2109), .B2 (n_2235), .X (n_4868));
-  sky130_fd_sc_hd__a22o_1 g439450(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4867));
-  sky130_fd_sc_hd__a22o_1 g439451(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4866));
-  sky130_fd_sc_hd__a22o_1 g439452(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4865));
-  sky130_fd_sc_hd__o2bb2ai_1 g439453(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]), .A2_N
-       (n_2753), .B1 (n_2106), .B2 (n_2226), .Y (n_4864));
-  sky130_fd_sc_hd__a22o_1 g439454(.A1 (n_2837), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]), .B1
-       (n_2067), .B2 (n_2232), .X (n_4863));
-  sky130_fd_sc_hd__a22o_1 g439455(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4862));
-  sky130_fd_sc_hd__a22o_1 g439456(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]), .B1
-       (n_2240), .B2 (n_2027), .X (n_4861));
-  sky130_fd_sc_hd__o2bb2ai_1 g439457(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2113), .Y (n_4860));
-  sky130_fd_sc_hd__o2bb2ai_1 g439458(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2038), .Y (n_4859));
-  sky130_fd_sc_hd__o2bb2ai_1 g439459(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2110), .Y (n_4858));
-  sky130_fd_sc_hd__a22o_1 g439460(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4857));
-  sky130_fd_sc_hd__a22o_1 g439461(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4856));
-  sky130_fd_sc_hd__a22o_1 g439462(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4855));
-  sky130_fd_sc_hd__a22o_1 g439463(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4854));
-  sky130_fd_sc_hd__o2bb2ai_1 g439464(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2132), .Y (n_4853));
-  sky130_fd_sc_hd__a22o_1 g439465(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4852));
-  sky130_fd_sc_hd__o2bb2ai_1 g439466(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2124), .Y (n_4851));
-  sky130_fd_sc_hd__a22o_1 g439467(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4850));
-  sky130_fd_sc_hd__a22o_1 g439468(.A1 (n_2686), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]), .B1
-       (n_2058), .B2 (n_2248), .X (n_4849));
-  sky130_fd_sc_hd__a22o_1 g439469(.A1 (n_2440), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]), .B1
-       (n_2232), .B2 (n_2101), .X (n_4848));
-  sky130_fd_sc_hd__a22o_1 g439470(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4847));
-  sky130_fd_sc_hd__o2bb2ai_1 g439471(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2120), .Y (n_4846));
-  sky130_fd_sc_hd__o2bb2ai_1 g439472(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2061), .Y (n_4845));
-  sky130_fd_sc_hd__a22o_1 g439473(.A1 (n_2727), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]), .B1
-       (n_2034), .B2 (n_2252), .X (n_4844));
-  sky130_fd_sc_hd__a22o_1 g439474(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4843));
-  sky130_fd_sc_hd__a22o_1 g439475(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]), .B1
-       (n_2118), .B2 (n_2240), .X (n_4842));
-  sky130_fd_sc_hd__o2bb2ai_1 g439476(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]), .A2_N
-       (n_2507), .B1 (n_2112), .B2 (n_2238), .Y (n_4841));
-  sky130_fd_sc_hd__o2bb2ai_1 g439477(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]), .A2_N
-       (n_2507), .B1 (n_2081), .B2 (n_2238), .Y (n_4840));
-  sky130_fd_sc_hd__a22o_1 g439478(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4839));
-  sky130_fd_sc_hd__a22o_1 g439479(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4838));
-  sky130_fd_sc_hd__a22o_1 g439480(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4837));
-  sky130_fd_sc_hd__o2bb2ai_1 g439481(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2132), .Y (n_4836));
-  sky130_fd_sc_hd__o2bb2ai_1 g439482(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]), .A2_N
-       (n_2490), .B1 (n_2134), .B2 (n_2004), .Y (n_4835));
-  sky130_fd_sc_hd__a22o_1 g439483(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4834));
-  sky130_fd_sc_hd__a22o_1 g439484(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]), .B1
-       (n_2096), .B2 (n_2240), .X (n_4833));
-  sky130_fd_sc_hd__a22o_1 g439485(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4832));
-  sky130_fd_sc_hd__o2bb2ai_1 g439486(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2017), .Y (n_4831));
-  sky130_fd_sc_hd__o2bb2ai_1 g439487(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2122), .Y (n_4830));
-  sky130_fd_sc_hd__a22o_1 g439488(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4829));
-  sky130_fd_sc_hd__a22o_1 g439489(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4828));
-  sky130_fd_sc_hd__a22o_1 g439490(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4827));
-  sky130_fd_sc_hd__a22o_1 g439491(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]), .B1
-       (n_2078), .B2 (n_2240), .X (n_4826));
-  sky130_fd_sc_hd__o2bb2ai_1 g439492(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2091), .Y (n_4825));
-  sky130_fd_sc_hd__a22o_1 g439493(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4824));
-  sky130_fd_sc_hd__o2bb2ai_1 g439494(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2013), .Y (n_4823));
-  sky130_fd_sc_hd__a22o_1 g439495(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4822));
-  sky130_fd_sc_hd__a22o_1 g439496(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4821));
-  sky130_fd_sc_hd__a22o_1 g439497(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4820));
-  sky130_fd_sc_hd__a22o_1 g439498(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4819));
-  sky130_fd_sc_hd__a22o_1 g439499(.A1 (n_2727), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]), .B1
-       (n_2090), .B2 (n_2252), .X (n_4818));
-  sky130_fd_sc_hd__a22o_1 g439500(.A1 (n_2837), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]), .B1
-       (n_2055), .B2 (n_2232), .X (n_4817));
-  sky130_fd_sc_hd__o2bb2ai_1 g439501(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2017), .Y (n_4816));
-  sky130_fd_sc_hd__a22o_1 g439502(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4815));
-  sky130_fd_sc_hd__a22o_1 g439503(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4814));
-  sky130_fd_sc_hd__o2bb2ai_1 g439504(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2079), .Y (n_4813));
-  sky130_fd_sc_hd__a22o_1 g439505(.A1 (n_2836), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]), .B1
-       (n_2014), .B2 (n_2236), .X (n_4812));
-  sky130_fd_sc_hd__a22o_1 g439506(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]), .B1
-       (n_2045), .B2 (n_2221), .X (n_4811));
-  sky130_fd_sc_hd__o2bb2ai_1 g439507(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2069), .Y (n_4810));
-  sky130_fd_sc_hd__a22o_1 g439508(.A1 (n_2727), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]), .B1
-       (n_2036), .B2 (n_2252), .X (n_4809));
-  sky130_fd_sc_hd__o2bb2ai_1 g439509(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2050), .Y (n_4808));
-  sky130_fd_sc_hd__o2bb2ai_1 g439510(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2052), .Y (n_4807));
-  sky130_fd_sc_hd__a22o_1 g439511(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4806));
-  sky130_fd_sc_hd__a22o_1 g439512(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4805));
-  sky130_fd_sc_hd__a22o_1 g439513(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4804));
-  sky130_fd_sc_hd__o2bb2ai_1 g439514(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2113), .Y (n_4803));
-  sky130_fd_sc_hd__a22o_1 g439515(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4802));
-  sky130_fd_sc_hd__a22o_1 g439516(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4801));
-  sky130_fd_sc_hd__a22o_1 g439517(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4800));
-  sky130_fd_sc_hd__a22o_1 g439518(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4799));
-  sky130_fd_sc_hd__a22o_1 g439519(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4798));
-  sky130_fd_sc_hd__o2bb2ai_1 g439520(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2065), .Y (n_4797));
-  sky130_fd_sc_hd__a22o_1 g439521(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4796));
-  sky130_fd_sc_hd__a22o_1 g439522(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4795));
-  sky130_fd_sc_hd__a22o_1 g439523(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]), .B1
-       (n_2221), .B2 (n_2031), .X (n_4794));
-  sky130_fd_sc_hd__o2bb2ai_1 g439524(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2104), .Y (n_4793));
-  sky130_fd_sc_hd__a22o_1 g439525(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4792));
-  sky130_fd_sc_hd__o2bb2ai_1 g439526(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2050), .Y (n_4791));
-  sky130_fd_sc_hd__o2bb2ai_1 g439527(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2040), .Y (n_4790));
-  sky130_fd_sc_hd__a22o_1 g439528(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]), .B1
-       (n_2047), .B2 (n_1995), .X (n_4789));
-  sky130_fd_sc_hd__a22o_1 g439529(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4788));
-  sky130_fd_sc_hd__a22o_1 g439530(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4787));
-  sky130_fd_sc_hd__a22o_1 g439531(.A1 (n_2779), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]), .B1
-       (n_2086), .B2 (n_2252), .X (n_4786));
-  sky130_fd_sc_hd__o2bb2ai_1 g439532(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2091), .Y (n_4785));
-  sky130_fd_sc_hd__o2bb2ai_1 g439533(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2079), .Y (n_4784));
-  sky130_fd_sc_hd__o2bb2ai_1 g439534(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2083), .Y (n_4783));
-  sky130_fd_sc_hd__o2bb2ai_1 g439535(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2113), .Y (n_4782));
-  sky130_fd_sc_hd__a22o_1 g439536(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4781));
-  sky130_fd_sc_hd__a22o_1 g439537(.A1 (n_2779), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]), .B1
-       (n_2131), .B2 (n_2252), .X (n_4780));
-  sky130_fd_sc_hd__a22o_1 g439538(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]), .B1
-       (n_2214), .B2 (n_2019), .X (n_4779));
-  sky130_fd_sc_hd__a22o_1 g439539(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4778));
-  sky130_fd_sc_hd__o2bb2ai_1 g439540(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2117), .Y (n_4777));
-  sky130_fd_sc_hd__a22o_1 g439541(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4776));
-  sky130_fd_sc_hd__o2bb2ai_1 g439542(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2097), .Y (n_4775));
-  sky130_fd_sc_hd__a22o_1 g439543(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4774));
-  sky130_fd_sc_hd__a22o_1 g439544(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4773));
-  sky130_fd_sc_hd__a22o_1 g439545(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4772));
-  sky130_fd_sc_hd__o2bb2ai_1 g439546(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2071), .Y (n_4771));
-  sky130_fd_sc_hd__a22o_1 g439547(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4770));
-  sky130_fd_sc_hd__a22o_1 g439548(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4769));
-  sky130_fd_sc_hd__a22o_1 g439549(.A1 (n_2833), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]), .B1
-       (n_2129), .B2 (n_2236), .X (n_4768));
-  sky130_fd_sc_hd__o2bb2ai_1 g439550(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2113), .Y (n_4767));
-  sky130_fd_sc_hd__o2bb2ai_1 g439551(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2073), .Y (n_4766));
-  sky130_fd_sc_hd__o2bb2ai_1 g439552(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2089), .Y (n_4765));
-  sky130_fd_sc_hd__o2bb2ai_1 g439553(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2059), .Y (n_4764));
-  sky130_fd_sc_hd__a22o_1 g439554(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4763));
-  sky130_fd_sc_hd__o2bb2ai_1 g439555(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2116), .Y (n_4762));
-  sky130_fd_sc_hd__o2bb2ai_1 g439556(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2087), .Y (n_4761));
-  sky130_fd_sc_hd__a22o_1 g439557(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4760));
-  sky130_fd_sc_hd__a22o_1 g439558(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]), .B1
-       (n_2103), .B2 (n_2240), .X (n_4759));
-  sky130_fd_sc_hd__a22o_1 g439559(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4758));
-  sky130_fd_sc_hd__a22o_1 g439560(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4757));
-  sky130_fd_sc_hd__a22o_1 g439561(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4756));
-  sky130_fd_sc_hd__a22o_1 g439562(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]), .B1
-       (n_2049), .B2 (n_2235), .X (n_4755));
-  sky130_fd_sc_hd__o2bb2ai_1 g439563(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2077), .Y (n_4754));
-  sky130_fd_sc_hd__o2bb2ai_1 g439564(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2065), .Y (n_4753));
-  sky130_fd_sc_hd__a22o_1 g439565(.A1 (n_2467), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]), .B1
-       (n_2133), .B2 (n_2010), .X (n_4752));
-  sky130_fd_sc_hd__a22o_1 g439566(.A1 (n_2830), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]), .B1
-       (n_2236), .B2 (n_2105), .X (n_4751));
-  sky130_fd_sc_hd__o2bb2ai_1 g439567(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2099), .Y (n_4750));
-  sky130_fd_sc_hd__a22o_1 g439568(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4749));
-  sky130_fd_sc_hd__a22o_1 g439569(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]), .B1
-       (n_2051), .B2 (n_1995), .X (n_4748));
-  sky130_fd_sc_hd__o2bb2ai_1 g439570(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2116), .Y (n_4747));
-  sky130_fd_sc_hd__a22o_1 g439571(.A1 (n_2440), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]), .B1
-       (n_2232), .B2 (n_2080), .X (n_4746));
-  sky130_fd_sc_hd__a22o_1 g439572(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4745));
-  sky130_fd_sc_hd__a22o_1 g439573(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4744));
-  sky130_fd_sc_hd__o2bb2ai_1 g439574(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2124), .Y (n_4743));
-  sky130_fd_sc_hd__a22o_1 g439575(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4742));
-  sky130_fd_sc_hd__o2bb2ai_1 g439576(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2042), .Y (n_4741));
-  sky130_fd_sc_hd__o2bb2ai_1 g439577(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2040), .Y (n_4740));
-  sky130_fd_sc_hd__o2bb2ai_1 g439578(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2085), .Y (n_4739));
-  sky130_fd_sc_hd__o2bb2ai_1 g439579(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2050), .Y (n_4738));
-  sky130_fd_sc_hd__o2bb2ai_1 g439580(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2048), .Y (n_4737));
-  sky130_fd_sc_hd__o2bb2ai_1 g439581(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2046), .Y (n_4736));
-  sky130_fd_sc_hd__o2bb2ai_1 g439582(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2044), .Y (n_4735));
-  sky130_fd_sc_hd__o2bb2ai_1 g439583(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]), .A2_N
-       (n_2879), .B1 (n_2218), .B2 (n_2038), .Y (n_4734));
-  sky130_fd_sc_hd__o2bb2ai_1 g439584(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2042), .Y (n_4733));
-  sky130_fd_sc_hd__o2bb2ai_1 g439585(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2040), .Y (n_4732));
-  sky130_fd_sc_hd__o2bb2ai_1 g439586(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2052), .Y (n_4731));
-  sky130_fd_sc_hd__o2bb2ai_1 g439587(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2050), .Y (n_4730));
-  sky130_fd_sc_hd__o2bb2ai_1 g439588(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2048), .Y (n_4729));
-  sky130_fd_sc_hd__o2bb2ai_1 g439589(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2046), .Y (n_4728));
-  sky130_fd_sc_hd__o2bb2ai_1 g439590(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2044), .Y (n_4727));
-  sky130_fd_sc_hd__o2bb2ai_1 g439591(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]), .A2_N
-       (n_2852), .B1 (n_2216), .B2 (n_2038), .Y (n_4726));
-  sky130_fd_sc_hd__o2bb2ai_1 g439592(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2042), .Y (n_4725));
-  sky130_fd_sc_hd__o2bb2ai_1 g439593(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2040), .Y (n_4724));
-  sky130_fd_sc_hd__o2bb2ai_1 g439594(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2052), .Y (n_4723));
-  sky130_fd_sc_hd__o2bb2ai_1 g439595(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2050), .Y (n_4722));
-  sky130_fd_sc_hd__o2bb2ai_1 g439596(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2048), .Y (n_4721));
-  sky130_fd_sc_hd__o2bb2ai_1 g439597(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2046), .Y (n_4720));
-  sky130_fd_sc_hd__o2bb2ai_1 g439598(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2044), .Y (n_4719));
-  sky130_fd_sc_hd__o2bb2ai_1 g439599(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]), .A2_N
-       (n_2869), .B1 (n_2224), .B2 (n_2038), .Y (n_4718));
-  sky130_fd_sc_hd__o2bb2ai_1 g439600(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2042), .Y (n_4717));
-  sky130_fd_sc_hd__o2bb2ai_1 g439601(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2040), .Y (n_4716));
-  sky130_fd_sc_hd__o2bb2ai_1 g439602(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2052), .Y (n_4715));
-  sky130_fd_sc_hd__o2bb2ai_1 g439603(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2050), .Y (n_4714));
-  sky130_fd_sc_hd__o2bb2ai_1 g439604(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2048), .Y (n_4713));
-  sky130_fd_sc_hd__o2bb2ai_1 g439605(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2046), .Y (n_4712));
-  sky130_fd_sc_hd__o2bb2ai_1 g439606(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2044), .Y (n_4711));
-  sky130_fd_sc_hd__o2bb2ai_1 g439607(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]), .A2_N
-       (n_2810), .B1 (n_2220), .B2 (n_2038), .Y (n_4710));
-  sky130_fd_sc_hd__o2bb2ai_1 g439608(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]), .A2_N
-       (n_2880), .B1 (n_2018), .B2 (n_2218), .Y (n_4709));
-  sky130_fd_sc_hd__o2bb2ai_1 g439609(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]), .A2_N
-       (n_2880), .B1 (n_2032), .B2 (n_2218), .Y (n_4708));
-  sky130_fd_sc_hd__o2bb2ai_1 g439610(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2122), .Y (n_4707));
-  sky130_fd_sc_hd__o2bb2ai_1 g439611(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]), .A2_N
-       (n_2880), .B1 (n_2022), .B2 (n_2218), .Y (n_4706));
-  sky130_fd_sc_hd__o2bb2ai_1 g439612(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]), .A2_N
-       (n_2880), .B1 (n_2030), .B2 (n_2218), .Y (n_4705));
-  sky130_fd_sc_hd__o2bb2ai_1 g439613(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]), .A2_N
-       (n_2880), .B1 (n_2028), .B2 (n_2218), .Y (n_4704));
-  sky130_fd_sc_hd__o2bb2ai_1 g439614(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]), .A2_N
-       (n_2880), .B1 (n_2026), .B2 (n_2218), .Y (n_4703));
-  sky130_fd_sc_hd__o2bb2ai_1 g439615(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]), .A2_N
-       (n_2880), .B1 (n_2024), .B2 (n_2218), .Y (n_4702));
-  sky130_fd_sc_hd__o2bb2ai_1 g439616(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]), .A2_N
-       (n_2828), .B1 (n_2102), .B2 (n_1994), .Y (n_4701));
-  sky130_fd_sc_hd__o2bb2ai_1 g439617(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]), .A2_N
-       (n_2880), .B1 (n_2020), .B2 (n_2218), .Y (n_4700));
-  sky130_fd_sc_hd__o2bb2ai_1 g439618(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]), .A2_N
-       (n_2851), .B1 (n_2018), .B2 (n_2216), .Y (n_4699));
-  sky130_fd_sc_hd__o2bb2ai_1 g439619(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]), .A2_N
-       (n_2851), .B1 (n_2032), .B2 (n_2216), .Y (n_4698));
-  sky130_fd_sc_hd__o2bb2ai_1 g439620(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]), .A2_N
-       (n_2851), .B1 (n_2022), .B2 (n_2216), .Y (n_4697));
-  sky130_fd_sc_hd__o2bb2ai_1 g439621(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]), .A2_N
-       (n_2851), .B1 (n_2030), .B2 (n_2216), .Y (n_4696));
-  sky130_fd_sc_hd__o2bb2ai_1 g439622(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]), .A2_N
-       (n_2851), .B1 (n_2028), .B2 (n_2216), .Y (n_4695));
-  sky130_fd_sc_hd__a22o_1 g439623(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]), .B1
-       (n_2088), .B2 (n_2256), .X (n_4694));
-  sky130_fd_sc_hd__o2bb2ai_1 g439624(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]), .A2_N
-       (n_2851), .B1 (n_2026), .B2 (n_2216), .Y (n_4693));
-  sky130_fd_sc_hd__o2bb2ai_1 g439625(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]), .A2_N
-       (n_2851), .B1 (n_2024), .B2 (n_2216), .Y (n_4692));
-  sky130_fd_sc_hd__o2bb2ai_1 g439626(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]), .A2_N
-       (n_2851), .B1 (n_2020), .B2 (n_2216), .Y (n_4691));
-  sky130_fd_sc_hd__o2bb2ai_1 g439627(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]), .A2_N
-       (n_2868), .B1 (n_2018), .B2 (n_2224), .Y (n_4690));
-  sky130_fd_sc_hd__o2bb2ai_1 g439628(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]), .A2_N
-       (n_2868), .B1 (n_2032), .B2 (n_2224), .Y (n_4689));
-  sky130_fd_sc_hd__o2bb2ai_1 g439629(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]), .A2_N
-       (n_2868), .B1 (n_2022), .B2 (n_2224), .Y (n_4688));
-  sky130_fd_sc_hd__o2bb2ai_1 g439630(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]), .A2_N
-       (n_2868), .B1 (n_2030), .B2 (n_2224), .Y (n_4687));
-  sky130_fd_sc_hd__o2bb2ai_1 g439631(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]), .A2_N
-       (n_2868), .B1 (n_2028), .B2 (n_2224), .Y (n_4686));
-  sky130_fd_sc_hd__o2bb2ai_1 g439632(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]), .A2_N
-       (n_2868), .B1 (n_2026), .B2 (n_2224), .Y (n_4685));
-  sky130_fd_sc_hd__o2bb2ai_1 g439633(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]), .A2_N
-       (n_2868), .B1 (n_2024), .B2 (n_2224), .Y (n_4684));
-  sky130_fd_sc_hd__o2bb2ai_1 g439634(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]), .A2_N
-       (n_2868), .B1 (n_2020), .B2 (n_2224), .Y (n_4683));
-  sky130_fd_sc_hd__o2bb2ai_1 g439635(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]), .A2_N
-       (n_2811), .B1 (n_2018), .B2 (n_2220), .Y (n_4682));
-  sky130_fd_sc_hd__o2bb2ai_1 g439636(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]), .A2_N
-       (n_2811), .B1 (n_2032), .B2 (n_2220), .Y (n_4681));
-  sky130_fd_sc_hd__o2bb2ai_1 g439637(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]), .A2_N
-       (n_2811), .B1 (n_2022), .B2 (n_2220), .Y (n_4680));
-  sky130_fd_sc_hd__o2bb2ai_1 g439638(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]), .A2_N
-       (n_2811), .B1 (n_2030), .B2 (n_2220), .Y (n_4679));
-  sky130_fd_sc_hd__o2bb2ai_1 g439639(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]), .A2_N
-       (n_2811), .B1 (n_2028), .B2 (n_2220), .Y (n_4678));
-  sky130_fd_sc_hd__o2bb2ai_1 g439640(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]), .A2_N
-       (n_2811), .B1 (n_2026), .B2 (n_2220), .Y (n_4677));
-  sky130_fd_sc_hd__o2bb2ai_1 g439641(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]), .A2_N
-       (n_2811), .B1 (n_2024), .B2 (n_2220), .Y (n_4676));
-  sky130_fd_sc_hd__o2bb2ai_1 g439642(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]), .A2_N
-       (n_2811), .B1 (n_2020), .B2 (n_2220), .Y (n_4675));
-  sky130_fd_sc_hd__o2bb2ai_1 g439643(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2042), .Y (n_4674));
-  sky130_fd_sc_hd__o2bb2ai_1 g439644(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2040), .Y (n_4673));
-  sky130_fd_sc_hd__o2bb2ai_1 g439645(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2052), .Y (n_4672));
-  sky130_fd_sc_hd__o2bb2ai_1 g439646(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2050), .Y (n_4671));
-  sky130_fd_sc_hd__o2bb2ai_1 g439647(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2048), .Y (n_4670));
-  sky130_fd_sc_hd__o2bb2ai_1 g439648(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2046), .Y (n_4669));
-  sky130_fd_sc_hd__o2bb2ai_1 g439649(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2044), .Y (n_4668));
-  sky130_fd_sc_hd__o2bb2ai_1 g439650(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]), .A2_N
-       (n_2884), .B1 (n_2230), .B2 (n_2038), .Y (n_4667));
-  sky130_fd_sc_hd__o2bb2ai_1 g439651(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2042), .Y (n_4666));
-  sky130_fd_sc_hd__a22o_1 g439652(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]), .B1
-       (n_2098), .B2 (n_2235), .X (n_4665));
-  sky130_fd_sc_hd__o2bb2ai_1 g439653(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2040), .Y (n_4664));
-  sky130_fd_sc_hd__o2bb2ai_1 g439654(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2052), .Y (n_4663));
-  sky130_fd_sc_hd__o2bb2ai_1 g439655(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2050), .Y (n_4662));
-  sky130_fd_sc_hd__o2bb2ai_1 g439656(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2048), .Y (n_4661));
-  sky130_fd_sc_hd__o2bb2ai_1 g439657(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2046), .Y (n_4660));
-  sky130_fd_sc_hd__o2bb2ai_1 g439658(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2044), .Y (n_4659));
-  sky130_fd_sc_hd__o2bb2ai_1 g439659(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]), .A2_N
-       (n_2809), .B1 (n_2217), .B2 (n_2038), .Y (n_4658));
-  sky130_fd_sc_hd__a22o_1 g439660(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]), .B1
-       (n_2043), .B2 (n_1993), .X (n_4657));
-  sky130_fd_sc_hd__a22o_1 g439661(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]), .B1
-       (n_2053), .B2 (n_1993), .X (n_4656));
-  sky130_fd_sc_hd__a22o_1 g439662(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]), .B1
-       (n_2051), .B2 (n_1993), .X (n_4655));
-  sky130_fd_sc_hd__o2bb2ai_1 g439663(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2073), .Y (n_4654));
-  sky130_fd_sc_hd__a22o_1 g439664(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]), .B1
-       (n_2049), .B2 (n_1993), .X (n_4653));
-  sky130_fd_sc_hd__a22o_1 g439665(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]), .B1
-       (n_2047), .B2 (n_1993), .X (n_4652));
-  sky130_fd_sc_hd__a22o_1 g439666(.A1 (n_2882), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]), .B1
-       (n_2045), .B2 (n_1993), .X (n_4651));
-  sky130_fd_sc_hd__a22oi_1 g439667(.A1 (n_2391), .A2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .B1 (n_2283), .B2 (n_1051), .Y (n_4650));
-  sky130_fd_sc_hd__o2bb2ai_1 g439668(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2042), .Y (n_4649));
-  sky130_fd_sc_hd__o2bb2ai_1 g439669(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2040), .Y (n_4648));
-  sky130_fd_sc_hd__o2bb2ai_1 g439670(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2052), .Y (n_4647));
-  sky130_fd_sc_hd__o2bb2ai_1 g439671(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2050), .Y (n_4646));
-  sky130_fd_sc_hd__o2bb2ai_1 g439672(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2048), .Y (n_4645));
-  sky130_fd_sc_hd__o2bb2ai_1 g439673(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2046), .Y (n_4644));
-  sky130_fd_sc_hd__o2bb2ai_1 g439674(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2044), .Y (n_4643));
-  sky130_fd_sc_hd__o2bb2ai_1 g439675(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]), .A2_N
-       (n_2845), .B1 (n_2219), .B2 (n_2038), .Y (n_4642));
-  sky130_fd_sc_hd__o2bb2ai_1 g439676(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]), .A2_N
-       (n_2885), .B1 (n_2018), .B2 (n_2230), .Y (n_4641));
-  sky130_fd_sc_hd__o2bb2ai_1 g439677(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]), .A2_N
-       (n_2885), .B1 (n_2032), .B2 (n_2230), .Y (n_4640));
-  sky130_fd_sc_hd__a22o_1 g439678(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]), .B1
-       (n_2043), .B2 (n_1995), .X (n_4639));
-  sky130_fd_sc_hd__o2bb2ai_1 g439679(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]), .A2_N
-       (n_2885), .B1 (n_2022), .B2 (n_2230), .Y (n_4638));
-  sky130_fd_sc_hd__o2bb2ai_1 g439680(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]), .A2_N
-       (n_2885), .B1 (n_2030), .B2 (n_2230), .Y (n_4637));
-  sky130_fd_sc_hd__o2bb2ai_1 g439681(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]), .A2_N
-       (n_2885), .B1 (n_2028), .B2 (n_2230), .Y (n_4636));
-  sky130_fd_sc_hd__o2bb2ai_1 g439682(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]), .A2_N
-       (n_2885), .B1 (n_2026), .B2 (n_2230), .Y (n_4635));
-  sky130_fd_sc_hd__o2bb2ai_1 g439683(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]), .A2_N
-       (n_2885), .B1 (n_2024), .B2 (n_2230), .Y (n_4634));
-  sky130_fd_sc_hd__o2bb2ai_1 g439684(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]), .A2_N
-       (n_2885), .B1 (n_2020), .B2 (n_2230), .Y (n_4633));
-  sky130_fd_sc_hd__a22o_1 g439685(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]), .B1
-       (n_2214), .B2 (n_2021), .X (n_4632));
-  sky130_fd_sc_hd__o2bb2ai_1 g439686(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]), .A2_N
-       (n_2813), .B1 (n_2018), .B2 (n_2217), .Y (n_4631));
-  sky130_fd_sc_hd__o2bb2ai_1 g439687(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]), .A2_N
-       (n_2425), .B1 (n_2016), .B2 (n_2231), .Y (n_4630));
-  sky130_fd_sc_hd__o2bb2ai_1 g439688(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]), .A2_N
-       (n_2813), .B1 (n_2032), .B2 (n_2217), .Y (n_4629));
-  sky130_fd_sc_hd__o2bb2ai_1 g439689(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]), .A2_N
-       (n_2813), .B1 (n_2022), .B2 (n_2217), .Y (n_4628));
-  sky130_fd_sc_hd__o2bb2ai_1 g439690(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]), .A2_N
-       (n_2813), .B1 (n_2030), .B2 (n_2217), .Y (n_4627));
-  sky130_fd_sc_hd__o2bb2ai_1 g439691(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]), .A2_N
-       (n_2813), .B1 (n_2028), .B2 (n_2217), .Y (n_4626));
-  sky130_fd_sc_hd__o2bb2ai_1 g439692(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]), .A2_N
-       (n_2813), .B1 (n_2026), .B2 (n_2217), .Y (n_4625));
-  sky130_fd_sc_hd__o2bb2ai_1 g439693(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]), .A2_N
-       (n_2813), .B1 (n_2024), .B2 (n_2217), .Y (n_4624));
-  sky130_fd_sc_hd__o2bb2ai_1 g439694(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]), .A2_N
-       (n_2813), .B1 (n_2020), .B2 (n_2217), .Y (n_4623));
-  sky130_fd_sc_hd__a22o_1 g439695(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]), .B1
-       (n_1993), .B2 (n_2019), .X (n_4622));
-  sky130_fd_sc_hd__a22o_1 g439696(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]), .B1
-       (n_1993), .B2 (n_2033), .X (n_4621));
-  sky130_fd_sc_hd__a22o_1 g439697(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]), .B1
-       (n_1993), .B2 (n_2023), .X (n_4620));
-  sky130_fd_sc_hd__a22o_1 g439698(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]), .B1
-       (n_1993), .B2 (n_2031), .X (n_4619));
-  sky130_fd_sc_hd__a22o_1 g439699(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]), .B1
-       (n_1993), .B2 (n_2029), .X (n_4618));
-  sky130_fd_sc_hd__a22o_1 g439700(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]), .B1
-       (n_1993), .B2 (n_2027), .X (n_4617));
-  sky130_fd_sc_hd__a22o_1 g439701(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]), .B1
-       (n_1993), .B2 (n_2025), .X (n_4616));
-  sky130_fd_sc_hd__a22o_1 g439702(.A1 (n_2881), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]), .B1
-       (n_1993), .B2 (n_2021), .X (n_4615));
-  sky130_fd_sc_hd__o2bb2ai_1 g439703(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]), .A2_N
-       (n_2844), .B1 (n_2018), .B2 (n_2219), .Y (n_4614));
-  sky130_fd_sc_hd__a22o_1 g439704(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]), .B1
-       (n_2214), .B2 (n_2029), .X (n_4613));
-  sky130_fd_sc_hd__o2bb2ai_1 g439705(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]), .A2_N
-       (n_2844), .B1 (n_2022), .B2 (n_2219), .Y (n_4612));
-  sky130_fd_sc_hd__o2bb2ai_1 g439706(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]), .A2_N
-       (n_2844), .B1 (n_2030), .B2 (n_2219), .Y (n_4611));
-  sky130_fd_sc_hd__o2bb2ai_1 g439707(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]), .A2_N
-       (n_2844), .B1 (n_2028), .B2 (n_2219), .Y (n_4610));
-  sky130_fd_sc_hd__o2bb2ai_1 g439708(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]), .A2_N
-       (n_2844), .B1 (n_2026), .B2 (n_2219), .Y (n_4609));
-  sky130_fd_sc_hd__o2bb2ai_1 g439709(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]), .A2_N
-       (n_2844), .B1 (n_2024), .B2 (n_2219), .Y (n_4608));
-  sky130_fd_sc_hd__o2bb2ai_1 g439710(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]), .A2_N
-       (n_2844), .B1 (n_2020), .B2 (n_2219), .Y (n_4607));
-  sky130_fd_sc_hd__o2bb2ai_1 g439711(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2110), .Y (n_4606));
-  sky130_fd_sc_hd__o2bb2ai_1 g439712(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2083), .Y (n_4605));
-  sky130_fd_sc_hd__o2bb2ai_1 g439713(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2100), .Y (n_4604));
-  sky130_fd_sc_hd__o2bb2ai_1 g439714(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2104), .Y (n_4603));
-  sky130_fd_sc_hd__o2bb2ai_1 g439715(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2099), .Y (n_4602));
-  sky130_fd_sc_hd__o2bb2ai_1 g439716(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2085), .Y (n_4601));
-  sky130_fd_sc_hd__o2bb2ai_1 g439717(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2079), .Y (n_4600));
-  sky130_fd_sc_hd__o2bb2ai_1 g439718(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]), .A2_N
-       (n_2773), .B1 (n_2218), .B2 (n_2128), .Y (n_4599));
-  sky130_fd_sc_hd__o2bb2ai_1 g439719(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2110), .Y (n_4598));
-  sky130_fd_sc_hd__o2bb2ai_1 g439720(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2083), .Y (n_4597));
-  sky130_fd_sc_hd__o2bb2ai_1 g439721(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2100), .Y (n_4596));
-  sky130_fd_sc_hd__o2bb2ai_1 g439722(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2104), .Y (n_4595));
-  sky130_fd_sc_hd__o2bb2ai_1 g439723(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2099), .Y (n_4594));
-  sky130_fd_sc_hd__o2bb2ai_1 g439724(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2085), .Y (n_4593));
-  sky130_fd_sc_hd__o2bb2ai_1 g439725(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2079), .Y (n_4592));
-  sky130_fd_sc_hd__o2bb2ai_1 g439726(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]), .A2_N
-       (n_2432), .B1 (n_2216), .B2 (n_2128), .Y (n_4591));
-  sky130_fd_sc_hd__o2bb2ai_1 g439727(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2110), .Y (n_4590));
-  sky130_fd_sc_hd__o2bb2ai_1 g439728(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2083), .Y (n_4589));
-  sky130_fd_sc_hd__o2bb2ai_1 g439729(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2100), .Y (n_4588));
-  sky130_fd_sc_hd__o2bb2ai_1 g439730(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2089), .Y (n_4587));
-  sky130_fd_sc_hd__o2bb2ai_1 g439731(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2104), .Y (n_4586));
-  sky130_fd_sc_hd__o2bb2ai_1 g439732(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2099), .Y (n_4585));
-  sky130_fd_sc_hd__o2bb2ai_1 g439733(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2085), .Y (n_4584));
-  sky130_fd_sc_hd__o2bb2ai_1 g439734(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2079), .Y (n_4583));
-  sky130_fd_sc_hd__a22o_1 g439735(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]), .B1
-       (n_2214), .B2 (n_2023), .X (n_4582));
-  sky130_fd_sc_hd__o2bb2ai_1 g439736(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]), .A2_N
-       (n_2694), .B1 (n_2224), .B2 (n_2128), .Y (n_4581));
-  sky130_fd_sc_hd__o2bb2ai_1 g439737(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2110), .Y (n_4580));
-  sky130_fd_sc_hd__o2bb2ai_1 g439738(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2083), .Y (n_4579));
-  sky130_fd_sc_hd__o2bb2ai_1 g439739(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2104), .Y (n_4578));
-  sky130_fd_sc_hd__o2bb2ai_1 g439740(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2099), .Y (n_4577));
-  sky130_fd_sc_hd__o2bb2ai_1 g439741(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2085), .Y (n_4576));
-  sky130_fd_sc_hd__o2bb2ai_1 g439742(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2079), .Y (n_4575));
-  sky130_fd_sc_hd__o2bb2ai_1 g439743(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2116), .Y (n_4574));
-  sky130_fd_sc_hd__o2bb2ai_1 g439744(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]), .A2_N
-       (n_2480), .B1 (n_2106), .B2 (n_2223), .Y (n_4573));
-  sky130_fd_sc_hd__o2bb2ai_1 g439745(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2117), .Y (n_4572));
-  sky130_fd_sc_hd__o2bb2ai_1 g439746(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2097), .Y (n_4571));
-  sky130_fd_sc_hd__o2bb2ai_1 g439747(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2125), .Y (n_4570));
-  sky130_fd_sc_hd__o2bb2ai_1 g439748(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2013), .Y (n_4569));
-  sky130_fd_sc_hd__o2bb2ai_1 g439749(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2122), .Y (n_4568));
-  sky130_fd_sc_hd__o2bb2ai_1 g439750(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]), .A2_N
-       (n_2854), .B1 (n_2218), .B2 (n_2077), .Y (n_4567));
-  sky130_fd_sc_hd__o2bb2ai_1 g439751(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2116), .Y (n_4566));
-  sky130_fd_sc_hd__o2bb2ai_1 g439752(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2097), .Y (n_4565));
-  sky130_fd_sc_hd__o2bb2ai_1 g439753(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2125), .Y (n_4564));
-  sky130_fd_sc_hd__o2bb2ai_1 g439754(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2013), .Y (n_4563));
-  sky130_fd_sc_hd__o2bb2ai_1 g439755(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2122), .Y (n_4562));
-  sky130_fd_sc_hd__o2bb2ai_1 g439756(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]), .A2_N
-       (n_2736), .B1 (n_2216), .B2 (n_2077), .Y (n_4561));
-  sky130_fd_sc_hd__o2bb2ai_1 g439757(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2079), .Y (n_4560));
-  sky130_fd_sc_hd__o2bb2ai_1 g439758(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2117), .Y (n_4559));
-  sky130_fd_sc_hd__o2bb2ai_1 g439759(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2097), .Y (n_4558));
-  sky130_fd_sc_hd__o2bb2ai_1 g439760(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2013), .Y (n_4557));
-  sky130_fd_sc_hd__o2bb2ai_1 g439761(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2122), .Y (n_4556));
-  sky130_fd_sc_hd__o2bb2ai_1 g439762(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2077), .Y (n_4555));
-  sky130_fd_sc_hd__o2bb2ai_1 g439763(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2075), .Y (n_4554));
-  sky130_fd_sc_hd__o2bb2ai_1 g439764(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]), .A2_N
-       (n_2788), .B1 (n_2224), .B2 (n_2113), .Y (n_4553));
-  sky130_fd_sc_hd__o2bb2ai_1 g439765(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2130), .Y (n_4552));
-  sky130_fd_sc_hd__o2bb2ai_1 g439766(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2116), .Y (n_4551));
-  sky130_fd_sc_hd__o2bb2ai_1 g439767(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2117), .Y (n_4550));
-  sky130_fd_sc_hd__o2bb2ai_1 g439768(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2125), .Y (n_4549));
-  sky130_fd_sc_hd__o2bb2ai_1 g439769(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2013), .Y (n_4548));
-  sky130_fd_sc_hd__o2bb2ai_1 g439770(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]), .A2_N
-       (n_2873), .B1 (n_2220), .B2 (n_2077), .Y (n_4547));
-  sky130_fd_sc_hd__o2bb2ai_1 g439771(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2083), .Y (n_4546));
-  sky130_fd_sc_hd__o2bb2ai_1 g439772(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2100), .Y (n_4545));
-  sky130_fd_sc_hd__o2bb2ai_1 g439773(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2104), .Y (n_4544));
-  sky130_fd_sc_hd__o2bb2ai_1 g439774(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2099), .Y (n_4543));
-  sky130_fd_sc_hd__o2bb2ai_1 g439775(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2085), .Y (n_4542));
-  sky130_fd_sc_hd__o2bb2ai_1 g439776(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2079), .Y (n_4541));
-  sky130_fd_sc_hd__o2bb2ai_1 g439777(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]), .A2_N
-       (n_2850), .B1 (n_2230), .B2 (n_2128), .Y (n_4540));
-  sky130_fd_sc_hd__o2bb2ai_1 g439778(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2110), .Y (n_4539));
-  sky130_fd_sc_hd__o2bb2ai_1 g439779(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2100), .Y (n_4538));
-  sky130_fd_sc_hd__o2bb2ai_1 g439780(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2104), .Y (n_4537));
-  sky130_fd_sc_hd__o2bb2ai_1 g439781(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2099), .Y (n_4536));
-  sky130_fd_sc_hd__o2bb2ai_1 g439782(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2085), .Y (n_4535));
-  sky130_fd_sc_hd__o2bb2ai_1 g439783(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2079), .Y (n_4534));
-  sky130_fd_sc_hd__o2bb2ai_1 g439784(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2089), .Y (n_4533));
-  sky130_fd_sc_hd__o2bb2ai_1 g439785(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2083), .Y (n_4532));
-  sky130_fd_sc_hd__o2bb2ai_1 g439786(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2100), .Y (n_4531));
-  sky130_fd_sc_hd__o2bb2ai_1 g439787(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2124), .Y (n_4530));
-  sky130_fd_sc_hd__o2bb2ai_1 g439788(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2104), .Y (n_4529));
-  sky130_fd_sc_hd__o2bb2ai_1 g439789(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2099), .Y (n_4528));
-  sky130_fd_sc_hd__o2bb2ai_1 g439790(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2085), .Y (n_4527));
-  sky130_fd_sc_hd__o2bb2ai_1 g439791(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2128), .Y (n_4526));
-  sky130_fd_sc_hd__o2bb2ai_1 g439792(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2110), .Y (n_4525));
-  sky130_fd_sc_hd__o2bb2ai_1 g439793(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2100), .Y (n_4524));
-  sky130_fd_sc_hd__o2bb2ai_1 g439794(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2104), .Y (n_4523));
-  sky130_fd_sc_hd__o2bb2ai_1 g439795(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2099), .Y (n_4522));
-  sky130_fd_sc_hd__o2bb2ai_1 g439796(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2085), .Y (n_4521));
-  sky130_fd_sc_hd__o2bb2ai_1 g439797(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]), .A2_N
-       (n_2515), .B1 (n_2219), .B2 (n_2128), .Y (n_4520));
-  sky130_fd_sc_hd__o2bb2ai_1 g439798(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2117), .Y (n_4519));
-  sky130_fd_sc_hd__o2bb2ai_1 g439799(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2097), .Y (n_4518));
-  sky130_fd_sc_hd__o2bb2ai_1 g439800(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2125), .Y (n_4517));
-  sky130_fd_sc_hd__o2bb2ai_1 g439801(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2013), .Y (n_4516));
-  sky130_fd_sc_hd__o2bb2ai_1 g439802(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2122), .Y (n_4515));
-  sky130_fd_sc_hd__o2bb2ai_1 g439803(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2077), .Y (n_4514));
-  sky130_fd_sc_hd__o2bb2ai_1 g439804(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]), .A2_N
-       (n_2875), .B1 (n_2230), .B2 (n_2113), .Y (n_4513));
-  sky130_fd_sc_hd__o2bb2ai_1 g439805(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2116), .Y (n_4512));
-  sky130_fd_sc_hd__o2bb2ai_1 g439806(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2117), .Y (n_4511));
-  sky130_fd_sc_hd__o2bb2ai_1 g439807(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2097), .Y (n_4510));
-  sky130_fd_sc_hd__o2bb2ai_1 g439808(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2125), .Y (n_4509));
-  sky130_fd_sc_hd__o2bb2ai_1 g439809(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2122), .Y (n_4508));
-  sky130_fd_sc_hd__o2bb2ai_1 g439810(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]), .A2_N
-       (n_2874), .B1 (n_2217), .B2 (n_2077), .Y (n_4507));
-  sky130_fd_sc_hd__o2bb2ai_1 g439811(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2116), .Y (n_4506));
-  sky130_fd_sc_hd__o2bb2ai_1 g439812(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2117), .Y (n_4505));
-  sky130_fd_sc_hd__o2bb2ai_1 g439813(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2097), .Y (n_4504));
-  sky130_fd_sc_hd__o2bb2ai_1 g439814(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2125), .Y (n_4503));
-  sky130_fd_sc_hd__o2bb2ai_1 g439815(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2013), .Y (n_4502));
-  sky130_fd_sc_hd__o2bb2ai_1 g439816(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2122), .Y (n_4501));
-  sky130_fd_sc_hd__o2bb2ai_1 g439817(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2077), .Y (n_4500));
-  sky130_fd_sc_hd__o2bb2ai_1 g439818(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2095), .Y (n_4499));
-  sky130_fd_sc_hd__o2bb2ai_1 g439819(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2116), .Y (n_4498));
-  sky130_fd_sc_hd__o2bb2ai_1 g439820(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2117), .Y (n_4497));
-  sky130_fd_sc_hd__o2bb2ai_1 g439821(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2097), .Y (n_4496));
-  sky130_fd_sc_hd__o2bb2ai_1 g439822(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2128), .Y (n_4495));
-  sky130_fd_sc_hd__o2bb2ai_1 g439823(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2013), .Y (n_4494));
-  sky130_fd_sc_hd__o2bb2ai_1 g439824(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2110), .Y (n_4493));
-  sky130_fd_sc_hd__a22o_1 g439825(.A1 (n_2523), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]), .B1
-       (n_2045), .B2 (n_2003), .X (n_4492));
-  sky130_fd_sc_hd__o2bb2ai_1 g439826(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2042), .Y (n_4491));
-  sky130_fd_sc_hd__o2bb2ai_1 g439827(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2040), .Y (n_4490));
-  sky130_fd_sc_hd__o2bb2ai_1 g439828(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]), .A2_N
-       (n_2705), .B1 (n_2219), .B2 (n_2125), .Y (n_4489));
-  sky130_fd_sc_hd__o2bb2ai_1 g439829(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2052), .Y (n_4488));
-  sky130_fd_sc_hd__o2bb2ai_1 g439830(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2050), .Y (n_4487));
-  sky130_fd_sc_hd__o2bb2ai_1 g439831(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2099), .Y (n_4486));
-  sky130_fd_sc_hd__o2bb2ai_1 g439832(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2048), .Y (n_4485));
-  sky130_fd_sc_hd__o2bb2ai_1 g439833(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2046), .Y (n_4484));
-  sky130_fd_sc_hd__o2bb2ai_1 g439834(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2044), .Y (n_4483));
-  sky130_fd_sc_hd__o2bb2ai_1 g439835(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]), .A2_N
-       (n_2865), .B1 (n_2227), .B2 (n_2038), .Y (n_4482));
-  sky130_fd_sc_hd__o2bb2ai_1 g439836(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2042), .Y (n_4481));
-  sky130_fd_sc_hd__o2bb2ai_1 g439837(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2048), .Y (n_4480));
-  sky130_fd_sc_hd__o2bb2ai_1 g439838(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]), .A2_N
-       (n_2808), .B1 (n_2231), .B2 (n_2044), .Y (n_4479));
-  sky130_fd_sc_hd__a22o_1 g439839(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]), .B1
-       (n_2041), .B2 (n_2228), .X (n_4478));
-  sky130_fd_sc_hd__a22o_1 g439840(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]), .B1
-       (n_2053), .B2 (n_2228), .X (n_4477));
-  sky130_fd_sc_hd__a22o_1 g439841(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]), .B1
-       (n_2051), .B2 (n_2228), .X (n_4476));
-  sky130_fd_sc_hd__a22o_1 g439842(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]), .B1
-       (n_2049), .B2 (n_2228), .X (n_4475));
-  sky130_fd_sc_hd__a22o_1 g439843(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]), .B1
-       (n_2047), .B2 (n_2228), .X (n_4474));
-  sky130_fd_sc_hd__a22o_1 g439844(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]), .B1
-       (n_2045), .B2 (n_2228), .X (n_4473));
-  sky130_fd_sc_hd__a22o_1 g439845(.A1 (n_2843), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]), .B1
-       (n_2039), .B2 (n_2228), .X (n_4472));
-  sky130_fd_sc_hd__a22o_1 g439846(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]), .B1
-       (n_2043), .B2 (n_2214), .X (n_4471));
-  sky130_fd_sc_hd__a22o_1 g439847(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]), .B1
-       (n_2053), .B2 (n_2214), .X (n_4470));
-  sky130_fd_sc_hd__a22o_1 g439848(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]), .B1
-       (n_2051), .B2 (n_2214), .X (n_4469));
-  sky130_fd_sc_hd__a22o_1 g439849(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]), .B1
-       (n_2049), .B2 (n_2214), .X (n_4468));
-  sky130_fd_sc_hd__a22o_1 g439850(.A1 (n_2807), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]), .B1
-       (n_2045), .B2 (n_2214), .X (n_4467));
-  sky130_fd_sc_hd__o2bb2ai_1 g439851(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]), .A2_N
-       (n_2864), .B1 (n_2018), .B2 (n_2227), .Y (n_4466));
-  sky130_fd_sc_hd__o2bb2ai_1 g439852(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]), .A2_N
-       (n_2864), .B1 (n_2032), .B2 (n_2227), .Y (n_4465));
-  sky130_fd_sc_hd__o2bb2ai_1 g439853(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]), .A2_N
-       (n_2864), .B1 (n_2022), .B2 (n_2227), .Y (n_4464));
-  sky130_fd_sc_hd__o2bb2ai_1 g439854(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]), .A2_N
-       (n_2864), .B1 (n_2030), .B2 (n_2227), .Y (n_4463));
-  sky130_fd_sc_hd__o2bb2ai_1 g439855(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]), .A2_N
-       (n_2864), .B1 (n_2028), .B2 (n_2227), .Y (n_4462));
-  sky130_fd_sc_hd__o2bb2ai_1 g439856(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]), .A2_N
-       (n_2864), .B1 (n_2026), .B2 (n_2227), .Y (n_4461));
-  sky130_fd_sc_hd__o2bb2ai_1 g439857(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]), .A2_N
-       (n_2864), .B1 (n_2020), .B2 (n_2227), .Y (n_4460));
-  sky130_fd_sc_hd__o2bb2ai_1 g439858(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]), .A2_N
-       (n_2812), .B1 (n_2018), .B2 (n_2231), .Y (n_4459));
-  sky130_fd_sc_hd__o2bb2ai_1 g439859(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2089), .Y (n_4458));
-  sky130_fd_sc_hd__o2bb2ai_1 g439860(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]), .A2_N
-       (n_2812), .B1 (n_2032), .B2 (n_2231), .Y (n_4457));
-  sky130_fd_sc_hd__o2bb2ai_1 g439861(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]), .A2_N
-       (n_2812), .B1 (n_2022), .B2 (n_2231), .Y (n_4456));
-  sky130_fd_sc_hd__o2bb2ai_1 g439862(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]), .A2_N
-       (n_2812), .B1 (n_2030), .B2 (n_2231), .Y (n_4455));
-  sky130_fd_sc_hd__o2bb2ai_1 g439863(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]), .A2_N
-       (n_2812), .B1 (n_2028), .B2 (n_2231), .Y (n_4454));
-  sky130_fd_sc_hd__o2bb2ai_1 g439864(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]), .A2_N
-       (n_2812), .B1 (n_2026), .B2 (n_2231), .Y (n_4453));
-  sky130_fd_sc_hd__o2bb2ai_1 g439865(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]), .A2_N
-       (n_2812), .B1 (n_2024), .B2 (n_2231), .Y (n_4452));
-  sky130_fd_sc_hd__o2bb2ai_1 g439866(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]), .A2_N
-       (n_2812), .B1 (n_2020), .B2 (n_2231), .Y (n_4451));
-  sky130_fd_sc_hd__a22o_1 g439867(.A1 (n_2842), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]), .B1
-       (n_2228), .B2 (n_2033), .X (n_4450));
-  sky130_fd_sc_hd__a22o_1 g439868(.A1 (n_2842), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]), .B1
-       (n_2228), .B2 (n_2031), .X (n_4449));
-  sky130_fd_sc_hd__a22o_1 g439869(.A1 (n_2842), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]), .B1
-       (n_2228), .B2 (n_2029), .X (n_4448));
-  sky130_fd_sc_hd__a22o_1 g439870(.A1 (n_2842), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]), .B1
-       (n_2228), .B2 (n_2021), .X (n_4447));
-  sky130_fd_sc_hd__a22o_1 g439871(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]), .B1
-       (n_2214), .B2 (n_2033), .X (n_4446));
-  sky130_fd_sc_hd__a22o_1 g439872(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]), .B1
-       (n_2214), .B2 (n_2031), .X (n_4445));
-  sky130_fd_sc_hd__a22o_1 g439873(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]), .B1
-       (n_2214), .B2 (n_2027), .X (n_4444));
-  sky130_fd_sc_hd__a22o_1 g439874(.A1 (n_2806), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]), .B1
-       (n_2214), .B2 (n_2025), .X (n_4443));
-  sky130_fd_sc_hd__a22o_1 g439875(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]), .B1
-       (n_2045), .B2 (n_2235), .X (n_4442));
-  sky130_fd_sc_hd__a22o_1 g439876(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]), .B1
-       (n_2041), .B2 (n_1995), .X (n_4441));
-  sky130_fd_sc_hd__a22o_1 g439877(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]), .B1
-       (n_2053), .B2 (n_1995), .X (n_4440));
-  sky130_fd_sc_hd__a22o_1 g439878(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]), .B1
-       (n_2049), .B2 (n_1995), .X (n_4439));
-  sky130_fd_sc_hd__a22o_1 g439879(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]), .B1
-       (n_2045), .B2 (n_1995), .X (n_4438));
-  sky130_fd_sc_hd__o2bb2ai_1 g439880(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2073), .Y (n_4437));
-  sky130_fd_sc_hd__a22o_1 g439881(.A1 (n_2877), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]), .B1
-       (n_2039), .B2 (n_1995), .X (n_4436));
-  sky130_fd_sc_hd__a22o_1 g439882(.A1 (n_2523), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]), .B1
-       (n_2049), .B2 (n_2003), .X (n_4435));
-  sky130_fd_sc_hd__o2bb2ai_1 g439883(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2040), .Y (n_4434));
-  sky130_fd_sc_hd__o2bb2ai_1 g439884(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2052), .Y (n_4433));
-  sky130_fd_sc_hd__o2bb2ai_1 g439885(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2050), .Y (n_4432));
-  sky130_fd_sc_hd__o2bb2ai_1 g439886(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2070), .Y (n_4431));
-  sky130_fd_sc_hd__o2bb2ai_1 g439887(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2046), .Y (n_4430));
-  sky130_fd_sc_hd__o2bb2ai_1 g439888(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2120), .Y (n_4429));
-  sky130_fd_sc_hd__o2bb2ai_1 g439889(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]), .A2_N
-       (n_2848), .B1 (n_2223), .B2 (n_2038), .Y (n_4428));
-  sky130_fd_sc_hd__a22o_1 g439890(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]), .B1
-       (n_2043), .B2 (n_1997), .X (n_4427));
-  sky130_fd_sc_hd__a22o_1 g439891(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]), .B1
-       (n_2041), .B2 (n_1997), .X (n_4426));
-  sky130_fd_sc_hd__a22o_1 g439892(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]), .B1
-       (n_2053), .B2 (n_1997), .X (n_4425));
-  sky130_fd_sc_hd__a22o_1 g439893(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]), .B1
-       (n_2051), .B2 (n_1997), .X (n_4424));
-  sky130_fd_sc_hd__a22o_1 g439894(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]), .B1
-       (n_2049), .B2 (n_1997), .X (n_4423));
-  sky130_fd_sc_hd__a22o_1 g439895(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]), .B1
-       (n_2045), .B2 (n_1997), .X (n_4422));
-  sky130_fd_sc_hd__a22o_1 g439896(.A1 (n_2867), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]), .B1
-       (n_2039), .B2 (n_1997), .X (n_4421));
-  sky130_fd_sc_hd__a22o_1 g439897(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]), .B1
-       (n_2043), .B2 (n_2221), .X (n_4420));
-  sky130_fd_sc_hd__a22o_1 g439898(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]), .B1
-       (n_2041), .B2 (n_2221), .X (n_4419));
-  sky130_fd_sc_hd__a22o_1 g439899(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]), .B1
-       (n_2051), .B2 (n_2221), .X (n_4418));
-  sky130_fd_sc_hd__a22o_1 g439900(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]), .B1
-       (n_2049), .B2 (n_2221), .X (n_4417));
-  sky130_fd_sc_hd__a22o_1 g439901(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]), .B1
-       (n_2047), .B2 (n_2221), .X (n_4416));
-  sky130_fd_sc_hd__a22o_1 g439902(.A1 (n_2871), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]), .B1
-       (n_2039), .B2 (n_2221), .X (n_4415));
-  sky130_fd_sc_hd__a22o_1 g439903(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]), .B1
-       (n_1995), .B2 (n_2019), .X (n_4414));
-  sky130_fd_sc_hd__a22o_1 g439904(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]), .B1
-       (n_1995), .B2 (n_2033), .X (n_4413));
-  sky130_fd_sc_hd__a22o_1 g439905(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]), .B1
-       (n_1995), .B2 (n_2023), .X (n_4412));
-  sky130_fd_sc_hd__a22o_1 g439906(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]), .B1
-       (n_1995), .B2 (n_2031), .X (n_4411));
-  sky130_fd_sc_hd__a22o_1 g439907(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]), .B1
-       (n_1995), .B2 (n_2027), .X (n_4410));
-  sky130_fd_sc_hd__a22o_1 g439908(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]), .B1
-       (n_1995), .B2 (n_2025), .X (n_4409));
-  sky130_fd_sc_hd__a22o_1 g439909(.A1 (n_2876), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]), .B1
-       (n_1995), .B2 (n_2021), .X (n_4408));
-  sky130_fd_sc_hd__o2bb2ai_1 g439910(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]), .A2_N
-       (n_2849), .B1 (n_2032), .B2 (n_2223), .Y (n_4407));
-  sky130_fd_sc_hd__o2bb2ai_1 g439911(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]), .A2_N
-       (n_2849), .B1 (n_2030), .B2 (n_2223), .Y (n_4406));
-  sky130_fd_sc_hd__o2bb2ai_1 g439912(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]), .A2_N
-       (n_2849), .B1 (n_2028), .B2 (n_2223), .Y (n_4405));
-  sky130_fd_sc_hd__o2bb2ai_1 g439913(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]), .A2_N
-       (n_2849), .B1 (n_2020), .B2 (n_2223), .Y (n_4404));
-  sky130_fd_sc_hd__a22o_1 g439914(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]), .B1
-       (n_1997), .B2 (n_2019), .X (n_4403));
-  sky130_fd_sc_hd__a22o_1 g439915(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]), .B1
-       (n_1997), .B2 (n_2023), .X (n_4402));
-  sky130_fd_sc_hd__a22o_1 g439916(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]), .B1
-       (n_1997), .B2 (n_2031), .X (n_4401));
-  sky130_fd_sc_hd__a22o_1 g439917(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]), .B1
-       (n_1997), .B2 (n_2029), .X (n_4400));
-  sky130_fd_sc_hd__a22o_1 g439918(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]), .B1
-       (n_1997), .B2 (n_2027), .X (n_4399));
-  sky130_fd_sc_hd__a22o_1 g439919(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]), .B1
-       (n_1997), .B2 (n_2025), .X (n_4398));
-  sky130_fd_sc_hd__a22o_1 g439920(.A1 (n_2866), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]), .B1
-       (n_1997), .B2 (n_2021), .X (n_4397));
-  sky130_fd_sc_hd__a22o_1 g439921(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]), .B1
-       (n_2221), .B2 (n_2019), .X (n_4396));
-  sky130_fd_sc_hd__a22o_1 g439922(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]), .B1
-       (n_2221), .B2 (n_2033), .X (n_4395));
-  sky130_fd_sc_hd__a22o_1 g439923(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]), .B1
-       (n_2221), .B2 (n_2023), .X (n_4394));
-  sky130_fd_sc_hd__a22o_1 g439924(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]), .B1
-       (n_2221), .B2 (n_2029), .X (n_4393));
-  sky130_fd_sc_hd__a22o_1 g439925(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]), .B1
-       (n_2221), .B2 (n_2027), .X (n_4392));
-  sky130_fd_sc_hd__a22o_1 g439926(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]), .B1
-       (n_2221), .B2 (n_2025), .X (n_4391));
-  sky130_fd_sc_hd__a22o_1 g439927(.A1 (n_2870), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]), .B1
-       (n_2221), .B2 (n_2021), .X (n_4390));
-  sky130_fd_sc_hd__o2bb2ai_1 g439928(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2110), .Y (n_4389));
-  sky130_fd_sc_hd__o2bb2ai_1 g439929(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2079), .Y (n_4388));
-  sky130_fd_sc_hd__o2bb2ai_1 g439930(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2120), .Y (n_4387));
-  sky130_fd_sc_hd__o2bb2ai_1 g439931(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2104), .Y (n_4386));
-  sky130_fd_sc_hd__o2bb2ai_1 g439932(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2099), .Y (n_4385));
-  sky130_fd_sc_hd__o2bb2ai_1 g439933(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]), .A2_N
-       (n_2872), .B1 (n_2227), .B2 (n_2079), .Y (n_4384));
-  sky130_fd_sc_hd__o2bb2ai_1 g439934(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2110), .Y (n_4383));
-  sky130_fd_sc_hd__o2bb2ai_1 g439935(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2083), .Y (n_4382));
-  sky130_fd_sc_hd__o2bb2ai_1 g439936(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2100), .Y (n_4381));
-  sky130_fd_sc_hd__o2bb2ai_1 g439937(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2099), .Y (n_4380));
-  sky130_fd_sc_hd__o2bb2ai_1 g439938(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2085), .Y (n_4379));
-  sky130_fd_sc_hd__o2bb2ai_1 g439939(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]), .A2_N
-       (n_2497), .B1 (n_2231), .B2 (n_2079), .Y (n_4378));
-  sky130_fd_sc_hd__o2bb2ai_1 g439940(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2083), .Y (n_4377));
-  sky130_fd_sc_hd__o2bb2ai_1 g439941(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2100), .Y (n_4376));
-  sky130_fd_sc_hd__o2bb2ai_1 g439942(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2104), .Y (n_4375));
-  sky130_fd_sc_hd__o2bb2ai_1 g439943(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2099), .Y (n_4374));
-  sky130_fd_sc_hd__o2bb2ai_1 g439944(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2085), .Y (n_4373));
-  sky130_fd_sc_hd__o2bb2ai_1 g439945(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2079), .Y (n_4372));
-  sky130_fd_sc_hd__o2bb2ai_1 g439946(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]), .A2_N
-       (n_2514), .B1 (n_2229), .B2 (n_2128), .Y (n_4371));
-  sky130_fd_sc_hd__o2bb2ai_1 g439947(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2110), .Y (n_4370));
-  sky130_fd_sc_hd__o2bb2ai_1 g439948(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2083), .Y (n_4369));
-  sky130_fd_sc_hd__o2bb2ai_1 g439949(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2100), .Y (n_4368));
-  sky130_fd_sc_hd__o2bb2ai_1 g439950(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2104), .Y (n_4367));
-  sky130_fd_sc_hd__o2bb2ai_1 g439951(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2085), .Y (n_4366));
-  sky130_fd_sc_hd__o2bb2ai_1 g439952(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]), .A2_N
-       (n_2471), .B1 (n_2215), .B2 (n_2128), .Y (n_4365));
-  sky130_fd_sc_hd__o2bb2ai_1 g439953(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2116), .Y (n_4364));
-  sky130_fd_sc_hd__o2bb2ai_1 g439954(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2117), .Y (n_4363));
-  sky130_fd_sc_hd__o2bb2ai_1 g439955(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2125), .Y (n_4362));
-  sky130_fd_sc_hd__o2bb2ai_1 g439956(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2122), .Y (n_4361));
-  sky130_fd_sc_hd__o2bb2ai_1 g439957(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2077), .Y (n_4360));
-  sky130_fd_sc_hd__o2bb2ai_1 g439958(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]), .A2_N
-       (n_2771), .B1 (n_2227), .B2 (n_2113), .Y (n_4359));
-  sky130_fd_sc_hd__o2bb2ai_1 g439959(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2117), .Y (n_4358));
-  sky130_fd_sc_hd__o2bb2ai_1 g439960(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]), .A2_N
-       (n_2855), .B1 (n_1994), .B2 (n_2113), .Y (n_4357));
-  sky130_fd_sc_hd__o2bb2ai_1 g439961(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2013), .Y (n_4356));
-  sky130_fd_sc_hd__o2bb2ai_1 g439962(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2122), .Y (n_4355));
-  sky130_fd_sc_hd__o2bb2ai_1 g439963(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2077), .Y (n_4354));
-  sky130_fd_sc_hd__o2bb2ai_1 g439964(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]), .A2_N
-       (n_2856), .B1 (n_2231), .B2 (n_2113), .Y (n_4353));
-  sky130_fd_sc_hd__o2bb2ai_1 g439965(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2085), .Y (n_4352));
-  sky130_fd_sc_hd__o2bb2ai_1 g439966(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2117), .Y (n_4351));
-  sky130_fd_sc_hd__o2bb2ai_1 g439967(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2097), .Y (n_4350));
-  sky130_fd_sc_hd__o2bb2ai_1 g439968(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2125), .Y (n_4349));
-  sky130_fd_sc_hd__o2bb2ai_1 g439969(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2013), .Y (n_4348));
-  sky130_fd_sc_hd__o2bb2ai_1 g439970(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2122), .Y (n_4347));
-  sky130_fd_sc_hd__o2bb2ai_1 g439971(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2077), .Y (n_4346));
-  sky130_fd_sc_hd__o2bb2ai_1 g439972(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]), .A2_N
-       (n_2704), .B1 (n_2229), .B2 (n_2113), .Y (n_4345));
-  sky130_fd_sc_hd__o2bb2ai_1 g439973(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2116), .Y (n_4344));
-  sky130_fd_sc_hd__o2bb2ai_1 g439974(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2117), .Y (n_4343));
-  sky130_fd_sc_hd__o2bb2ai_1 g439975(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2097), .Y (n_4342));
-  sky130_fd_sc_hd__o2bb2ai_1 g439976(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2125), .Y (n_4341));
-  sky130_fd_sc_hd__o2bb2ai_1 g439977(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2013), .Y (n_4340));
-  sky130_fd_sc_hd__o2bb2ai_1 g439978(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2122), .Y (n_4339));
-  sky130_fd_sc_hd__o2bb2ai_1 g439979(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2077), .Y (n_4338));
-  sky130_fd_sc_hd__o2bb2ai_1 g439980(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]), .A2_N
-       (n_2853), .B1 (n_2215), .B2 (n_2113), .Y (n_4337));
-  sky130_fd_sc_hd__o2bb2ai_1 g439981(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2110), .Y (n_4336));
-  sky130_fd_sc_hd__o2bb2ai_1 g439982(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2083), .Y (n_4335));
-  sky130_fd_sc_hd__o2bb2ai_1 g439983(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2100), .Y (n_4334));
-  sky130_fd_sc_hd__o2bb2ai_1 g439984(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2104), .Y (n_4333));
-  sky130_fd_sc_hd__o2bb2ai_1 g439985(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2099), .Y (n_4332));
-  sky130_fd_sc_hd__o2bb2ai_1 g439986(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2085), .Y (n_4331));
-  sky130_fd_sc_hd__o2bb2ai_1 g439987(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2079), .Y (n_4330));
-  sky130_fd_sc_hd__o2bb2ai_1 g439988(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]), .A2_N
-       (n_2767), .B1 (n_1996), .B2 (n_2128), .Y (n_4329));
-  sky130_fd_sc_hd__o2bb2ai_1 g439989(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2110), .Y (n_4328));
-  sky130_fd_sc_hd__o2bb2ai_1 g439990(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2083), .Y (n_4327));
-  sky130_fd_sc_hd__o2bb2ai_1 g439991(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2100), .Y (n_4326));
-  sky130_fd_sc_hd__o2bb2ai_1 g439992(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2104), .Y (n_4325));
-  sky130_fd_sc_hd__o2bb2ai_1 g439993(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]), .A2_N
-       (n_2430), .B1 (n_2223), .B2 (n_2099), .Y (n_4324));
-  sky130_fd_sc_hd__o22ai_1 g439995(.A1 (n_1744), .A2 (n_2588), .B1
-       (n_1743), .B2 (n_2601), .Y (n_5467));
-  sky130_fd_sc_hd__o22ai_1 g439996(.A1 (n_1744), .A2 (n_2556), .B1
-       (n_1742), .B2 (n_2212), .Y (n_5466));
-  sky130_fd_sc_hd__o22ai_1 g439997(.A1 (n_1743), .A2 (n_15921), .B1
-       (n_1744), .B2 (n_2578), .Y (n_5465));
-  sky130_fd_sc_hd__o22ai_1 g439998(.A1 (n_1744), .A2 (n_2568), .B1
-       (n_1743), .B2 (n_2570), .Y (n_5464));
-  sky130_fd_sc_hd__o22ai_1 g439999(.A1 (n_1744), .A2 (n_2557), .B1
-       (n_1743), .B2 (n_2571), .Y (n_5463));
-  sky130_fd_sc_hd__o22ai_1 g440000(.A1 (n_1744), .A2 (n_2558), .B1
-       (n_1743), .B2 (n_2554), .Y (n_5462));
-  sky130_fd_sc_hd__o22ai_1 g440001(.A1 (n_1744), .A2 (n_2569), .B1
-       (n_1743), .B2 (n_2555), .Y (n_5461));
-  sky130_fd_sc_hd__o22ai_1 g440002(.A1 (n_1744), .A2 (n_2570), .B1
-       (n_1743), .B2 (n_2562), .Y (n_5460));
-  sky130_fd_sc_hd__o22ai_1 g440003(.A1 (n_1744), .A2 (n_2571), .B1
-       (n_1743), .B2 (n_2572), .Y (n_5459));
-  sky130_fd_sc_hd__o22ai_1 g440004(.A1 (n_1744), .A2 (n_2554), .B1
-       (n_1743), .B2 (n_2552), .Y (n_5458));
-  sky130_fd_sc_hd__o22ai_1 g440005(.A1 (n_1744), .A2 (n_2555), .B1
-       (n_1743), .B2 (n_2550), .Y (n_5457));
-  sky130_fd_sc_hd__o22ai_1 g440006(.A1 (n_1744), .A2 (n_2562), .B1
-       (n_1743), .B2 (n_2602), .Y (n_5456));
-  sky130_fd_sc_hd__o22ai_1 g440007(.A1 (n_1744), .A2 (n_2572), .B1
-       (n_1743), .B2 (n_2573), .Y (n_5455));
-  sky130_fd_sc_hd__o22ai_1 g440008(.A1 (n_1744), .A2 (n_2552), .B1
-       (n_1743), .B2 (n_2610), .Y (n_5454));
-  sky130_fd_sc_hd__o22ai_1 g440009(.A1 (n_1744), .A2 (n_2550), .B1
-       (n_2574), .B2 (n_1743), .Y (n_5453));
-  sky130_fd_sc_hd__o22ai_1 g440010(.A1 (n_1744), .A2 (n_2602), .B1
-       (n_1743), .B2 (n_2553), .Y (n_5452));
-  sky130_fd_sc_hd__o22ai_1 g440011(.A1 (n_1744), .A2 (n_2573), .B1
-       (n_1743), .B2 (n_2575), .Y (n_5451));
-  sky130_fd_sc_hd__o22ai_1 g440012(.A1 (n_1744), .A2 (n_2610), .B1
-       (n_1743), .B2 (n_2556), .Y (n_5450));
-  sky130_fd_sc_hd__o22ai_1 g440013(.A1 (n_1744), .A2 (n_2574), .B1
-       (n_1743), .B2 (n_2576), .Y (n_5449));
-  sky130_fd_sc_hd__o22ai_1 g440014(.A1 (n_1744), .A2 (n_2609), .B1
-       (n_1743), .B2 (n_2579), .Y (n_5448));
-  sky130_fd_sc_hd__o22ai_1 g440015(.A1 (n_1744), .A2 (n_2580), .B1
-       (n_1743), .B2 (n_2578), .Y (n_5447));
-  sky130_fd_sc_hd__o22ai_1 g440016(.A1 (n_1744), .A2 (n_2553), .B1
-       (n_1743), .B2 (n_2547), .Y (n_5446));
-  sky130_fd_sc_hd__o22ai_1 g440017(.A1 (n_1744), .A2 (n_2560), .B1
-       (n_1743), .B2 (n_2586), .Y (n_5445));
-  sky130_fd_sc_hd__o22ai_1 g440018(.A1 (n_1744), .A2 (n_2587), .B1
-       (n_1743), .B2 (n_2588), .Y (n_5444));
-  sky130_fd_sc_hd__o2bb2ai_1 g440019(.A1_N (n_1744), .A2_N (n_2549),
-       .B1 (n_1744), .B2 (n_2575), .Y (n_5443));
-  sky130_fd_sc_hd__o22ai_1 g440020(.A1 (n_1744), .A2 (n_2594), .B1
-       (n_1743), .B2 (n_2592), .Y (n_5442));
-  sky130_fd_sc_hd__o22ai_1 g440021(.A1 (n_1744), .A2 (n_2590), .B1
-       (n_1743), .B2 (n_2593), .Y (n_5441));
-  sky130_fd_sc_hd__o22ai_1 g440022(.A1 (n_2599), .A2 (n_1744), .B1
-       (n_2595), .B2 (n_1743), .Y (n_5440));
-  sky130_fd_sc_hd__o22ai_1 g440023(.A1 (n_2596), .A2 (n_1744), .B1
-       (n_2559), .B2 (n_1743), .Y (n_5439));
-  sky130_fd_sc_hd__o32ai_1 g440024(.A1 (n_1052), .A2 (n_1742), .A3
-       (n_1743), .B1 (n_2576), .B2 (n_1744), .Y (n_5438));
-  sky130_fd_sc_hd__o22ai_1 g440025(.A1 (n_1744), .A2 (n_2551), .B1
-       (n_1743), .B2 (n_2609), .Y (n_5437));
-  sky130_fd_sc_hd__o22ai_1 g440026(.A1 (n_1744), .A2 (n_2579), .B1
-       (n_1743), .B2 (n_2580), .Y (n_5436));
-  sky130_fd_sc_hd__o22ai_1 g440027(.A1 (n_1744), .A2 (n_2582), .B1
-       (n_1743), .B2 (n_2560), .Y (n_5435));
-  sky130_fd_sc_hd__o22ai_1 g440028(.A1 (n_1744), .A2 (n_2586), .B1
-       (n_1743), .B2 (n_2587), .Y (n_5434));
-  sky130_fd_sc_hd__o22ai_1 g440029(.A1 (n_1744), .A2 (n_2589), .B1
-       (n_1743), .B2 (n_2590), .Y (n_5433));
-  sky130_fd_sc_hd__o22ai_1 g440030(.A1 (n_1743), .A2 (n_2591), .B1
-       (n_2592), .B2 (n_1744), .Y (n_5432));
-  sky130_fd_sc_hd__o22ai_1 g440031(.A1 (n_1744), .A2 (n_2593), .B1
-       (n_1743), .B2 (n_2594), .Y (n_5431));
-  sky130_fd_sc_hd__o22ai_1 g440032(.A1 (n_1744), .A2 (n_2595), .B1
-       (n_1743), .B2 (n_2596), .Y (n_5430));
-  sky130_fd_sc_hd__o22a_1 g440033(.A1 (n_1744), .A2 (n_2559), .B1
-       (n_1743), .B2 (n_2585), .X (n_5429));
-  sky130_fd_sc_hd__o22ai_1 g440034(.A1 (n_1744), .A2 (n_2598), .B1
-       (n_1743), .B2 (n_2599), .Y (n_5428));
-  sky130_fd_sc_hd__and3_1 g440035(.A (n_2001), .B (n_2549), .C
-       (n_1743), .X (n_5427));
-  sky130_fd_sc_hd__o21ai_1 g440036(.A1 (n_1744), .A2 (n_15921), .B1
-       (n_2156), .Y (n_5425));
-  sky130_fd_sc_hd__o21ai_1 g440038(.A1 (n_1744), .A2 (n_2585), .B1
-       (n_2156), .Y (n_5423));
-  sky130_fd_sc_hd__nor3_1 g440040(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .B (n_13879), .C (n_2887), .Y (n_5422));
-  sky130_fd_sc_hd__nor3_1 g440041(.A (n_1744), .B (n_16011), .C
-       (n_2547), .Y (n_5421));
-  sky130_fd_sc_hd__o21ai_1 g440042(.A1 (n_1744), .A2 (n_2591), .B1
-       (n_2156), .Y (n_5420));
-  sky130_fd_sc_hd__o21ai_1 g440047(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [1]), .A2 (n_2541), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]), .Y (n_5419));
-  sky130_fd_sc_hd__nor3_1 g440053(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (n_1201), .C (n_2548), .Y (n_5418));
-  sky130_fd_sc_hd__nor2_1 g440057(.A (n_1046), .B (n_3093), .Y
-       (n_5417));
-  sky130_fd_sc_hd__nor2_1 g440060(.A (n_1042), .B (n_3090), .Y
-       (n_5416));
-  sky130_fd_sc_hd__nor2_1 g440061(.A (n_1046), .B (n_3090), .Y
-       (n_5415));
-  sky130_fd_sc_hd__nor2_1 g440062(.A (n_1042), .B (n_3093), .Y
-       (n_5414));
-  sky130_fd_sc_hd__nor2_1 g440070(.A (n_1248), .B (n_3090), .Y
-       (n_5413));
-  sky130_fd_sc_hd__nor2_1 g440080(.A (n_1056), .B (n_3147), .Y
-       (n_5412));
-  sky130_fd_sc_hd__nor2_1 g440085(.A (n_1454), .B (n_3098), .Y
-       (n_5411));
-  sky130_fd_sc_hd__nor2_1 g440086(.A (n_1097), .B (n_3109), .Y
-       (n_4323));
-  sky130_fd_sc_hd__nor2_1 g440087(.A (n_1076), .B (n_3107), .Y
-       (n_4322));
-  sky130_fd_sc_hd__nor2_1 g440088(.A (n_1248), .B (n_3093), .Y
-       (n_5410));
-  sky130_fd_sc_hd__nor3_1 g440089(.A (n_666), .B (n_1206), .C (n_2548),
-       .Y (n_5409));
-  sky130_fd_sc_hd__nor2_1 g440090(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B (n_2298),
-       .Y (n_5408));
-  sky130_fd_sc_hd__nand2b_1 g440093(.A_N (n_3148), .B
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_5407));
-  sky130_fd_sc_hd__nand2_1 g440094(.A (n_15920), .B (n_1262), .Y
-       (n_5406));
-  sky130_fd_sc_hd__a221oi_1 g440095(.A1 (n_2157), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [4]), .B1 (n_1758), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [4]), .C1 (n_2310), .Y (n_5404));
-  sky130_fd_sc_hd__nand2b_1 g440098(.A_N (n_3136), .B (n_1255), .Y
-       (n_5401));
-  sky130_fd_sc_hd__nand2_1 g440099(.A (n_3002), .B (io_out[37]), .Y
-       (n_5400));
-  sky130_fd_sc_hd__a221oi_1 g440100(.A1 (n_2157), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [3]), .B1 (n_1758), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [3]), .C1 (n_2309), .Y (n_5399));
-  sky130_fd_sc_hd__nand2_1 g440101(.A (n_2302), .B (n_3096), .Y
-       (n_5398));
-  sky130_fd_sc_hd__a221oi_1 g440102(.A1 (n_2157), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]), .B1 (n_1758), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [1]), .C1 (n_2319), .Y (n_5397));
-  sky130_fd_sc_hd__nor2_1 g440106(.A (n_13345), .B (n_3136), .Y
-       (n_5396));
-  sky130_fd_sc_hd__a221oi_1 g440107(.A1 (n_2157), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [2]), .B1 (n_1758), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [2]), .C1 (n_2317), .Y (n_5394));
-  sky130_fd_sc_hd__nand2_1 g440108(.A (n_3096), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .Y (n_5393));
-  sky130_fd_sc_hd__nor2_1 g440109(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
-       [0]), .B (n_3100), .Y (n_5391));
-  sky130_fd_sc_hd__nor2_1 g440110(.A (n_13364), .B (n_3083), .Y
-       (n_5390));
-  sky130_fd_sc_hd__nor2_1 g440112(.A (n_13363), .B (n_3083), .Y
-       (n_5389));
-  sky130_fd_sc_hd__nand2_1 g440115(.A (n_3078), .B (n_1744), .Y
-       (n_5388));
-  sky130_fd_sc_hd__nand2_1 g440116(.A (n_3078), .B (n_1743), .Y
-       (n_5386));
-  sky130_fd_sc_hd__nor2_1 g440117(.A (n_2414), .B (n_3080), .Y
-       (n_5384));
-  sky130_fd_sc_hd__nor3_1 g440118(.A (n_1377), .B (n_2397), .C
-       (n_2954), .Y (n_5382));
-  sky130_fd_sc_hd__nor2_1 g440119(.A (n_471), .B (n_2981), .Y (n_5380));
-  sky130_fd_sc_hd__nor2_1 g440120(.A (n_471), .B (n_2980), .Y (n_5378));
-  sky130_fd_sc_hd__nor2_1 g440121(.A (n_1244), .B (n_3076), .Y
-       (n_5376));
-  sky130_fd_sc_hd__nor2_1 g440122(.A (n_1245), .B (n_3076), .Y
-       (n_5374));
-  sky130_fd_sc_hd__nor2_1 g440123(.A (n_1436), .B (n_3090), .Y
-       (n_5372));
-  sky130_fd_sc_hd__nor2_1 g440124(.A (n_15933), .B (n_3093), .Y
-       (n_5371));
-  sky130_fd_sc_hd__nor2_1 g440125(.A (n_15929), .B (n_3090), .Y
-       (n_5370));
-  sky130_fd_sc_hd__nor2_1 g440126(.A (n_15933), .B (n_3090), .Y
-       (n_5369));
-  sky130_fd_sc_hd__nor2_1 g440127(.A (n_15929), .B (n_3093), .Y
-       (n_5368));
-  sky130_fd_sc_hd__nor2_1 g440128(.A (n_1436), .B (n_3093), .Y
-       (n_5367));
-  sky130_fd_sc_hd__nor2b_1 g440129(.A (n_3117), .B_N (n_1263), .Y
-       (n_5366));
-  sky130_fd_sc_hd__nand4_1 g440130(.A (n_2292), .B (n_621), .C
-       (u_soc_u_top_u_core_alu_operator_ex[1]), .D
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_5364));
-  sky130_fd_sc_hd__nor2_1 g440131(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_3095), .Y
-       (n_5362));
-  sky130_fd_sc_hd__nor2_1 g440132(.A (n_1263), .B (n_3117), .Y
-       (n_5360));
-  sky130_fd_sc_hd__nor2_1 g440133(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_3088), .Y
-       (n_5358));
-  sky130_fd_sc_hd__nor2_2 g440134(.A (n_13370), .B (n_3102), .Y
-       (n_5356));
-  sky130_fd_sc_hd__nand2_1 g440135(.A (n_3105), .B (n_1243), .Y
-       (n_5355));
-  sky130_fd_sc_hd__nand2_1 g440136(.A (n_3116), .B (n_1243), .Y
-       (n_5354));
-  sky130_fd_sc_hd__nand2_1 g440137(.A (n_3108), .B (n_1243), .Y
-       (n_5353));
-  sky130_fd_sc_hd__nand2_1 g440138(.A (n_3111), .B (n_1243), .Y
-       (n_5352));
-  sky130_fd_sc_hd__nand2_1 g440139(.A (n_3106), .B (n_1243), .Y
-       (n_5351));
-  sky130_fd_sc_hd__nand2_1 g440140(.A (n_3103), .B (n_1243), .Y
-       (n_5350));
-  sky130_fd_sc_hd__nor2_2 g440141(.A (n_13370), .B (n_3101), .Y
-       (n_5349));
-  sky130_fd_sc_hd__nor2_2 g440142(.A (n_13351), .B (n_3101), .Y
-       (n_5348));
-  sky130_fd_sc_hd__and2b_1 g440143(.A_N (n_13370), .B (n_3106), .X
-       (n_5347));
-  sky130_fd_sc_hd__and2b_1 g440144(.A_N (n_13370), .B (n_3108), .X
-       (n_5346));
-  sky130_fd_sc_hd__and2b_1 g440145(.A_N (n_13351), .B (n_3108), .X
-       (n_5345));
-  sky130_fd_sc_hd__and2b_1 g440146(.A_N (n_13351), .B (n_3111), .X
-       (n_5344));
-  sky130_fd_sc_hd__and2b_1 g440147(.A_N (n_13351), .B (n_3106), .X
-       (n_5343));
-  sky130_fd_sc_hd__nor2_2 g440148(.A (n_1239), .B (n_3102), .Y
-       (n_5342));
-  sky130_fd_sc_hd__nor2_2 g440149(.A (n_13370), .B (n_3126), .Y
-       (n_5341));
-  sky130_fd_sc_hd__nor2_2 g440150(.A (n_13351), .B (n_3104), .Y
-       (n_5340));
-  sky130_fd_sc_hd__and2b_1 g440151(.A_N (n_13370), .B (n_3111), .X
-       (n_5339));
-  sky130_fd_sc_hd__nor2_2 g440152(.A (n_13370), .B (n_3104), .Y
-       (n_5338));
-  sky130_fd_sc_hd__nand2_1 g440153(.A (n_3111), .B (n_1238), .Y
-       (n_5337));
-  sky130_fd_sc_hd__nand2_1 g440154(.A (n_3108), .B (n_1238), .Y
-       (n_5336));
-  sky130_fd_sc_hd__nor2_2 g440155(.A (n_13351), .B (n_3115), .Y
-       (n_5335));
-  sky130_fd_sc_hd__nor2_2 g440156(.A (n_13351), .B (n_3126), .Y
-       (n_5334));
-  sky130_fd_sc_hd__nand2_1 g440157(.A (n_3106), .B (n_1238), .Y
-       (n_5333));
-  sky130_fd_sc_hd__nor2_2 g440159(.A (n_13370), .B (n_3115), .Y
-       (n_5331));
-  sky130_fd_sc_hd__nor2_2 g440160(.A (n_1239), .B (n_3101), .Y
-       (n_5330));
-  sky130_fd_sc_hd__nor2_2 g440161(.A (n_13351), .B (n_3102), .Y
-       (n_5329));
-  sky130_fd_sc_hd__nand2_1 g440162(.A (n_3116), .B (n_1238), .Y
-       (n_5328));
-  sky130_fd_sc_hd__nand2_1 g440163(.A (n_3125), .B (n_1238), .Y
-       (n_5327));
-  sky130_fd_sc_hd__nand2_1 g440164(.A (n_3105), .B (n_1238), .Y
-       (n_5326));
-  sky130_fd_sc_hd__o2bb2ai_1 g440165(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]), .A2_N
-       (n_2871), .B1 (n_2222), .B2 (n_2052), .Y (n_4321));
-  sky130_fd_sc_hd__o2bb2ai_1 g440166(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]), .A2_N
-       (n_2448), .B1 (n_2112), .B2 (n_2219), .Y (n_4320));
-  sky130_fd_sc_hd__o2bb2ai_1 g440167(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]), .A2_N
-       (n_2448), .B1 (n_2108), .B2 (n_2219), .Y (n_4319));
-  sky130_fd_sc_hd__o2bb2ai_1 g440168(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]), .A2_N
-       (n_2448), .B1 (n_2107), .B2 (n_2219), .Y (n_4318));
-  sky130_fd_sc_hd__o2bb2ai_1 g440169(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]), .A2_N
-       (n_2448), .B1 (n_2102), .B2 (n_2219), .Y (n_4317));
-  sky130_fd_sc_hd__o2bb2ai_1 g440170(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]), .A2_N
-       (n_2448), .B1 (n_2016), .B2 (n_2219), .Y (n_4316));
-  sky130_fd_sc_hd__o2bb2ai_1 g440171(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]), .A2_N
-       (n_2448), .B1 (n_2081), .B2 (n_2219), .Y (n_4315));
-  sky130_fd_sc_hd__o2bb2ai_1 g440172(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]), .A2_N
-       (n_2448), .B1 (n_2134), .B2 (n_2219), .Y (n_4314));
-  sky130_fd_sc_hd__o2bb2ai_1 g440173(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2093), .Y (n_4313));
-  sky130_fd_sc_hd__o2bb2ai_1 g440174(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2120), .Y (n_4312));
-  sky130_fd_sc_hd__o2bb2ai_1 g440175(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]), .A2_N
-       (n_2749), .B1 (n_2218), .B2 (n_2132), .Y (n_4311));
-  sky130_fd_sc_hd__o2bb2ai_1 g440176(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2087), .Y (n_4310));
-  sky130_fd_sc_hd__o2bb2ai_1 g440177(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2089), .Y (n_4309));
-  sky130_fd_sc_hd__o2bb2ai_1 g440178(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2073), .Y (n_4308));
-  sky130_fd_sc_hd__o2bb2ai_1 g440179(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2093), .Y (n_4307));
-  sky130_fd_sc_hd__o2bb2ai_1 g440180(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2120), .Y (n_4306));
-  sky130_fd_sc_hd__o2bb2ai_1 g440181(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2124), .Y (n_4305));
-  sky130_fd_sc_hd__o2bb2ai_1 g440182(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2075), .Y (n_4304));
-  sky130_fd_sc_hd__o2bb2ai_1 g440183(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]), .A2_N
-       (n_2701), .B1 (n_2216), .B2 (n_2132), .Y (n_4303));
-  sky130_fd_sc_hd__o2bb2ai_1 g440184(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2087), .Y (n_4302));
-  sky130_fd_sc_hd__o2bb2ai_1 g440185(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2089), .Y (n_4301));
-  sky130_fd_sc_hd__o2bb2ai_1 g440186(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2093), .Y (n_4300));
-  sky130_fd_sc_hd__o2bb2ai_1 g440187(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2120), .Y (n_4299));
-  sky130_fd_sc_hd__o2bb2ai_1 g440188(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]), .A2_N
-       (n_2842), .B1 (n_2026), .B2 (n_2229), .Y (n_4298));
-  sky130_fd_sc_hd__o2bb2ai_1 g440189(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2075), .Y (n_4297));
-  sky130_fd_sc_hd__o2bb2ai_1 g440190(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2132), .Y (n_4296));
-  sky130_fd_sc_hd__o2bb2ai_1 g440191(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2089), .Y (n_4295));
-  sky130_fd_sc_hd__o2bb2ai_1 g440192(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2073), .Y (n_4294));
-  sky130_fd_sc_hd__a22oi_1 g440193(.A1 (n_2009), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [5]), .B1 (n_2534), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]), .Y (n_4293));
-  sky130_fd_sc_hd__o2bb2ai_1 g440194(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2093), .Y (n_4292));
-  sky130_fd_sc_hd__o2bb2ai_1 g440195(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2120), .Y (n_4291));
-  sky130_fd_sc_hd__o2bb2ai_1 g440196(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2124), .Y (n_4290));
-  sky130_fd_sc_hd__o2bb2ai_1 g440197(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2075), .Y (n_4289));
-  sky130_fd_sc_hd__o2bb2ai_1 g440198(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]), .A2_N
-       (n_2724), .B1 (n_2220), .B2 (n_2132), .Y (n_4288));
-  sky130_fd_sc_hd__o2bb2ai_1 g440199(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2059), .Y (n_4287));
-  sky130_fd_sc_hd__o2bb2ai_1 g440200(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2057), .Y (n_4286));
-  sky130_fd_sc_hd__o2bb2ai_1 g440201(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2054), .Y (n_4285));
-  sky130_fd_sc_hd__o2bb2ai_1 g440202(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2066), .Y (n_4284));
-  sky130_fd_sc_hd__o2bb2ai_1 g440203(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2063), .Y (n_4283));
-  sky130_fd_sc_hd__o2bb2ai_1 g440204(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2069), .Y (n_4282));
-  sky130_fd_sc_hd__a22o_1 g440205(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4281));
-  sky130_fd_sc_hd__o2bb2ai_1 g440206(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]), .A2_N
-       (n_2752), .B1 (n_2218), .B2 (n_2065), .Y (n_4280));
-  sky130_fd_sc_hd__o2bb2ai_1 g440207(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2057), .Y (n_4279));
-  sky130_fd_sc_hd__o2bb2ai_1 g440208(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2054), .Y (n_4278));
-  sky130_fd_sc_hd__o2bb2ai_1 g440209(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2061), .Y (n_4277));
-  sky130_fd_sc_hd__o2bb2ai_1 g440210(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2066), .Y (n_4276));
-  sky130_fd_sc_hd__o2bb2ai_1 g440211(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2063), .Y (n_4275));
-  sky130_fd_sc_hd__o2bb2ai_1 g440212(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2069), .Y (n_4274));
-  sky130_fd_sc_hd__o2bb2ai_1 g440213(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2065), .Y (n_4273));
-  sky130_fd_sc_hd__o2bb2ai_1 g440214(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2059), .Y (n_4272));
-  sky130_fd_sc_hd__o2bb2ai_1 g440215(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2057), .Y (n_4271));
-  sky130_fd_sc_hd__o2bb2ai_1 g440216(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2054), .Y (n_4270));
-  sky130_fd_sc_hd__o2bb2ai_1 g440217(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2066), .Y (n_4269));
-  sky130_fd_sc_hd__o2bb2ai_1 g440218(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2063), .Y (n_4268));
-  sky130_fd_sc_hd__o2bb2ai_1 g440219(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2069), .Y (n_4267));
-  sky130_fd_sc_hd__o2bb2ai_1 g440220(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2065), .Y (n_4266));
-  sky130_fd_sc_hd__o2bb2ai_1 g440221(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2059), .Y (n_4265));
-  sky130_fd_sc_hd__o2bb2ai_1 g440222(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2057), .Y (n_4264));
-  sky130_fd_sc_hd__o2bb2ai_1 g440223(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2054), .Y (n_4263));
-  sky130_fd_sc_hd__o2bb2ai_1 g440224(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2066), .Y (n_4262));
-  sky130_fd_sc_hd__o2bb2ai_1 g440225(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2063), .Y (n_4261));
-  sky130_fd_sc_hd__o2bb2ai_1 g440226(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2069), .Y (n_4260));
-  sky130_fd_sc_hd__o2bb2ai_1 g440227(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2065), .Y (n_4259));
-  sky130_fd_sc_hd__o2bb2ai_1 g440228(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2087), .Y (n_4258));
-  sky130_fd_sc_hd__o2bb2ai_1 g440229(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2089), .Y (n_4257));
-  sky130_fd_sc_hd__o2bb2ai_1 g440230(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2073), .Y (n_4256));
-  sky130_fd_sc_hd__o2bb2ai_1 g440231(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2093), .Y (n_4255));
-  sky130_fd_sc_hd__a22oi_1 g440232(.A1 (n_2009), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [6]), .B1 (n_2534), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]), .Y (n_4254));
-  sky130_fd_sc_hd__o2bb2ai_1 g440233(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2124), .Y (n_4253));
-  sky130_fd_sc_hd__o2bb2ai_1 g440234(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]), .A2_N
-       (n_2832), .B1 (n_2230), .B2 (n_2075), .Y (n_4252));
-  sky130_fd_sc_hd__o2bb2ai_1 g440235(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2087), .Y (n_4251));
-  sky130_fd_sc_hd__o2bb2ai_1 g440236(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2089), .Y (n_4250));
-  sky130_fd_sc_hd__o2bb2ai_1 g440237(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2073), .Y (n_4249));
-  sky130_fd_sc_hd__o2bb2ai_1 g440238(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2093), .Y (n_4248));
-  sky130_fd_sc_hd__o2bb2ai_1 g440239(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2120), .Y (n_4247));
-  sky130_fd_sc_hd__a22o_1 g440240(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4246));
-  sky130_fd_sc_hd__o2bb2ai_1 g440241(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2124), .Y (n_4245));
-  sky130_fd_sc_hd__o2bb2ai_1 g440242(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2075), .Y (n_4244));
-  sky130_fd_sc_hd__o2bb2ai_1 g440243(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]), .A2_N
-       (n_2765), .B1 (n_2217), .B2 (n_2132), .Y (n_4243));
-  sky130_fd_sc_hd__o2bb2ai_1 g440244(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2087), .Y (n_4242));
-  sky130_fd_sc_hd__o2bb2ai_1 g440245(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2089), .Y (n_4241));
-  sky130_fd_sc_hd__o2bb2ai_1 g440246(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2073), .Y (n_4240));
-  sky130_fd_sc_hd__o2bb2ai_1 g440247(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2124), .Y (n_4239));
-  sky130_fd_sc_hd__o2bb2ai_1 g440248(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2075), .Y (n_4238));
-  sky130_fd_sc_hd__o2bb2ai_1 g440249(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]), .A2_N
-       (n_2761), .B1 (n_1994), .B2 (n_2132), .Y (n_4237));
-  sky130_fd_sc_hd__o2bb2ai_1 g440250(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2089), .Y (n_4236));
-  sky130_fd_sc_hd__o2bb2ai_1 g440251(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2073), .Y (n_4235));
-  sky130_fd_sc_hd__o2bb2ai_1 g440252(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2093), .Y (n_4234));
-  sky130_fd_sc_hd__o2bb2ai_1 g440253(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2124), .Y (n_4233));
-  sky130_fd_sc_hd__o2bb2ai_1 g440254(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2075), .Y (n_4232));
-  sky130_fd_sc_hd__o2bb2ai_1 g440255(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]), .A2_N
-       (n_2700), .B1 (n_2219), .B2 (n_2132), .Y (n_4231));
-  sky130_fd_sc_hd__o2bb2ai_1 g440256(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2057), .Y (n_4230));
-  sky130_fd_sc_hd__o2bb2ai_1 g440257(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2054), .Y (n_4229));
-  sky130_fd_sc_hd__o2bb2ai_1 g440258(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2061), .Y (n_4228));
-  sky130_fd_sc_hd__o2bb2ai_1 g440259(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]), .A2_N
-       (n_2834), .B1 (n_2230), .B2 (n_2063), .Y (n_4227));
-  sky130_fd_sc_hd__o2bb2ai_1 g440260(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2057), .Y (n_4226));
-  sky130_fd_sc_hd__o2bb2ai_1 g440261(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2054), .Y (n_4225));
-  sky130_fd_sc_hd__o2bb2ai_1 g440262(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2061), .Y (n_4224));
-  sky130_fd_sc_hd__o2bb2ai_1 g440263(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2065), .Y (n_4223));
-  sky130_fd_sc_hd__o2bb2ai_1 g440264(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2066), .Y (n_4222));
-  sky130_fd_sc_hd__o2bb2ai_1 g440265(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2063), .Y (n_4221));
-  sky130_fd_sc_hd__o2bb2ai_1 g440266(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2069), .Y (n_4220));
-  sky130_fd_sc_hd__o2bb2ai_1 g440267(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]), .A2_N
-       (n_2457), .B1 (n_2217), .B2 (n_2065), .Y (n_4219));
-  sky130_fd_sc_hd__o2bb2ai_1 g440268(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2059), .Y (n_4218));
-  sky130_fd_sc_hd__o2bb2ai_1 g440269(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2057), .Y (n_4217));
-  sky130_fd_sc_hd__o2bb2ai_1 g440270(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2054), .Y (n_4216));
-  sky130_fd_sc_hd__o2bb2ai_1 g440271(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2061), .Y (n_4215));
-  sky130_fd_sc_hd__o2bb2ai_1 g440272(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2066), .Y (n_4214));
-  sky130_fd_sc_hd__o2bb2ai_1 g440273(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2063), .Y (n_4213));
-  sky130_fd_sc_hd__o2bb2ai_1 g440274(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2069), .Y (n_4212));
-  sky130_fd_sc_hd__o2bb2ai_1 g440275(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]), .A2_N
-       (n_2757), .B1 (n_1994), .B2 (n_2065), .Y (n_4211));
-  sky130_fd_sc_hd__o2bb2ai_1 g440276(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2059), .Y (n_4210));
-  sky130_fd_sc_hd__o2bb2ai_1 g440277(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2057), .Y (n_4209));
-  sky130_fd_sc_hd__o2bb2ai_1 g440278(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2054), .Y (n_4208));
-  sky130_fd_sc_hd__o2bb2ai_1 g440279(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2061), .Y (n_4207));
-  sky130_fd_sc_hd__o2bb2ai_1 g440280(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2063), .Y (n_4206));
-  sky130_fd_sc_hd__o2bb2ai_1 g440281(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2116), .Y (n_4205));
-  sky130_fd_sc_hd__o2bb2ai_1 g440282(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2069), .Y (n_4204));
-  sky130_fd_sc_hd__o2bb2ai_1 g440283(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2130), .Y (n_4203));
-  sky130_fd_sc_hd__o2bb2ai_1 g440284(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]), .A2_N
-       (n_2842), .B1 (n_2022), .B2 (n_2229), .Y (n_4202));
-  sky130_fd_sc_hd__o2bb2ai_1 g440285(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2037), .Y (n_4201));
-  sky130_fd_sc_hd__o2bb2ai_1 g440286(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2017), .Y (n_4200));
-  sky130_fd_sc_hd__o2bb2ai_1 g440287(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2035), .Y (n_4199));
-  sky130_fd_sc_hd__o2bb2ai_1 g440288(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2071), .Y (n_4198));
-  sky130_fd_sc_hd__o2bb2ai_1 g440289(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]), .A2_N
-       (n_2719), .B1 (n_2227), .B2 (n_2091), .Y (n_4197));
-  sky130_fd_sc_hd__o2bb2ai_1 g440290(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2037), .Y (n_4196));
-  sky130_fd_sc_hd__o2bb2ai_1 g440291(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]), .A2_N
-       (n_2849), .B1 (n_2026), .B2 (n_2223), .Y (n_4195));
-  sky130_fd_sc_hd__o2bb2ai_1 g440292(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2017), .Y (n_4194));
-  sky130_fd_sc_hd__o2bb2ai_1 g440293(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2035), .Y (n_4193));
-  sky130_fd_sc_hd__o2bb2ai_1 g440294(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2071), .Y (n_4192));
-  sky130_fd_sc_hd__o2bb2ai_1 g440295(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2070), .Y (n_4191));
-  sky130_fd_sc_hd__o2bb2ai_1 g440296(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]), .A2_N
-       (n_2427), .B1 (n_2231), .B2 (n_2091), .Y (n_4190));
-  sky130_fd_sc_hd__o2bb2ai_1 g440297(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2130), .Y (n_4189));
-  sky130_fd_sc_hd__o2bb2ai_1 g440298(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2035), .Y (n_4188));
-  sky130_fd_sc_hd__o2bb2ai_1 g440299(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2070), .Y (n_4187));
-  sky130_fd_sc_hd__o2bb2ai_1 g440300(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2095), .Y (n_4186));
-  sky130_fd_sc_hd__o2bb2ai_1 g440301(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]), .A2_N
-       (n_2447), .B1 (n_2229), .B2 (n_2091), .Y (n_4185));
-  sky130_fd_sc_hd__xnor2_1 g440302(.A
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B (n_2612), .Y (n_4184));
-  sky130_fd_sc_hd__o2bb2ai_1 g440303(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2130), .Y (n_4183));
-  sky130_fd_sc_hd__o2bb2ai_1 g440304(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2037), .Y (n_4182));
-  sky130_fd_sc_hd__o2bb2ai_1 g440305(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2017), .Y (n_4181));
-  sky130_fd_sc_hd__o2bb2ai_1 g440306(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2035), .Y (n_4180));
-  sky130_fd_sc_hd__o2bb2ai_1 g440307(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2071), .Y (n_4179));
-  sky130_fd_sc_hd__o2bb2ai_1 g440308(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2070), .Y (n_4178));
-  sky130_fd_sc_hd__o2bb2ai_1 g440309(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2091), .Y (n_4177));
-  sky130_fd_sc_hd__o2bb2ai_1 g440310(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]), .A2_N
-       (n_2720), .B1 (n_2106), .B2 (n_2227), .Y (n_4176));
-  sky130_fd_sc_hd__o2bb2ai_1 g440311(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]), .A2_N
-       (n_2720), .B1 (n_2112), .B2 (n_2227), .Y (n_4175));
-  sky130_fd_sc_hd__o2bb2ai_1 g440312(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]), .A2_N
-       (n_2720), .B1 (n_2108), .B2 (n_2227), .Y (n_4174));
-  sky130_fd_sc_hd__o2bb2ai_1 g440313(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]), .A2_N
-       (n_2720), .B1 (n_2107), .B2 (n_2227), .Y (n_4173));
-  sky130_fd_sc_hd__o2bb2ai_1 g440314(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]), .A2_N
-       (n_2727), .B1 (n_2251), .B2 (n_2070), .Y (n_4172));
-  sky130_fd_sc_hd__o2bb2ai_1 g440315(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]), .A2_N
-       (n_2720), .B1 (n_2102), .B2 (n_2227), .Y (n_4171));
-  sky130_fd_sc_hd__o2bb2ai_1 g440316(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]), .A2_N
-       (n_2720), .B1 (n_2016), .B2 (n_2227), .Y (n_4170));
-  sky130_fd_sc_hd__o2bb2ai_1 g440317(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]), .A2_N
-       (n_2720), .B1 (n_2081), .B2 (n_2227), .Y (n_4169));
-  sky130_fd_sc_hd__o2bb2ai_1 g440318(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]), .A2_N
-       (n_2720), .B1 (n_2134), .B2 (n_2227), .Y (n_4168));
-  sky130_fd_sc_hd__o2bb2ai_1 g440319(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]), .A2_N
-       (n_2425), .B1 (n_2106), .B2 (n_2231), .Y (n_4167));
-  sky130_fd_sc_hd__o2bb2ai_1 g440320(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]), .A2_N
-       (n_2425), .B1 (n_2112), .B2 (n_2231), .Y (n_4166));
-  sky130_fd_sc_hd__o2bb2ai_1 g440321(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]), .A2_N
-       (n_2425), .B1 (n_2108), .B2 (n_2231), .Y (n_4165));
-  sky130_fd_sc_hd__o2bb2ai_1 g440322(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]), .A2_N
-       (n_2425), .B1 (n_2107), .B2 (n_2231), .Y (n_4164));
-  sky130_fd_sc_hd__o2bb2ai_1 g440323(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]), .A2_N
-       (n_2425), .B1 (n_2102), .B2 (n_2231), .Y (n_4163));
-  sky130_fd_sc_hd__o2bb2ai_1 g440324(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]), .A2_N
-       (n_2425), .B1 (n_2081), .B2 (n_2231), .Y (n_4162));
-  sky130_fd_sc_hd__o2bb2ai_1 g440325(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]), .A2_N
-       (n_2494), .B1 (n_2220), .B2 (n_2100), .Y (n_4161));
-  sky130_fd_sc_hd__o2bb2ai_1 g440326(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]), .A2_N
-       (n_2425), .B1 (n_2134), .B2 (n_2231), .Y (n_4160));
-  sky130_fd_sc_hd__o2bb2ai_1 g440327(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]), .A2_N
-       (n_2446), .B1 (n_2106), .B2 (n_2229), .Y (n_4159));
-  sky130_fd_sc_hd__o2bb2ai_1 g440328(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]), .A2_N
-       (n_2446), .B1 (n_2112), .B2 (n_2229), .Y (n_4158));
-  sky130_fd_sc_hd__o2bb2ai_1 g440329(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]), .A2_N
-       (n_2446), .B1 (n_2108), .B2 (n_2229), .Y (n_4157));
-  sky130_fd_sc_hd__o2bb2ai_1 g440330(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]), .A2_N
-       (n_2446), .B1 (n_2107), .B2 (n_2229), .Y (n_4156));
-  sky130_fd_sc_hd__o2bb2ai_1 g440331(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]), .A2_N
-       (n_2446), .B1 (n_2102), .B2 (n_2229), .Y (n_4155));
-  sky130_fd_sc_hd__o2bb2ai_1 g440332(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]), .A2_N
-       (n_2446), .B1 (n_2016), .B2 (n_2229), .Y (n_4154));
-  sky130_fd_sc_hd__o2bb2ai_1 g440333(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]), .A2_N
-       (n_2446), .B1 (n_2081), .B2 (n_2229), .Y (n_4153));
-  sky130_fd_sc_hd__o2bb2ai_1 g440334(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]), .A2_N
-       (n_2446), .B1 (n_2134), .B2 (n_2229), .Y (n_4152));
-  sky130_fd_sc_hd__o2bb2ai_1 g440335(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]), .A2_N
-       (n_2470), .B1 (n_2106), .B2 (n_2215), .Y (n_4151));
-  sky130_fd_sc_hd__o2bb2ai_1 g440336(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]), .A2_N
-       (n_2470), .B1 (n_2108), .B2 (n_2215), .Y (n_4150));
-  sky130_fd_sc_hd__o2bb2ai_1 g440337(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]), .A2_N
-       (n_2470), .B1 (n_2107), .B2 (n_2215), .Y (n_4149));
-  sky130_fd_sc_hd__o2bb2ai_1 g440338(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]), .A2_N
-       (n_2470), .B1 (n_2102), .B2 (n_2215), .Y (n_4148));
-  sky130_fd_sc_hd__o2bb2ai_1 g440339(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]), .A2_N
-       (n_2470), .B1 (n_2081), .B2 (n_2215), .Y (n_4147));
-  sky130_fd_sc_hd__o2bb2ai_1 g440340(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]), .A2_N
-       (n_2470), .B1 (n_2134), .B2 (n_2215), .Y (n_4146));
-  sky130_fd_sc_hd__o2bb2ai_1 g440341(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2130), .Y (n_4145));
-  sky130_fd_sc_hd__o2bb2ai_1 g440342(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2037), .Y (n_4144));
-  sky130_fd_sc_hd__o2bb2ai_1 g440343(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2017), .Y (n_4143));
-  sky130_fd_sc_hd__o2bb2ai_1 g440344(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2035), .Y (n_4142));
-  sky130_fd_sc_hd__o2bb2ai_1 g440345(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2071), .Y (n_4141));
-  sky130_fd_sc_hd__o2bb2ai_1 g440346(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2070), .Y (n_4140));
-  sky130_fd_sc_hd__o2bb2ai_1 g440347(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]), .A2_N
-       (n_2801), .B1 (n_1996), .B2 (n_2095), .Y (n_4139));
-  sky130_fd_sc_hd__o2bb2ai_1 g440348(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]), .A2_N
-       (n_2506), .B1 (n_2217), .B2 (n_2128), .Y (n_4138));
-  sky130_fd_sc_hd__o2bb2ai_1 g440349(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2130), .Y (n_4137));
-  sky130_fd_sc_hd__o2bb2ai_1 g440350(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2037), .Y (n_4136));
-  sky130_fd_sc_hd__o2bb2ai_1 g440351(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2017), .Y (n_4135));
-  sky130_fd_sc_hd__o2bb2ai_1 g440352(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2035), .Y (n_4134));
-  sky130_fd_sc_hd__o2bb2ai_1 g440353(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2071), .Y (n_4133));
-  sky130_fd_sc_hd__o2bb2ai_1 g440354(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2070), .Y (n_4132));
-  sky130_fd_sc_hd__o2bb2ai_1 g440355(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2073), .Y (n_4131));
-  sky130_fd_sc_hd__o2bb2ai_1 g440356(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2095), .Y (n_4130));
-  sky130_fd_sc_hd__o2bb2ai_1 g440357(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]), .A2_N
-       (n_2481), .B1 (n_2223), .B2 (n_2091), .Y (n_4129));
-  sky130_fd_sc_hd__o2bb2ai_1 g440358(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2130), .Y (n_4128));
-  sky130_fd_sc_hd__o2bb2ai_1 g440359(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2037), .Y (n_4127));
-  sky130_fd_sc_hd__o2bb2ai_1 g440360(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2017), .Y (n_4126));
-  sky130_fd_sc_hd__o2bb2ai_1 g440361(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2035), .Y (n_4125));
-  sky130_fd_sc_hd__o2bb2ai_1 g440362(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2071), .Y (n_4124));
-  sky130_fd_sc_hd__o2bb2ai_1 g440363(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2070), .Y (n_4123));
-  sky130_fd_sc_hd__o2bb2ai_1 g440364(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2095), .Y (n_4122));
-  sky130_fd_sc_hd__o2bb2ai_1 g440365(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]), .A2_N
-       (n_2739), .B1 (n_1998), .B2 (n_2091), .Y (n_4121));
-  sky130_fd_sc_hd__o2bb2ai_1 g440366(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2130), .Y (n_4120));
-  sky130_fd_sc_hd__o2bb2ai_1 g440367(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2037), .Y (n_4119));
-  sky130_fd_sc_hd__o2bb2ai_1 g440368(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2017), .Y (n_4118));
-  sky130_fd_sc_hd__o2bb2ai_1 g440369(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2035), .Y (n_4117));
-  sky130_fd_sc_hd__o2bb2ai_1 g440370(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2071), .Y (n_4116));
-  sky130_fd_sc_hd__o2bb2ai_1 g440371(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2070), .Y (n_4115));
-  sky130_fd_sc_hd__o2bb2ai_1 g440372(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2095), .Y (n_4114));
-  sky130_fd_sc_hd__o2bb2ai_1 g440373(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]), .A2_N
-       (n_2748), .B1 (n_2222), .B2 (n_2091), .Y (n_4113));
-  sky130_fd_sc_hd__o2bb2ai_1 g440374(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]), .A2_N
-       (n_2800), .B1 (n_2106), .B2 (n_1996), .Y (n_4112));
-  sky130_fd_sc_hd__o2bb2ai_1 g440375(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]), .A2_N
-       (n_2800), .B1 (n_2112), .B2 (n_1996), .Y (n_4111));
-  sky130_fd_sc_hd__o2bb2ai_1 g440376(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]), .A2_N
-       (n_2800), .B1 (n_2108), .B2 (n_1996), .Y (n_4110));
-  sky130_fd_sc_hd__o2bb2ai_1 g440377(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]), .A2_N
-       (n_2800), .B1 (n_2107), .B2 (n_1996), .Y (n_4109));
-  sky130_fd_sc_hd__o2bb2ai_1 g440378(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]), .A2_N
-       (n_2800), .B1 (n_2102), .B2 (n_1996), .Y (n_4108));
-  sky130_fd_sc_hd__o2bb2ai_1 g440379(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]), .A2_N
-       (n_2800), .B1 (n_2016), .B2 (n_1996), .Y (n_4107));
-  sky130_fd_sc_hd__o2bb2ai_1 g440380(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2069), .Y (n_4106));
-  sky130_fd_sc_hd__o2bb2ai_1 g440381(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]), .A2_N
-       (n_2800), .B1 (n_2081), .B2 (n_1996), .Y (n_4105));
-  sky130_fd_sc_hd__o2bb2ai_1 g440382(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]), .A2_N
-       (n_2800), .B1 (n_2134), .B2 (n_1996), .Y (n_4104));
-  sky130_fd_sc_hd__a22o_1 g440383(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4103));
-  sky130_fd_sc_hd__o2bb2ai_1 g440384(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]), .A2_N
-       (n_2480), .B1 (n_2112), .B2 (n_2223), .Y (n_4102));
-  sky130_fd_sc_hd__o2bb2ai_1 g440385(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]), .A2_N
-       (n_2480), .B1 (n_2108), .B2 (n_2223), .Y (n_4101));
-  sky130_fd_sc_hd__o2bb2ai_1 g440386(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]), .A2_N
-       (n_2480), .B1 (n_2107), .B2 (n_2223), .Y (n_4100));
-  sky130_fd_sc_hd__o2bb2ai_1 g440387(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]), .A2_N
-       (n_2480), .B1 (n_2102), .B2 (n_2223), .Y (n_4099));
-  sky130_fd_sc_hd__o2bb2ai_1 g440388(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]), .A2_N
-       (n_2480), .B1 (n_2016), .B2 (n_2223), .Y (n_4098));
-  sky130_fd_sc_hd__o2bb2ai_1 g440389(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]), .A2_N
-       (n_2480), .B1 (n_2081), .B2 (n_2223), .Y (n_4097));
-  sky130_fd_sc_hd__o2bb2ai_1 g440390(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]), .A2_N
-       (n_2480), .B1 (n_2134), .B2 (n_2223), .Y (n_4096));
-  sky130_fd_sc_hd__o2bb2ai_1 g440391(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]), .A2_N
-       (n_2738), .B1 (n_2106), .B2 (n_1998), .Y (n_4095));
-  sky130_fd_sc_hd__o2bb2ai_1 g440392(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]), .A2_N
-       (n_2738), .B1 (n_2112), .B2 (n_1998), .Y (n_4094));
-  sky130_fd_sc_hd__o2bb2ai_1 g440393(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]), .A2_N
-       (n_2738), .B1 (n_2108), .B2 (n_1998), .Y (n_4093));
-  sky130_fd_sc_hd__o2bb2ai_1 g440394(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]), .A2_N
-       (n_2738), .B1 (n_2107), .B2 (n_1998), .Y (n_4092));
-  sky130_fd_sc_hd__o2bb2ai_1 g440395(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]), .A2_N
-       (n_2738), .B1 (n_2102), .B2 (n_1998), .Y (n_4091));
-  sky130_fd_sc_hd__o2bb2ai_1 g440396(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]), .A2_N
-       (n_2738), .B1 (n_2016), .B2 (n_1998), .Y (n_4090));
-  sky130_fd_sc_hd__o2bb2ai_1 g440397(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]), .A2_N
-       (n_2738), .B1 (n_2081), .B2 (n_1998), .Y (n_4089));
-  sky130_fd_sc_hd__o2bb2ai_1 g440398(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]), .A2_N
-       (n_2738), .B1 (n_2134), .B2 (n_1998), .Y (n_4088));
-  sky130_fd_sc_hd__o2bb2ai_1 g440399(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]), .A2_N
-       (n_2747), .B1 (n_2106), .B2 (n_2222), .Y (n_4087));
-  sky130_fd_sc_hd__o2bb2ai_1 g440400(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]), .A2_N
-       (n_2747), .B1 (n_2112), .B2 (n_2222), .Y (n_4086));
-  sky130_fd_sc_hd__o2bb2ai_1 g440401(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]), .A2_N
-       (n_2747), .B1 (n_2108), .B2 (n_2222), .Y (n_4085));
-  sky130_fd_sc_hd__o2bb2ai_1 g440402(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]), .A2_N
-       (n_2747), .B1 (n_2107), .B2 (n_2222), .Y (n_4084));
-  sky130_fd_sc_hd__o2bb2ai_1 g440403(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]), .A2_N
-       (n_2747), .B1 (n_2102), .B2 (n_2222), .Y (n_4083));
-  sky130_fd_sc_hd__o2bb2ai_1 g440404(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]), .A2_N
-       (n_2747), .B1 (n_2016), .B2 (n_2222), .Y (n_4082));
-  sky130_fd_sc_hd__o2bb2ai_1 g440405(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]), .A2_N
-       (n_2747), .B1 (n_2081), .B2 (n_2222), .Y (n_4081));
-  sky130_fd_sc_hd__o2bb2ai_1 g440406(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]), .A2_N
-       (n_2747), .B1 (n_2134), .B2 (n_2222), .Y (n_4080));
-  sky130_fd_sc_hd__o2bb2ai_1 g440407(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2087), .Y (n_4079));
-  sky130_fd_sc_hd__o2bb2ai_1 g440408(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2089), .Y (n_4078));
-  sky130_fd_sc_hd__o2bb2ai_1 g440409(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2073), .Y (n_4077));
-  sky130_fd_sc_hd__o2bb2ai_1 g440410(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2093), .Y (n_4076));
-  sky130_fd_sc_hd__o2bb2ai_1 g440411(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2120), .Y (n_4075));
-  sky130_fd_sc_hd__o2bb2ai_1 g440412(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2124), .Y (n_4074));
-  sky130_fd_sc_hd__o2bb2ai_1 g440413(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2075), .Y (n_4073));
-  sky130_fd_sc_hd__o2bb2ai_1 g440414(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]), .A2_N
-       (n_2697), .B1 (n_2227), .B2 (n_2132), .Y (n_4072));
-  sky130_fd_sc_hd__o2bb2ai_1 g440415(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2087), .Y (n_4071));
-  sky130_fd_sc_hd__o2bb2ai_1 g440416(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2089), .Y (n_4070));
-  sky130_fd_sc_hd__o2bb2ai_1 g440417(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2073), .Y (n_4069));
-  sky130_fd_sc_hd__o2bb2ai_1 g440418(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2040), .Y (n_4068));
-  sky130_fd_sc_hd__o2bb2ai_1 g440419(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2093), .Y (n_4067));
-  sky130_fd_sc_hd__o2bb2ai_1 g440420(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2120), .Y (n_4066));
-  sky130_fd_sc_hd__o2bb2ai_1 g440421(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2124), .Y (n_4065));
-  sky130_fd_sc_hd__o2bb2ai_1 g440422(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2075), .Y (n_4064));
-  sky130_fd_sc_hd__o2bb2ai_1 g440423(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]), .A2_N
-       (n_2756), .B1 (n_2231), .B2 (n_2132), .Y (n_4063));
-  sky130_fd_sc_hd__o2bb2ai_1 g440424(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2087), .Y (n_4062));
-  sky130_fd_sc_hd__o2bb2ai_1 g440425(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2093), .Y (n_4061));
-  sky130_fd_sc_hd__o2bb2ai_1 g440426(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2120), .Y (n_4060));
-  sky130_fd_sc_hd__o2bb2ai_1 g440427(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2124), .Y (n_4059));
-  sky130_fd_sc_hd__o2bb2ai_1 g440428(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2075), .Y (n_4058));
-  sky130_fd_sc_hd__o2bb2ai_1 g440429(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]), .A2_N
-       (n_2702), .B1 (n_2229), .B2 (n_2132), .Y (n_4057));
-  sky130_fd_sc_hd__o2bb2ai_1 g440430(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2087), .Y (n_4056));
-  sky130_fd_sc_hd__a22o_1 g440431(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4055));
-  sky130_fd_sc_hd__o2bb2ai_1 g440432(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2093), .Y (n_4054));
-  sky130_fd_sc_hd__o2bb2ai_1 g440433(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2120), .Y (n_4053));
-  sky130_fd_sc_hd__o2bb2ai_1 g440434(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2124), .Y (n_4052));
-  sky130_fd_sc_hd__o2bb2ai_1 g440435(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2075), .Y (n_4051));
-  sky130_fd_sc_hd__o2bb2ai_1 g440436(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]), .A2_N
-       (n_2814), .B1 (n_2215), .B2 (n_2132), .Y (n_4050));
-  sky130_fd_sc_hd__o2bb2ai_1 g440437(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2057), .Y (n_4049));
-  sky130_fd_sc_hd__o2bb2ai_1 g440438(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2054), .Y (n_4048));
-  sky130_fd_sc_hd__o2bb2ai_1 g440439(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2061), .Y (n_4047));
-  sky130_fd_sc_hd__o2bb2ai_1 g440440(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2066), .Y (n_4046));
-  sky130_fd_sc_hd__o2bb2ai_1 g440441(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2063), .Y (n_4045));
-  sky130_fd_sc_hd__o2bb2ai_1 g440442(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]), .A2_N
-       (n_2442), .B1 (n_2227), .B2 (n_2065), .Y (n_4044));
-  sky130_fd_sc_hd__o2bb2ai_1 g440443(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2059), .Y (n_4043));
-  sky130_fd_sc_hd__o2bb2ai_1 g440444(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2057), .Y (n_4042));
-  sky130_fd_sc_hd__o2bb2ai_1 g440445(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2054), .Y (n_4041));
-  sky130_fd_sc_hd__o2bb2ai_1 g440446(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2061), .Y (n_4040));
-  sky130_fd_sc_hd__o2bb2ai_1 g440447(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2066), .Y (n_4039));
-  sky130_fd_sc_hd__o2bb2ai_1 g440448(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2063), .Y (n_4038));
-  sky130_fd_sc_hd__o2bb2ai_1 g440449(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2069), .Y (n_4037));
-  sky130_fd_sc_hd__o2bb2ai_1 g440450(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]), .A2_N
-       (n_2451), .B1 (n_2231), .B2 (n_2065), .Y (n_4036));
-  sky130_fd_sc_hd__a22o_1 g440451(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4035));
-  sky130_fd_sc_hd__o2bb2ai_1 g440452(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2059), .Y (n_4034));
-  sky130_fd_sc_hd__o2bb2ai_1 g440453(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2057), .Y (n_4033));
-  sky130_fd_sc_hd__o2bb2ai_1 g440454(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2054), .Y (n_4032));
-  sky130_fd_sc_hd__o2bb2ai_1 g440455(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2061), .Y (n_4031));
-  sky130_fd_sc_hd__o2bb2ai_1 g440456(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2066), .Y (n_4030));
-  sky130_fd_sc_hd__o2bb2ai_1 g440457(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2063), .Y (n_4029));
-  sky130_fd_sc_hd__o2bb2ai_1 g440458(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2069), .Y (n_4028));
-  sky130_fd_sc_hd__o2bb2ai_1 g440459(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]), .A2_N
-       (n_2513), .B1 (n_2229), .B2 (n_2065), .Y (n_4027));
-  sky130_fd_sc_hd__o2bb2ai_1 g440460(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2059), .Y (n_4026));
-  sky130_fd_sc_hd__o2bb2ai_1 g440461(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2057), .Y (n_4025));
-  sky130_fd_sc_hd__o2bb2ai_1 g440462(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2054), .Y (n_4024));
-  sky130_fd_sc_hd__o2bb2ai_1 g440463(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2061), .Y (n_4023));
-  sky130_fd_sc_hd__o2bb2ai_1 g440464(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2066), .Y (n_4022));
-  sky130_fd_sc_hd__o2bb2ai_1 g440465(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2063), .Y (n_4021));
-  sky130_fd_sc_hd__o2bb2ai_1 g440466(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2069), .Y (n_4020));
-  sky130_fd_sc_hd__o2bb2ai_1 g440467(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2061), .Y (n_4019));
-  sky130_fd_sc_hd__o2bb2ai_1 g440468(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]), .A2_N
-       (n_2722), .B1 (n_2215), .B2 (n_2065), .Y (n_4018));
-  sky130_fd_sc_hd__o2bb2ai_1 g440469(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2132), .Y (n_4017));
-  sky130_fd_sc_hd__o2bb2ai_1 g440470(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2087), .Y (n_4016));
-  sky130_fd_sc_hd__o2bb2ai_1 g440471(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2073), .Y (n_4015));
-  sky130_fd_sc_hd__o2bb2ai_1 g440472(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2093), .Y (n_4014));
-  sky130_fd_sc_hd__o2bb2ai_1 g440473(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2120), .Y (n_4013));
-  sky130_fd_sc_hd__o2bb2ai_1 g440474(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2124), .Y (n_4012));
-  sky130_fd_sc_hd__o2bb2ai_1 g440475(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2075), .Y (n_4011));
-  sky130_fd_sc_hd__o2bb2ai_1 g440476(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2089), .Y (n_4010));
-  sky130_fd_sc_hd__o2bb2ai_1 g440477(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2073), .Y (n_4009));
-  sky130_fd_sc_hd__o2bb2ai_1 g440478(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2093), .Y (n_4008));
-  sky130_fd_sc_hd__o2bb2ai_1 g440479(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2120), .Y (n_4007));
-  sky130_fd_sc_hd__o2bb2ai_1 g440480(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2124), .Y (n_4006));
-  sky130_fd_sc_hd__o2bb2ai_1 g440481(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2075), .Y (n_4005));
-  sky130_fd_sc_hd__o2bb2ai_1 g440482(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2132), .Y (n_4004));
-  sky130_fd_sc_hd__o2bb2ai_1 g440483(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2089), .Y (n_4003));
-  sky130_fd_sc_hd__o2bb2ai_1 g440484(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2087), .Y (n_4002));
-  sky130_fd_sc_hd__o2bb2ai_1 g440485(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2089), .Y (n_4001));
-  sky130_fd_sc_hd__o2bb2ai_1 g440486(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2073), .Y (n_4000));
-  sky130_fd_sc_hd__o2bb2ai_1 g440487(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2093), .Y (n_3999));
-  sky130_fd_sc_hd__o2bb2ai_1 g440488(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2124), .Y (n_3998));
-  sky130_fd_sc_hd__o2bb2ai_1 g440489(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2075), .Y (n_3997));
-  sky130_fd_sc_hd__o2bb2ai_1 g440490(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2066), .Y (n_3996));
-  sky130_fd_sc_hd__o2bb2ai_1 g440491(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]), .A2_N
-       (n_2703), .B1 (n_1998), .B2 (n_2132), .Y (n_3995));
-  sky130_fd_sc_hd__o2bb2ai_1 g440492(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2087), .Y (n_3994));
-  sky130_fd_sc_hd__o2bb2ai_1 g440493(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2089), .Y (n_3993));
-  sky130_fd_sc_hd__o2bb2ai_1 g440494(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2093), .Y (n_3992));
-  sky130_fd_sc_hd__o2bb2ai_1 g440495(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2120), .Y (n_3991));
-  sky130_fd_sc_hd__o2bb2ai_1 g440496(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]), .A2_N
-       (n_2699), .B1 (n_2222), .B2 (n_2124), .Y (n_3990));
-  sky130_fd_sc_hd__o2bb2ai_1 g440497(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2059), .Y (n_3989));
-  sky130_fd_sc_hd__o2bb2ai_1 g440498(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]), .A2_N
-       (n_2733), .B1 (n_1996), .B2 (n_2063), .Y (n_3988));
-  sky130_fd_sc_hd__o2bb2ai_1 g440499(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2057), .Y (n_3987));
-  sky130_fd_sc_hd__o2bb2ai_1 g440500(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2054), .Y (n_3986));
-  sky130_fd_sc_hd__o2bb2ai_1 g440501(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2061), .Y (n_3985));
-  sky130_fd_sc_hd__o2bb2ai_1 g440502(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2066), .Y (n_3984));
-  sky130_fd_sc_hd__o2bb2ai_1 g440503(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2063), .Y (n_3983));
-  sky130_fd_sc_hd__o2bb2ai_1 g440504(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2069), .Y (n_3982));
-  sky130_fd_sc_hd__o2bb2ai_1 g440505(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]), .A2_N
-       (n_2512), .B1 (n_2223), .B2 (n_2065), .Y (n_3981));
-  sky130_fd_sc_hd__o2bb2ai_1 g440506(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]), .A2_N
-       (n_2867), .B1 (n_1998), .B2 (n_2046), .Y (n_3980));
-  sky130_fd_sc_hd__o2bb2ai_1 g440507(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2059), .Y (n_3979));
-  sky130_fd_sc_hd__o2bb2ai_1 g440508(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2054), .Y (n_3978));
-  sky130_fd_sc_hd__o2bb2ai_1 g440509(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2061), .Y (n_3977));
-  sky130_fd_sc_hd__o2bb2ai_1 g440510(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2066), .Y (n_3976));
-  sky130_fd_sc_hd__o2bb2ai_1 g440511(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2063), .Y (n_3975));
-  sky130_fd_sc_hd__o2bb2ai_1 g440512(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2065), .Y (n_3974));
-  sky130_fd_sc_hd__o2bb2ai_1 g440513(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]), .A2_N
-       (n_2477), .B1 (n_2059), .B2 (n_2222), .Y (n_3973));
-  sky130_fd_sc_hd__o2bb2ai_1 g440514(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]), .A2_N
-       (n_2477), .B1 (n_2054), .B2 (n_2222), .Y (n_3972));
-  sky130_fd_sc_hd__o2bb2ai_1 g440515(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]), .A2_N
-       (n_2477), .B1 (n_2061), .B2 (n_2222), .Y (n_3971));
-  sky130_fd_sc_hd__o2bb2ai_1 g440516(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]), .A2_N
-       (n_2477), .B1 (n_2066), .B2 (n_2222), .Y (n_3970));
-  sky130_fd_sc_hd__o2bb2ai_1 g440517(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]), .A2_N
-       (n_2477), .B1 (n_2069), .B2 (n_2222), .Y (n_3969));
-  sky130_fd_sc_hd__o2bb2ai_1 g440518(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2042), .Y (n_3968));
-  sky130_fd_sc_hd__o2bb2ai_1 g440519(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2040), .Y (n_3967));
-  sky130_fd_sc_hd__o2bb2ai_1 g440520(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2052), .Y (n_3966));
-  sky130_fd_sc_hd__o2bb2ai_1 g440521(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2050), .Y (n_3965));
-  sky130_fd_sc_hd__o2bb2ai_1 g440522(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2048), .Y (n_3964));
-  sky130_fd_sc_hd__o2bb2ai_1 g440523(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2046), .Y (n_3963));
-  sky130_fd_sc_hd__o2bb2ai_1 g440524(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2044), .Y (n_3962));
-  sky130_fd_sc_hd__o2bb2ai_1 g440525(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]), .A2_N
-       (n_2804), .B1 (n_2226), .B2 (n_2038), .Y (n_3961));
-  sky130_fd_sc_hd__o2bb2ai_1 g440526(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2042), .Y (n_3960));
-  sky130_fd_sc_hd__o2bb2ai_1 g440527(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2040), .Y (n_3959));
-  sky130_fd_sc_hd__o2bb2ai_1 g440528(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2052), .Y (n_3958));
-  sky130_fd_sc_hd__o2bb2ai_1 g440529(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2050), .Y (n_3957));
-  sky130_fd_sc_hd__o2bb2ai_1 g440530(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2048), .Y (n_3956));
-  sky130_fd_sc_hd__o2bb2ai_1 g440531(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2046), .Y (n_3955));
-  sky130_fd_sc_hd__o2bb2ai_1 g440532(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2066), .Y (n_3954));
-  sky130_fd_sc_hd__o2bb2ai_1 g440533(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]), .A2_N
-       (n_2483), .B1 (n_2238), .B2 (n_2044), .Y (n_3953));
-  sky130_fd_sc_hd__a22o_1 g440534(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]), .B1
-       (n_2043), .B2 (n_2235), .X (n_3952));
-  sky130_fd_sc_hd__a22o_1 g440535(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]), .B1
-       (n_2041), .B2 (n_2235), .X (n_3951));
-  sky130_fd_sc_hd__a22o_1 g440536(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]), .B1
-       (n_2053), .B2 (n_2235), .X (n_3950));
-  sky130_fd_sc_hd__a22o_1 g440537(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]), .B1
-       (n_2051), .B2 (n_2235), .X (n_3949));
-  sky130_fd_sc_hd__a22o_1 g440538(.A1 (n_2741), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]), .B1
-       (n_2047), .B2 (n_2235), .X (n_3948));
-  sky130_fd_sc_hd__o2bb2ai_1 g440539(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]), .A2_N
-       (n_2523), .B1 (n_2004), .B2 (n_2042), .Y (n_3947));
-  sky130_fd_sc_hd__o2bb2ai_1 g440540(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]), .A2_N
-       (n_2523), .B1 (n_2004), .B2 (n_2040), .Y (n_3946));
-  sky130_fd_sc_hd__o2bb2ai_1 g440541(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]), .A2_N
-       (n_2523), .B1 (n_2004), .B2 (n_2052), .Y (n_3945));
-  sky130_fd_sc_hd__o2bb2ai_1 g440542(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]), .A2_N
-       (n_2523), .B1 (n_2004), .B2 (n_2050), .Y (n_3944));
-  sky130_fd_sc_hd__o2bb2ai_1 g440543(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]), .A2_N
-       (n_2523), .B1 (n_2004), .B2 (n_2046), .Y (n_3943));
-  sky130_fd_sc_hd__o2bb2ai_1 g440544(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]), .A2_N
-       (n_2523), .B1 (n_2004), .B2 (n_2038), .Y (n_3942));
-  sky130_fd_sc_hd__o2bb2ai_1 g440545(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]), .A2_N
-       (n_2803), .B1 (n_2032), .B2 (n_2226), .Y (n_3941));
-  sky130_fd_sc_hd__o2bb2ai_1 g440546(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]), .A2_N
-       (n_2803), .B1 (n_2022), .B2 (n_2226), .Y (n_3940));
-  sky130_fd_sc_hd__o2bb2ai_1 g440547(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]), .A2_N
-       (n_2803), .B1 (n_2030), .B2 (n_2226), .Y (n_3939));
-  sky130_fd_sc_hd__o2bb2ai_1 g440548(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]), .A2_N
-       (n_2803), .B1 (n_2028), .B2 (n_2226), .Y (n_3938));
-  sky130_fd_sc_hd__o2bb2ai_1 g440549(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]), .A2_N
-       (n_2803), .B1 (n_2026), .B2 (n_2226), .Y (n_3937));
-  sky130_fd_sc_hd__o2bb2ai_1 g440550(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]), .A2_N
-       (n_2803), .B1 (n_2024), .B2 (n_2226), .Y (n_3936));
-  sky130_fd_sc_hd__o2bb2ai_1 g440551(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]), .A2_N
-       (n_2803), .B1 (n_2020), .B2 (n_2226), .Y (n_3935));
-  sky130_fd_sc_hd__o2bb2ai_1 g440552(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2018), .Y (n_3934));
-  sky130_fd_sc_hd__o2bb2ai_1 g440553(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2022), .Y (n_3933));
-  sky130_fd_sc_hd__o2bb2ai_1 g440554(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2028), .Y (n_3932));
-  sky130_fd_sc_hd__o2bb2ai_1 g440555(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2026), .Y (n_3931));
-  sky130_fd_sc_hd__o2bb2ai_1 g440556(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2024), .Y (n_3930));
-  sky130_fd_sc_hd__a22o_1 g440557(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]), .B1
-       (n_2235), .B2 (n_2019), .X (n_3929));
-  sky130_fd_sc_hd__a22o_1 g440558(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]), .B1
-       (n_2235), .B2 (n_2033), .X (n_3928));
-  sky130_fd_sc_hd__a22o_1 g440559(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]), .B1
-       (n_2235), .B2 (n_2023), .X (n_3927));
-  sky130_fd_sc_hd__a22o_1 g440560(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]), .B1
-       (n_2235), .B2 (n_2029), .X (n_3926));
-  sky130_fd_sc_hd__a22o_1 g440561(.A1 (n_2740), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]), .B1
-       (n_2235), .B2 (n_2025), .X (n_3925));
-  sky130_fd_sc_hd__o2bb2ai_1 g440562(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2018), .Y (n_3924));
-  sky130_fd_sc_hd__o2bb2ai_1 g440563(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2032), .Y (n_3923));
-  sky130_fd_sc_hd__o2bb2ai_1 g440564(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2022), .Y (n_3922));
-  sky130_fd_sc_hd__o2bb2ai_1 g440565(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2030), .Y (n_3921));
-  sky130_fd_sc_hd__o2bb2ai_1 g440566(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2028), .Y (n_3920));
-  sky130_fd_sc_hd__o2bb2ai_1 g440567(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2026), .Y (n_3919));
-  sky130_fd_sc_hd__o2bb2ai_1 g440568(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2024), .Y (n_3918));
-  sky130_fd_sc_hd__o2bb2ai_1 g440569(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]), .A2_N
-       (n_2525), .B1 (n_2004), .B2 (n_2020), .Y (n_3917));
-  sky130_fd_sc_hd__o2bb2ai_1 g440570(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2042), .Y (n_3916));
-  sky130_fd_sc_hd__o2bb2ai_1 g440571(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2040), .Y (n_3915));
-  sky130_fd_sc_hd__o2bb2ai_1 g440572(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2050), .Y (n_3914));
-  sky130_fd_sc_hd__o2bb2ai_1 g440573(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2046), .Y (n_3913));
-  sky130_fd_sc_hd__o2bb2ai_1 g440574(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2038), .Y (n_3912));
-  sky130_fd_sc_hd__o2bb2ai_1 g440575(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2042), .Y (n_3911));
-  sky130_fd_sc_hd__o2bb2ai_1 g440576(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2052), .Y (n_3910));
-  sky130_fd_sc_hd__o2bb2ai_1 g440577(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2048), .Y (n_3909));
-  sky130_fd_sc_hd__o2bb2ai_1 g440578(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]), .A2_N
-       (n_2521), .B1 (n_2007), .B2 (n_2044), .Y (n_3908));
-  sky130_fd_sc_hd__a22o_1 g440579(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]), .B1
-       (n_2043), .B2 (n_2240), .X (n_3907));
-  sky130_fd_sc_hd__a22o_1 g440580(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]), .B1
-       (n_2041), .B2 (n_2240), .X (n_3906));
-  sky130_fd_sc_hd__a22o_1 g440581(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]), .B1
-       (n_2053), .B2 (n_2240), .X (n_3905));
-  sky130_fd_sc_hd__a22o_1 g440582(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]), .B1
-       (n_2051), .B2 (n_2240), .X (n_3904));
-  sky130_fd_sc_hd__a22o_1 g440583(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]), .B1
-       (n_2049), .B2 (n_2240), .X (n_3903));
-  sky130_fd_sc_hd__a22o_1 g440584(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]), .B1
-       (n_2047), .B2 (n_2240), .X (n_3902));
-  sky130_fd_sc_hd__a22o_1 g440585(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]), .B1
-       (n_2045), .B2 (n_2240), .X (n_3901));
-  sky130_fd_sc_hd__a22o_1 g440586(.A1 (n_2817), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]), .B1
-       (n_2039), .B2 (n_2240), .X (n_3900));
-  sky130_fd_sc_hd__o2bb2ai_1 g440587(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]), .A2_N
-       (n_2444), .B1 (n_2006), .B2 (n_2042), .Y (n_3899));
-  sky130_fd_sc_hd__o2bb2ai_1 g440588(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]), .A2_N
-       (n_2444), .B1 (n_2006), .B2 (n_2040), .Y (n_3898));
-  sky130_fd_sc_hd__o2bb2ai_1 g440589(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]), .A2_N
-       (n_2444), .B1 (n_2006), .B2 (n_2052), .Y (n_3897));
-  sky130_fd_sc_hd__o2bb2ai_1 g440590(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]), .A2_N
-       (n_2444), .B1 (n_2006), .B2 (n_2050), .Y (n_3896));
-  sky130_fd_sc_hd__o2bb2ai_1 g440591(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]), .A2_N
-       (n_2444), .B1 (n_2006), .B2 (n_2048), .Y (n_3895));
-  sky130_fd_sc_hd__o2bb2ai_1 g440592(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]), .A2_N
-       (n_2444), .B1 (n_2006), .B2 (n_2046), .Y (n_3894));
-  sky130_fd_sc_hd__o2bb2ai_1 g440593(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2018), .Y (n_3893));
-  sky130_fd_sc_hd__o2bb2ai_1 g440594(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2032), .Y (n_3892));
-  sky130_fd_sc_hd__o2bb2ai_1 g440595(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2022), .Y (n_3891));
-  sky130_fd_sc_hd__o2bb2ai_1 g440596(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2030), .Y (n_3890));
-  sky130_fd_sc_hd__o2bb2ai_1 g440597(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2028), .Y (n_3889));
-  sky130_fd_sc_hd__o2bb2ai_1 g440598(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2026), .Y (n_3888));
-  sky130_fd_sc_hd__o2bb2ai_1 g440599(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2024), .Y (n_3887));
-  sky130_fd_sc_hd__o2bb2ai_1 g440600(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]), .A2_N
-       (n_2861), .B1 (n_2237), .B2 (n_2020), .Y (n_3886));
-  sky130_fd_sc_hd__o2bb2ai_1 g440601(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]), .A2_N
-       (n_2524), .B1 (n_2032), .B2 (n_2007), .Y (n_3885));
-  sky130_fd_sc_hd__o2bb2ai_1 g440602(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]), .A2_N
-       (n_2524), .B1 (n_2022), .B2 (n_2007), .Y (n_3884));
-  sky130_fd_sc_hd__o2bb2ai_1 g440603(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]), .A2_N
-       (n_2524), .B1 (n_2030), .B2 (n_2007), .Y (n_3883));
-  sky130_fd_sc_hd__o2bb2ai_1 g440604(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]), .A2_N
-       (n_2524), .B1 (n_2028), .B2 (n_2007), .Y (n_3882));
-  sky130_fd_sc_hd__o2bb2ai_1 g440605(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]), .A2_N
-       (n_2524), .B1 (n_2026), .B2 (n_2007), .Y (n_3881));
-  sky130_fd_sc_hd__o2bb2ai_1 g440606(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]), .A2_N
-       (n_2524), .B1 (n_2024), .B2 (n_2007), .Y (n_3880));
-  sky130_fd_sc_hd__o2bb2ai_1 g440607(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]), .A2_N
-       (n_2524), .B1 (n_2020), .B2 (n_2007), .Y (n_3879));
-  sky130_fd_sc_hd__a22o_1 g440608(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]), .B1
-       (n_2240), .B2 (n_2019), .X (n_3878));
-  sky130_fd_sc_hd__a22o_1 g440609(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]), .B1
-       (n_2240), .B2 (n_2033), .X (n_3877));
-  sky130_fd_sc_hd__a22o_1 g440610(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]), .B1
-       (n_2240), .B2 (n_2023), .X (n_3876));
-  sky130_fd_sc_hd__a22o_1 g440611(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]), .B1
-       (n_2240), .B2 (n_2031), .X (n_3875));
-  sky130_fd_sc_hd__a22o_1 g440612(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]), .B1
-       (n_2240), .B2 (n_2029), .X (n_3874));
-  sky130_fd_sc_hd__a22o_1 g440613(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]), .B1
-       (n_2240), .B2 (n_2025), .X (n_3873));
-  sky130_fd_sc_hd__a22o_1 g440614(.A1 (n_2818), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]), .B1
-       (n_2240), .B2 (n_2021), .X (n_3872));
-  sky130_fd_sc_hd__o2bb2ai_1 g440615(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]), .A2_N
-       (n_2443), .B1 (n_2018), .B2 (n_2006), .Y (n_3871));
-  sky130_fd_sc_hd__o2bb2ai_1 g440616(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]), .A2_N
-       (n_2443), .B1 (n_2022), .B2 (n_2006), .Y (n_3870));
-  sky130_fd_sc_hd__o2bb2ai_1 g440617(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]), .A2_N
-       (n_2443), .B1 (n_2028), .B2 (n_2006), .Y (n_3869));
-  sky130_fd_sc_hd__o2bb2ai_1 g440618(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]), .A2_N
-       (n_2443), .B1 (n_2026), .B2 (n_2006), .Y (n_3868));
-  sky130_fd_sc_hd__o2bb2ai_1 g440619(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]), .A2_N
-       (n_2443), .B1 (n_2024), .B2 (n_2006), .Y (n_3867));
-  sky130_fd_sc_hd__o2bb2ai_1 g440620(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]), .A2_N
-       (n_2443), .B1 (n_2020), .B2 (n_2006), .Y (n_3866));
-  sky130_fd_sc_hd__o2bb2ai_1 g440621(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2110), .Y (n_3865));
-  sky130_fd_sc_hd__o2bb2ai_1 g440622(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2083), .Y (n_3864));
-  sky130_fd_sc_hd__o2bb2ai_1 g440623(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2100), .Y (n_3863));
-  sky130_fd_sc_hd__o2bb2ai_1 g440624(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2104), .Y (n_3862));
-  sky130_fd_sc_hd__o2bb2ai_1 g440625(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2099), .Y (n_3861));
-  sky130_fd_sc_hd__o2bb2ai_1 g440626(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2085), .Y (n_3860));
-  sky130_fd_sc_hd__o2bb2ai_1 g440627(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2079), .Y (n_3859));
-  sky130_fd_sc_hd__o2bb2ai_1 g440628(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]), .A2_N
-       (n_2751), .B1 (n_2226), .B2 (n_2128), .Y (n_3858));
-  sky130_fd_sc_hd__o2bb2ai_1 g440629(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2110), .Y (n_3857));
-  sky130_fd_sc_hd__o2bb2ai_1 g440630(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2083), .Y (n_3856));
-  sky130_fd_sc_hd__o2bb2ai_1 g440631(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2100), .Y (n_3855));
-  sky130_fd_sc_hd__o2bb2ai_1 g440632(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2104), .Y (n_3854));
-  sky130_fd_sc_hd__o2bb2ai_1 g440633(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2099), .Y (n_3853));
-  sky130_fd_sc_hd__o2bb2ai_1 g440634(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2085), .Y (n_3852));
-  sky130_fd_sc_hd__o2bb2ai_1 g440635(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2079), .Y (n_3851));
-  sky130_fd_sc_hd__o2bb2ai_1 g440636(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]), .A2_N
-       (n_2713), .B1 (n_2238), .B2 (n_2128), .Y (n_3850));
-  sky130_fd_sc_hd__a22o_1 g440637(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]), .B1
-       (n_2082), .B2 (n_2235), .X (n_3849));
-  sky130_fd_sc_hd__o2bb2ai_1 g440638(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]), .A2_N
-       (n_2708), .B1 (n_2234), .B2 (n_2100), .Y (n_3848));
-  sky130_fd_sc_hd__a22o_1 g440639(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]), .B1
-       (n_2103), .B2 (n_2235), .X (n_3847));
-  sky130_fd_sc_hd__a22o_1 g440640(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]), .B1
-       (n_2084), .B2 (n_2235), .X (n_3846));
-  sky130_fd_sc_hd__a22o_1 g440641(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]), .B1
-       (n_2078), .B2 (n_2235), .X (n_3845));
-  sky130_fd_sc_hd__a22o_1 g440642(.A1 (n_2708), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]), .B1
-       (n_2127), .B2 (n_2235), .X (n_3844));
-  sky130_fd_sc_hd__o2bb2ai_1 g440643(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2100), .Y (n_3843));
-  sky130_fd_sc_hd__o2bb2ai_1 g440644(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2099), .Y (n_3842));
-  sky130_fd_sc_hd__o2bb2ai_1 g440645(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2128), .Y (n_3841));
-  sky130_fd_sc_hd__o2bb2ai_1 g440646(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2116), .Y (n_3840));
-  sky130_fd_sc_hd__o2bb2ai_1 g440647(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2117), .Y (n_3839));
-  sky130_fd_sc_hd__o2bb2ai_1 g440648(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2097), .Y (n_3838));
-  sky130_fd_sc_hd__o2bb2ai_1 g440649(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2125), .Y (n_3837));
-  sky130_fd_sc_hd__o2bb2ai_1 g440650(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2013), .Y (n_3836));
-  sky130_fd_sc_hd__o2bb2ai_1 g440651(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]), .A2_N
-       (n_2750), .B1 (n_2226), .B2 (n_2077), .Y (n_3835));
-  sky130_fd_sc_hd__o2bb2ai_1 g440652(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2116), .Y (n_3834));
-  sky130_fd_sc_hd__o2bb2ai_1 g440653(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2125), .Y (n_3833));
-  sky130_fd_sc_hd__o2bb2ai_1 g440654(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2122), .Y (n_3832));
-  sky130_fd_sc_hd__o2bb2ai_1 g440655(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2077), .Y (n_3831));
-  sky130_fd_sc_hd__a22o_1 g440656(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]), .B1
-       (n_2115), .B2 (n_2235), .X (n_3830));
-  sky130_fd_sc_hd__a22o_1 g440657(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]), .B1
-       (n_2118), .B2 (n_2235), .X (n_3829));
-  sky130_fd_sc_hd__a22o_1 g440658(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]), .B1
-       (n_2126), .B2 (n_2235), .X (n_3828));
-  sky130_fd_sc_hd__a22o_1 g440659(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]), .B1
-       (n_2076), .B2 (n_2235), .X (n_3827));
-  sky130_fd_sc_hd__o2bb2ai_1 g440660(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2117), .Y (n_3826));
-  sky130_fd_sc_hd__o2bb2ai_1 g440661(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2097), .Y (n_3825));
-  sky130_fd_sc_hd__o2bb2ai_1 g440662(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2125), .Y (n_3824));
-  sky130_fd_sc_hd__o2bb2ai_1 g440663(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2013), .Y (n_3823));
-  sky130_fd_sc_hd__o2bb2ai_1 g440664(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2122), .Y (n_3822));
-  sky130_fd_sc_hd__o2bb2ai_1 g440665(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2077), .Y (n_3821));
-  sky130_fd_sc_hd__o2bb2ai_1 g440666(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]), .A2_N
-       (n_2431), .B1 (n_2220), .B2 (n_2061), .Y (n_3820));
-  sky130_fd_sc_hd__o2bb2ai_1 g440667(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]), .A2_N
-       (n_2436), .B1 (n_2004), .B2 (n_2113), .Y (n_3819));
-  sky130_fd_sc_hd__o2bb2ai_1 g440668(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2110), .Y (n_3818));
-  sky130_fd_sc_hd__o2bb2ai_1 g440669(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2104), .Y (n_3817));
-  sky130_fd_sc_hd__o2bb2ai_1 g440670(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2085), .Y (n_3816));
-  sky130_fd_sc_hd__o2bb2ai_1 g440671(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2079), .Y (n_3815));
-  sky130_fd_sc_hd__o2bb2ai_1 g440672(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]), .A2_N
-       (n_2835), .B1 (n_2237), .B2 (n_2128), .Y (n_3814));
-  sky130_fd_sc_hd__o2bb2ai_1 g440673(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]), .A2_N
-       (n_2693), .B1 (n_1998), .B2 (n_2110), .Y (n_3813));
-  sky130_fd_sc_hd__o2bb2ai_1 g440674(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2083), .Y (n_3812));
-  sky130_fd_sc_hd__o2bb2ai_1 g440675(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2100), .Y (n_3811));
-  sky130_fd_sc_hd__o2bb2ai_1 g440676(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2099), .Y (n_3810));
-  sky130_fd_sc_hd__o2bb2ai_1 g440677(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2085), .Y (n_3809));
-  sky130_fd_sc_hd__o2bb2ai_1 g440678(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]), .A2_N
-       (n_2766), .B1 (n_2007), .B2 (n_2128), .Y (n_3808));
-  sky130_fd_sc_hd__a22o_1 g440679(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]), .B1
-       (n_2082), .B2 (n_2240), .X (n_3807));
-  sky130_fd_sc_hd__o2bb2ai_1 g440680(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]), .A2_N
-       (n_2758), .B1 (n_2239), .B2 (n_2100), .Y (n_3806));
-  sky130_fd_sc_hd__a22o_1 g440681(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]), .B1
-       (n_2098), .B2 (n_2240), .X (n_3805));
-  sky130_fd_sc_hd__a22o_1 g440682(.A1 (n_2758), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]), .B1
-       (n_2084), .B2 (n_2240), .X (n_3804));
-  sky130_fd_sc_hd__o2bb2ai_1 g440683(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2083), .Y (n_3803));
-  sky130_fd_sc_hd__o2bb2ai_1 g440684(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2100), .Y (n_3802));
-  sky130_fd_sc_hd__o2bb2ai_1 g440685(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2099), .Y (n_3801));
-  sky130_fd_sc_hd__o2bb2ai_1 g440686(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2085), .Y (n_3800));
-  sky130_fd_sc_hd__o2bb2ai_1 g440687(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2079), .Y (n_3799));
-  sky130_fd_sc_hd__o2bb2ai_1 g440688(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]), .A2_N
-       (n_2709), .B1 (n_2006), .B2 (n_2128), .Y (n_3798));
-  sky130_fd_sc_hd__o2bb2ai_1 g440689(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]), .A2_N
-       (n_2836), .B1 (n_2237), .B2 (n_2116), .Y (n_3797));
-  sky130_fd_sc_hd__o2bb2ai_1 g440690(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]), .A2_N
-       (n_2836), .B1 (n_2237), .B2 (n_2097), .Y (n_3796));
-  sky130_fd_sc_hd__o2bb2ai_1 g440691(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]), .A2_N
-       (n_2836), .B1 (n_2237), .B2 (n_2122), .Y (n_3795));
-  sky130_fd_sc_hd__o2bb2ai_1 g440692(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]), .A2_N
-       (n_2698), .B1 (n_2224), .B2 (n_2073), .Y (n_3794));
-  sky130_fd_sc_hd__o2bb2ai_1 g440693(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]), .A2_N
-       (n_2836), .B1 (n_2237), .B2 (n_2077), .Y (n_3793));
-  sky130_fd_sc_hd__o2bb2ai_1 g440694(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2097), .Y (n_3792));
-  sky130_fd_sc_hd__o2bb2ai_1 g440695(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2013), .Y (n_3791));
-  sky130_fd_sc_hd__o2bb2ai_1 g440696(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]), .A2_N
-       (n_2474), .B1 (n_2007), .B2 (n_2077), .Y (n_3790));
-  sky130_fd_sc_hd__a22o_1 g440697(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]), .B1
-       (n_2115), .B2 (n_2240), .X (n_3789));
-  sky130_fd_sc_hd__a22o_1 g440698(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3788));
-  sky130_fd_sc_hd__a22o_1 g440699(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]), .B1
-       (n_2014), .B2 (n_2240), .X (n_3787));
-  sky130_fd_sc_hd__a22o_1 g440700(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]), .B1
-       (n_2076), .B2 (n_2240), .X (n_3786));
-  sky130_fd_sc_hd__o2bb2ai_1 g440701(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2116), .Y (n_3785));
-  sky130_fd_sc_hd__o2bb2ai_1 g440702(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2117), .Y (n_3784));
-  sky130_fd_sc_hd__o2bb2ai_1 g440703(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2097), .Y (n_3783));
-  sky130_fd_sc_hd__o2bb2ai_1 g440704(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2125), .Y (n_3782));
-  sky130_fd_sc_hd__o2bb2ai_1 g440705(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2013), .Y (n_3781));
-  sky130_fd_sc_hd__o2bb2ai_1 g440706(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2122), .Y (n_3780));
-  sky130_fd_sc_hd__o2bb2ai_1 g440707(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2077), .Y (n_3779));
-  sky130_fd_sc_hd__o2bb2ai_1 g440708(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]), .A2_N
-       (n_2516), .B1 (n_2006), .B2 (n_2113), .Y (n_3778));
-  sky130_fd_sc_hd__o2bb2ai_1 g440709(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]), .A2_N
-       (n_2718), .B1 (n_2233), .B2 (n_2042), .Y (n_3777));
-  sky130_fd_sc_hd__o2bb2ai_1 g440710(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]), .A2_N
-       (n_2718), .B1 (n_2233), .B2 (n_2040), .Y (n_3776));
-  sky130_fd_sc_hd__o2bb2ai_1 g440711(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]), .A2_N
-       (n_2718), .B1 (n_2233), .B2 (n_2050), .Y (n_3775));
-  sky130_fd_sc_hd__o2bb2ai_1 g440712(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]), .A2_N
-       (n_2718), .B1 (n_2233), .B2 (n_2046), .Y (n_3774));
-  sky130_fd_sc_hd__o2bb2ai_1 g440713(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]), .A2_N
-       (n_2718), .B1 (n_2233), .B2 (n_2038), .Y (n_3773));
-  sky130_fd_sc_hd__a22o_1 g440714(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3772));
-  sky130_fd_sc_hd__a22o_1 g440715(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3771));
-  sky130_fd_sc_hd__a22o_1 g440716(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3770));
-  sky130_fd_sc_hd__a22o_1 g440717(.A1 (n_2526), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]), .B1
-       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3769));
-  sky130_fd_sc_hd__a22o_1 g440718(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3768));
-  sky130_fd_sc_hd__a22o_1 g440719(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3767));
-  sky130_fd_sc_hd__a22o_1 g440720(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3766));
-  sky130_fd_sc_hd__a22o_1 g440721(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3765));
-  sky130_fd_sc_hd__a22o_1 g440722(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3764));
-  sky130_fd_sc_hd__a22o_1 g440723(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3763));
-  sky130_fd_sc_hd__a22o_1 g440724(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3762));
-  sky130_fd_sc_hd__a22o_1 g440725(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3761));
-  sky130_fd_sc_hd__a22o_1 g440726(.A1 (n_2472), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]), .B1
-       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3760));
-  sky130_fd_sc_hd__o2bb2ai_1 g440727(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]), .A2_N
-       (n_2717), .B1 (n_2018), .B2 (n_2233), .Y (n_3759));
-  sky130_fd_sc_hd__o2bb2ai_1 g440728(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]), .A2_N
-       (n_2717), .B1 (n_2032), .B2 (n_2233), .Y (n_3758));
-  sky130_fd_sc_hd__o2bb2ai_1 g440729(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]), .A2_N
-       (n_2717), .B1 (n_2022), .B2 (n_2233), .Y (n_3757));
-  sky130_fd_sc_hd__o2bb2ai_1 g440730(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]), .A2_N
-       (n_2717), .B1 (n_2030), .B2 (n_2233), .Y (n_3756));
-  sky130_fd_sc_hd__o2bb2ai_1 g440731(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]), .A2_N
-       (n_2717), .B1 (n_2028), .B2 (n_2233), .Y (n_3755));
-  sky130_fd_sc_hd__o2bb2ai_1 g440732(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]), .A2_N
-       (n_2717), .B1 (n_2026), .B2 (n_2233), .Y (n_3754));
-  sky130_fd_sc_hd__o2bb2ai_1 g440733(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]), .A2_N
-       (n_2717), .B1 (n_2024), .B2 (n_2233), .Y (n_3753));
-  sky130_fd_sc_hd__o2bb2ai_1 g440734(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]), .A2_N
-       (n_2717), .B1 (n_2020), .B2 (n_2233), .Y (n_3752));
-  sky130_fd_sc_hd__a22o_1 g440735(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3751));
-  sky130_fd_sc_hd__xnor2_1 g440736(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B (n_2611), .Y (n_3750));
-  sky130_fd_sc_hd__a22o_1 g440737(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3749));
-  sky130_fd_sc_hd__a22o_1 g440738(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3748));
-  sky130_fd_sc_hd__a22o_1 g440739(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3747));
-  sky130_fd_sc_hd__a22o_1 g440740(.A1 (n_2522), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]), .B1
-       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3746));
-  sky130_fd_sc_hd__a22o_1 g440741(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3745));
-  sky130_fd_sc_hd__a22o_1 g440742(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3744));
-  sky130_fd_sc_hd__a22o_1 g440743(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3743));
-  sky130_fd_sc_hd__a22o_1 g440744(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3742));
-  sky130_fd_sc_hd__a22o_1 g440745(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3741));
-  sky130_fd_sc_hd__a22o_1 g440746(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3740));
-  sky130_fd_sc_hd__a22o_1 g440747(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3739));
-  sky130_fd_sc_hd__a22o_1 g440748(.A1 (n_2437), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]), .B1
-       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3738));
-  sky130_fd_sc_hd__a22o_1 g440749(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3737));
-  sky130_fd_sc_hd__a22o_1 g440750(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3736));
-  sky130_fd_sc_hd__o2bb2ai_1 g440751(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]), .A2_N
-       (n_2828), .B1 (n_2134), .B2 (n_1994), .Y (n_3735));
-  sky130_fd_sc_hd__a22o_1 g440752(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3734));
-  sky130_fd_sc_hd__a22o_1 g440753(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3733));
-  sky130_fd_sc_hd__a22o_1 g440754(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3732));
-  sky130_fd_sc_hd__a22o_1 g440755(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3731));
-  sky130_fd_sc_hd__a22o_1 g440756(.A1 (n_2469), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]), .B1
-       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3730));
-  sky130_fd_sc_hd__a22o_1 g440757(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3729));
-  sky130_fd_sc_hd__a22o_1 g440758(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3728));
-  sky130_fd_sc_hd__a22o_1 g440759(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3727));
-  sky130_fd_sc_hd__a22o_1 g440760(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3726));
-  sky130_fd_sc_hd__a22o_1 g440761(.A1 (n_2796), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]), .B1
-       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3725));
-  sky130_fd_sc_hd__a22o_1 g440762(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3724));
-  sky130_fd_sc_hd__a22o_1 g440763(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3723));
-  sky130_fd_sc_hd__a22o_1 g440764(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3722));
-  sky130_fd_sc_hd__a22o_1 g440765(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3721));
-  sky130_fd_sc_hd__o2bb2ai_1 g440766(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2069), .Y (n_3720));
-  sky130_fd_sc_hd__a22o_1 g440767(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3719));
-  sky130_fd_sc_hd__a22o_1 g440768(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3718));
-  sky130_fd_sc_hd__a22o_1 g440769(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3717));
-  sky130_fd_sc_hd__a22o_1 g440770(.A1 (n_2466), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]), .B1
-       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3716));
-  sky130_fd_sc_hd__a22o_1 g440771(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3715));
-  sky130_fd_sc_hd__a22o_1 g440772(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3714));
-  sky130_fd_sc_hd__a22o_1 g440773(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3713));
-  sky130_fd_sc_hd__a22o_1 g440774(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3712));
-  sky130_fd_sc_hd__a22o_1 g440775(.A1 (n_2726), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]), .B1
-       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3711));
-  sky130_fd_sc_hd__a22o_1 g440776(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3710));
-  sky130_fd_sc_hd__a22o_1 g440777(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3709));
-  sky130_fd_sc_hd__a22o_1 g440778(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3708));
-  sky130_fd_sc_hd__a22o_1 g440779(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3707));
-  sky130_fd_sc_hd__a22o_1 g440780(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3706));
-  sky130_fd_sc_hd__a22o_1 g440781(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3705));
-  sky130_fd_sc_hd__a22o_1 g440782(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3704));
-  sky130_fd_sc_hd__a22o_1 g440783(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3703));
-  sky130_fd_sc_hd__a22o_1 g440784(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3702));
-  sky130_fd_sc_hd__a22o_1 g440785(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3701));
-  sky130_fd_sc_hd__a22o_1 g440786(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3700));
-  sky130_fd_sc_hd__a22o_1 g440787(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3699));
-  sky130_fd_sc_hd__a22o_1 g440788(.A1 (n_2797), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]), .B1
-       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3698));
-  sky130_fd_sc_hd__a22o_1 g440789(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3697));
-  sky130_fd_sc_hd__a22o_1 g440790(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3696));
-  sky130_fd_sc_hd__a22o_1 g440791(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3695));
-  sky130_fd_sc_hd__a22o_1 g440792(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3694));
-  sky130_fd_sc_hd__a22o_1 g440793(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3693));
-  sky130_fd_sc_hd__a22o_1 g440794(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3692));
-  sky130_fd_sc_hd__a22o_1 g440795(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3691));
-  sky130_fd_sc_hd__a22o_1 g440796(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3690));
-  sky130_fd_sc_hd__a22o_1 g440797(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3689));
-  sky130_fd_sc_hd__a22o_1 g440798(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3688));
-  sky130_fd_sc_hd__a22o_1 g440799(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3687));
-  sky130_fd_sc_hd__a22o_1 g440800(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3686));
-  sky130_fd_sc_hd__a22o_1 g440801(.A1 (n_2725), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]), .B1
-       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3685));
-  sky130_fd_sc_hd__a22o_1 g440802(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3684));
-  sky130_fd_sc_hd__a22o_1 g440803(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3683));
-  sky130_fd_sc_hd__a22o_1 g440804(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3682));
-  sky130_fd_sc_hd__a22o_1 g440805(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3681));
-  sky130_fd_sc_hd__a22o_1 g440806(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3680));
-  sky130_fd_sc_hd__a22o_1 g440807(.A1 (n_2744), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]), .B1
-       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3679));
-  sky130_fd_sc_hd__o2bb2ai_1 g440808(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]), .A2_N
-       (n_2714), .B1 (n_2233), .B2 (n_2110), .Y (n_3678));
-  sky130_fd_sc_hd__o2bb2ai_1 g440809(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]), .A2_N
-       (n_2714), .B1 (n_2233), .B2 (n_2100), .Y (n_3677));
-  sky130_fd_sc_hd__o2bb2ai_1 g440810(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]), .A2_N
-       (n_2731), .B1 (n_2251), .B2 (n_2057), .Y (n_3676));
-  sky130_fd_sc_hd__o2bb2ai_1 g440811(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]), .A2_N
-       (n_2714), .B1 (n_2233), .B2 (n_2099), .Y (n_3675));
-  sky130_fd_sc_hd__o2bb2ai_1 g440812(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]), .A2_N
-       (n_2714), .B1 (n_2233), .B2 (n_2079), .Y (n_3674));
-  sky130_fd_sc_hd__o2bb2ai_1 g440813(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]), .A2_N
-       (n_2714), .B1 (n_2233), .B2 (n_2128), .Y (n_3673));
-  sky130_fd_sc_hd__a22o_1 g440814(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3672));
-  sky130_fd_sc_hd__a22o_1 g440815(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3671));
-  sky130_fd_sc_hd__a22o_1 g440816(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3670));
-  sky130_fd_sc_hd__a22o_1 g440817(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3669));
-  sky130_fd_sc_hd__a22o_1 g440818(.A1 (n_2764), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]), .B1
-       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3668));
-  sky130_fd_sc_hd__a22o_1 g440819(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3667));
-  sky130_fd_sc_hd__a22o_1 g440820(.A1 (n_2710), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]), .B1
-       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3666));
-  sky130_fd_sc_hd__a22o_1 g440821(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3665));
-  sky130_fd_sc_hd__a22o_1 g440822(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3664));
-  sky130_fd_sc_hd__a22o_1 g440823(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3663));
-  sky130_fd_sc_hd__a22o_1 g440824(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3662));
-  sky130_fd_sc_hd__a22o_1 g440825(.A1 (n_2826), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]), .B1
-       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3661));
-  sky130_fd_sc_hd__a22o_1 g440826(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3660));
-  sky130_fd_sc_hd__a22o_1 g440827(.A1 (n_2441), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]), .B1
-       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3659));
-  sky130_fd_sc_hd__a22o_1 g440828(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]), .B1
-       (n_2121), .B2 (n_2240), .X (n_3658));
-  sky130_fd_sc_hd__a22o_1 g440829(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3657));
-  sky130_fd_sc_hd__a22o_1 g440830(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3656));
-  sky130_fd_sc_hd__a22o_1 g440831(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3655));
-  sky130_fd_sc_hd__a22o_1 g440832(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3654));
-  sky130_fd_sc_hd__a22o_1 g440833(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3653));
-  sky130_fd_sc_hd__a22o_1 g440834(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3652));
-  sky130_fd_sc_hd__a22o_1 g440835(.A1 (n_2456), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]), .B1
-       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3651));
-  sky130_fd_sc_hd__a22o_1 g440836(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3650));
-  sky130_fd_sc_hd__a22o_1 g440837(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3649));
-  sky130_fd_sc_hd__a22o_1 g440838(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3648));
-  sky130_fd_sc_hd__a22o_1 g440839(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3647));
-  sky130_fd_sc_hd__a22o_1 g440840(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3646));
-  sky130_fd_sc_hd__a22o_1 g440841(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3645));
-  sky130_fd_sc_hd__a22o_1 g440842(.A1 (n_2518), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]), .B1
-       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3644));
-  sky130_fd_sc_hd__a22o_1 g440843(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3643));
-  sky130_fd_sc_hd__a22o_1 g440844(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3642));
-  sky130_fd_sc_hd__a22o_1 g440845(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3641));
-  sky130_fd_sc_hd__a22o_1 g440846(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3640));
-  sky130_fd_sc_hd__a22o_1 g440847(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3639));
-  sky130_fd_sc_hd__a22o_1 g440848(.A1 (n_2735), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]), .B1
-       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3638));
-  sky130_fd_sc_hd__a22o_1 g440849(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3637));
-  sky130_fd_sc_hd__o2bb2ai_1 g440850(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]), .A2_N
-       (n_2440), .B1 (n_2106), .B2 (n_2233), .Y (n_3636));
-  sky130_fd_sc_hd__a22o_1 g440851(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3635));
-  sky130_fd_sc_hd__a22o_1 g440852(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3634));
-  sky130_fd_sc_hd__a22o_1 g440853(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3633));
-  sky130_fd_sc_hd__a22o_1 g440854(.A1 (n_2729), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]), .B1
-       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3632));
-  sky130_fd_sc_hd__a22o_1 g440855(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3631));
-  sky130_fd_sc_hd__a22o_1 g440856(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3630));
-  sky130_fd_sc_hd__a22o_1 g440857(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3629));
-  sky130_fd_sc_hd__a22o_1 g440858(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3628));
-  sky130_fd_sc_hd__a22o_1 g440859(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3627));
-  sky130_fd_sc_hd__a22o_1 g440860(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3626));
-  sky130_fd_sc_hd__a22o_1 g440861(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3625));
-  sky130_fd_sc_hd__a22o_1 g440862(.A1 (n_2711), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]), .B1
-       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3624));
-  sky130_fd_sc_hd__a22o_1 g440863(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3623));
-  sky130_fd_sc_hd__a22o_1 g440864(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3622));
-  sky130_fd_sc_hd__a22o_1 g440865(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3621));
-  sky130_fd_sc_hd__a22o_1 g440866(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3620));
-  sky130_fd_sc_hd__a22o_1 g440867(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3619));
-  sky130_fd_sc_hd__a22o_1 g440868(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3618));
-  sky130_fd_sc_hd__a22o_1 g440869(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3617));
-  sky130_fd_sc_hd__a22o_1 g440870(.A1 (n_2712), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]), .B1
-       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3616));
-  sky130_fd_sc_hd__a22o_1 g440871(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3615));
-  sky130_fd_sc_hd__a22o_1 g440872(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3614));
-  sky130_fd_sc_hd__a22o_1 g440873(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3613));
-  sky130_fd_sc_hd__a22o_1 g440874(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3612));
-  sky130_fd_sc_hd__a22o_1 g440875(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3611));
-  sky130_fd_sc_hd__a22o_1 g440876(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3610));
-  sky130_fd_sc_hd__a22o_1 g440877(.A1 (n_2715), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]), .B1
-       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3609));
-  sky130_fd_sc_hd__a22o_1 g440878(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3608));
-  sky130_fd_sc_hd__a22o_1 g440879(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3607));
-  sky130_fd_sc_hd__a22o_1 g440880(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3606));
-  sky130_fd_sc_hd__a22o_1 g440881(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3605));
-  sky130_fd_sc_hd__a22o_1 g440882(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3604));
-  sky130_fd_sc_hd__a22o_1 g440883(.A1 (n_2732), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]), .B1
-       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3603));
-  sky130_fd_sc_hd__a22o_1 g440884(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3602));
-  sky130_fd_sc_hd__a22o_1 g440885(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3601));
-  sky130_fd_sc_hd__a22o_1 g440886(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3600));
-  sky130_fd_sc_hd__a22o_1 g440887(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3599));
-  sky130_fd_sc_hd__a22o_1 g440888(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3598));
-  sky130_fd_sc_hd__a22o_1 g440889(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3597));
-  sky130_fd_sc_hd__a22o_1 g440890(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3596));
-  sky130_fd_sc_hd__a22o_1 g440891(.A1 (n_2517), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]), .B1
-       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3595));
-  sky130_fd_sc_hd__o2bb2ai_1 g440892(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]), .A2_N
-       (n_2440), .B1 (n_2108), .B2 (n_2233), .Y (n_3594));
-  sky130_fd_sc_hd__a22o_1 g440893(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]), .B1
-       (n_2115), .B2 (n_2248), .X (n_3593));
-  sky130_fd_sc_hd__o2bb2ai_1 g440894(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2089), .Y (n_3592));
-  sky130_fd_sc_hd__o2bb2ai_1 g440895(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2093), .Y (n_3591));
-  sky130_fd_sc_hd__a22o_1 g440896(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]), .B1
-       (n_2096), .B2 (n_2248), .X (n_3590));
-  sky130_fd_sc_hd__a22o_1 g440897(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]), .B1
-       (n_2126), .B2 (n_2248), .X (n_3589));
-  sky130_fd_sc_hd__a22o_1 g440898(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]), .B1
-       (n_2014), .B2 (n_2248), .X (n_3588));
-  sky130_fd_sc_hd__o2bb2ai_1 g440899(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2087), .Y (n_3587));
-  sky130_fd_sc_hd__a22o_1 g440900(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]), .B1
-       (n_2076), .B2 (n_2248), .X (n_3586));
-  sky130_fd_sc_hd__a22o_1 g440901(.A1 (n_2453), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]), .B1
-       (n_2114), .B2 (n_2248), .X (n_3585));
-  sky130_fd_sc_hd__a22o_1 g440902(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3584));
-  sky130_fd_sc_hd__a22o_1 g440903(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3583));
-  sky130_fd_sc_hd__a22o_1 g440904(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3582));
-  sky130_fd_sc_hd__a22o_1 g440905(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3581));
-  sky130_fd_sc_hd__a22o_1 g440906(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3580));
-  sky130_fd_sc_hd__a22o_1 g440907(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3579));
-  sky130_fd_sc_hd__a22o_1 g440908(.A1 (n_2476), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]), .B1
-       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3578));
-  sky130_fd_sc_hd__o2bb2ai_1 g440909(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2130), .Y (n_3577));
-  sky130_fd_sc_hd__o2bb2ai_1 g440910(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2017), .Y (n_3576));
-  sky130_fd_sc_hd__o2bb2ai_1 g440911(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2035), .Y (n_3575));
-  sky130_fd_sc_hd__o2bb2ai_1 g440912(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2071), .Y (n_3574));
-  sky130_fd_sc_hd__o2bb2ai_1 g440913(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2070), .Y (n_3573));
-  sky130_fd_sc_hd__o2bb2ai_1 g440914(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]), .A2_N
-       (n_2755), .B1 (n_2226), .B2 (n_2095), .Y (n_3572));
-  sky130_fd_sc_hd__o2bb2ai_1 g440915(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2130), .Y (n_3571));
-  sky130_fd_sc_hd__o2bb2ai_1 g440916(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2037), .Y (n_3570));
-  sky130_fd_sc_hd__o2bb2ai_1 g440917(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2017), .Y (n_3569));
-  sky130_fd_sc_hd__o2bb2ai_1 g440918(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2035), .Y (n_3568));
-  sky130_fd_sc_hd__o2bb2ai_1 g440919(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2071), .Y (n_3567));
-  sky130_fd_sc_hd__o2bb2ai_1 g440920(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2070), .Y (n_3566));
-  sky130_fd_sc_hd__o2bb2ai_1 g440921(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2095), .Y (n_3565));
-  sky130_fd_sc_hd__o2bb2ai_1 g440922(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]), .A2_N
-       (n_2508), .B1 (n_2238), .B2 (n_2091), .Y (n_3564));
-  sky130_fd_sc_hd__a22o_1 g440923(.A1 (n_2462), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]), .B1
-       (n_2129), .B2 (n_2235), .X (n_3563));
-  sky130_fd_sc_hd__a22o_1 g440924(.A1 (n_2462), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]), .B1
-       (n_2036), .B2 (n_2235), .X (n_3562));
-  sky130_fd_sc_hd__o2bb2ai_1 g440925(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]), .A2_N
-       (n_2462), .B1 (n_2234), .B2 (n_2017), .Y (n_3561));
-  sky130_fd_sc_hd__a22o_1 g440926(.A1 (n_2462), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]), .B1
-       (n_2034), .B2 (n_2235), .X (n_3560));
-  sky130_fd_sc_hd__o2bb2ai_1 g440927(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]), .A2_N
-       (n_2462), .B1 (n_2234), .B2 (n_2071), .Y (n_3559));
-  sky130_fd_sc_hd__o2bb2ai_1 g440928(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]), .A2_N
-       (n_2462), .B1 (n_2234), .B2 (n_2070), .Y (n_3558));
-  sky130_fd_sc_hd__a22o_1 g440929(.A1 (n_2462), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]), .B1
-       (n_2094), .B2 (n_2235), .X (n_3557));
-  sky130_fd_sc_hd__a22o_1 g440930(.A1 (n_2462), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]), .B1
-       (n_2090), .B2 (n_2235), .X (n_3556));
-  sky130_fd_sc_hd__o2bb2ai_1 g440931(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2130), .Y (n_3555));
-  sky130_fd_sc_hd__o2bb2ai_1 g440932(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2037), .Y (n_3554));
-  sky130_fd_sc_hd__o2bb2ai_1 g440933(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2035), .Y (n_3553));
-  sky130_fd_sc_hd__o2bb2ai_1 g440934(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2071), .Y (n_3552));
-  sky130_fd_sc_hd__o2bb2ai_1 g440935(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2070), .Y (n_3551));
-  sky130_fd_sc_hd__o2bb2ai_1 g440936(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]), .A2_N
-       (n_2489), .B1 (n_2004), .B2 (n_2095), .Y (n_3550));
-  sky130_fd_sc_hd__o2bb2ai_1 g440937(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]), .A2_N
-       (n_2753), .B1 (n_2112), .B2 (n_2226), .Y (n_3549));
-  sky130_fd_sc_hd__o2bb2ai_1 g440938(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]), .A2_N
-       (n_2753), .B1 (n_2108), .B2 (n_2226), .Y (n_3548));
-  sky130_fd_sc_hd__o2bb2ai_1 g440939(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]), .A2_N
-       (n_2753), .B1 (n_2107), .B2 (n_2226), .Y (n_3547));
-  sky130_fd_sc_hd__o2bb2ai_1 g440940(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]), .A2_N
-       (n_2753), .B1 (n_2102), .B2 (n_2226), .Y (n_3546));
-  sky130_fd_sc_hd__o2bb2ai_1 g440941(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]), .A2_N
-       (n_2753), .B1 (n_2016), .B2 (n_2226), .Y (n_3545));
-  sky130_fd_sc_hd__o2bb2ai_1 g440942(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]), .A2_N
-       (n_2753), .B1 (n_2081), .B2 (n_2226), .Y (n_3544));
-  sky130_fd_sc_hd__o2bb2ai_1 g440943(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]), .A2_N
-       (n_2753), .B1 (n_2134), .B2 (n_2226), .Y (n_3543));
-  sky130_fd_sc_hd__o2bb2ai_1 g440944(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]), .A2_N
-       (n_2507), .B1 (n_2106), .B2 (n_2238), .Y (n_3542));
-  sky130_fd_sc_hd__o2bb2ai_1 g440945(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]), .A2_N
-       (n_2507), .B1 (n_2108), .B2 (n_2238), .Y (n_3541));
-  sky130_fd_sc_hd__o2bb2ai_1 g440946(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]), .A2_N
-       (n_2507), .B1 (n_2107), .B2 (n_2238), .Y (n_3540));
-  sky130_fd_sc_hd__o2bb2ai_1 g440947(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]), .A2_N
-       (n_2507), .B1 (n_2102), .B2 (n_2238), .Y (n_3539));
-  sky130_fd_sc_hd__o2bb2ai_1 g440948(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]), .A2_N
-       (n_2507), .B1 (n_2016), .B2 (n_2238), .Y (n_3538));
-  sky130_fd_sc_hd__o2bb2ai_1 g440949(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]), .A2_N
-       (n_2507), .B1 (n_2134), .B2 (n_2238), .Y (n_3537));
-  sky130_fd_sc_hd__a22o_1 g440950(.A1 (n_2461), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]), .B1
-       (n_2235), .B2 (n_2111), .X (n_3536));
-  sky130_fd_sc_hd__o2bb2ai_1 g440951(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]), .A2_N
-       (n_2461), .B1 (n_2108), .B2 (n_2234), .Y (n_3535));
-  sky130_fd_sc_hd__o2bb2ai_1 g440952(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]), .A2_N
-       (n_2461), .B1 (n_2107), .B2 (n_2234), .Y (n_3534));
-  sky130_fd_sc_hd__a22o_1 g440953(.A1 (n_2461), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]), .B1
-       (n_2235), .B2 (n_2101), .X (n_3533));
-  sky130_fd_sc_hd__a22o_1 g440954(.A1 (n_2461), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]), .B1
-       (n_2235), .B2 (n_2080), .X (n_3532));
-  sky130_fd_sc_hd__a22o_1 g440955(.A1 (n_2461), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]), .B1
-       (n_2235), .B2 (n_2133), .X (n_3531));
-  sky130_fd_sc_hd__o2bb2ai_1 g440956(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]), .A2_N
-       (n_2490), .B1 (n_2106), .B2 (n_2004), .Y (n_3530));
-  sky130_fd_sc_hd__o2bb2ai_1 g440957(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]), .A2_N
-       (n_2490), .B1 (n_2107), .B2 (n_2004), .Y (n_3529));
-  sky130_fd_sc_hd__o2bb2ai_1 g440958(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]), .A2_N
-       (n_2490), .B1 (n_2102), .B2 (n_2004), .Y (n_3528));
-  sky130_fd_sc_hd__o2bb2ai_1 g440959(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]), .A2_N
-       (n_2490), .B1 (n_2081), .B2 (n_2004), .Y (n_3527));
-  sky130_fd_sc_hd__o2bb2ai_1 g440960(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2037), .Y (n_3526));
-  sky130_fd_sc_hd__o2bb2ai_1 g440961(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2017), .Y (n_3525));
-  sky130_fd_sc_hd__o2bb2ai_1 g440962(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2035), .Y (n_3524));
-  sky130_fd_sc_hd__o2bb2ai_1 g440963(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2071), .Y (n_3523));
-  sky130_fd_sc_hd__o2bb2ai_1 g440964(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2070), .Y (n_3522));
-  sky130_fd_sc_hd__o2bb2ai_1 g440965(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2095), .Y (n_3521));
-  sky130_fd_sc_hd__o2bb2ai_1 g440966(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]), .A2_N
-       (n_2833), .B1 (n_2237), .B2 (n_2091), .Y (n_3520));
-  sky130_fd_sc_hd__o2bb2ai_1 g440967(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2130), .Y (n_3519));
-  sky130_fd_sc_hd__o2bb2ai_1 g440968(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2017), .Y (n_3518));
-  sky130_fd_sc_hd__o2bb2ai_1 g440969(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2035), .Y (n_3517));
-  sky130_fd_sc_hd__o2bb2ai_1 g440970(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2071), .Y (n_3516));
-  sky130_fd_sc_hd__o2bb2ai_1 g440971(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2070), .Y (n_3515));
-  sky130_fd_sc_hd__o2bb2ai_1 g440972(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2095), .Y (n_3514));
-  sky130_fd_sc_hd__o2bb2ai_1 g440973(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]), .A2_N
-       (n_2505), .B1 (n_2007), .B2 (n_2091), .Y (n_3513));
-  sky130_fd_sc_hd__a22o_1 g440974(.A1 (n_2759), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]), .B1
-       (n_2036), .B2 (n_2240), .X (n_3512));
-  sky130_fd_sc_hd__o2bb2ai_1 g440975(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]), .A2_N
-       (n_2759), .B1 (n_2239), .B2 (n_2017), .Y (n_3511));
-  sky130_fd_sc_hd__a22o_1 g440976(.A1 (n_2759), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]), .B1
-       (n_2034), .B2 (n_2240), .X (n_3510));
-  sky130_fd_sc_hd__o2bb2ai_1 g440977(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]), .A2_N
-       (n_2759), .B1 (n_2239), .B2 (n_2071), .Y (n_3509));
-  sky130_fd_sc_hd__o2bb2ai_1 g440978(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]), .A2_N
-       (n_2759), .B1 (n_2239), .B2 (n_2070), .Y (n_3508));
-  sky130_fd_sc_hd__a22o_1 g440979(.A1 (n_2759), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]), .B1
-       (n_2090), .B2 (n_2240), .X (n_3507));
-  sky130_fd_sc_hd__o2bb2ai_1 g440980(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2130), .Y (n_3506));
-  sky130_fd_sc_hd__o2bb2ai_1 g440981(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2037), .Y (n_3505));
-  sky130_fd_sc_hd__o2bb2ai_1 g440982(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2035), .Y (n_3504));
-  sky130_fd_sc_hd__o2bb2ai_1 g440983(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2070), .Y (n_3503));
-  sky130_fd_sc_hd__o2bb2ai_1 g440984(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]), .A2_N
-       (n_2491), .B1 (n_2006), .B2 (n_2091), .Y (n_3502));
-  sky130_fd_sc_hd__o2bb2ai_1 g440985(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]), .A2_N
-       (n_2830), .B1 (n_2112), .B2 (n_2237), .Y (n_3501));
-  sky130_fd_sc_hd__o2bb2ai_1 g440986(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]), .A2_N
-       (n_2830), .B1 (n_2108), .B2 (n_2237), .Y (n_3500));
-  sky130_fd_sc_hd__o2bb2ai_1 g440987(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]), .A2_N
-       (n_2830), .B1 (n_2107), .B2 (n_2237), .Y (n_3499));
-  sky130_fd_sc_hd__o2bb2ai_1 g440988(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]), .A2_N
-       (n_2830), .B1 (n_2102), .B2 (n_2237), .Y (n_3498));
-  sky130_fd_sc_hd__o2bb2ai_1 g440989(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]), .A2_N
-       (n_2830), .B1 (n_2016), .B2 (n_2237), .Y (n_3497));
-  sky130_fd_sc_hd__o2bb2ai_1 g440990(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]), .A2_N
-       (n_2830), .B1 (n_2081), .B2 (n_2237), .Y (n_3496));
-  sky130_fd_sc_hd__o2bb2ai_1 g440991(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]), .A2_N
-       (n_2830), .B1 (n_2134), .B2 (n_2237), .Y (n_3495));
-  sky130_fd_sc_hd__a22o_1 g440992(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3494));
-  sky130_fd_sc_hd__a22o_1 g440993(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3493));
-  sky130_fd_sc_hd__a22o_1 g440994(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3492));
-  sky130_fd_sc_hd__a22o_1 g440995(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3491));
-  sky130_fd_sc_hd__a22o_1 g440996(.A1 (n_2504), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]), .B1
-       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3490));
-  sky130_fd_sc_hd__a22o_1 g440997(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3489));
-  sky130_fd_sc_hd__a22o_1 g440998(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3488));
-  sky130_fd_sc_hd__a22o_1 g440999(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3487));
-  sky130_fd_sc_hd__a22o_1 g441000(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3486));
-  sky130_fd_sc_hd__a22o_1 g441001(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3485));
-  sky130_fd_sc_hd__a22o_1 g441002(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3484));
-  sky130_fd_sc_hd__a22o_1 g441003(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3483));
-  sky130_fd_sc_hd__a22o_1 g441004(.A1 (n_2763), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]), .B1
-       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3482));
-  sky130_fd_sc_hd__a22o_1 g441005(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3481));
-  sky130_fd_sc_hd__a22o_1 g441006(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3480));
-  sky130_fd_sc_hd__a22o_1 g441007(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3479));
-  sky130_fd_sc_hd__a22o_1 g441008(.A1 (n_2492), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]), .B1
-       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3478));
-  sky130_fd_sc_hd__o2bb2ai_1 g441009(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2089), .Y (n_3477));
-  sky130_fd_sc_hd__o2bb2ai_1 g441010(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]), .A2_N
-       (n_2842), .B1 (n_2018), .B2 (n_2229), .Y (n_3476));
-  sky130_fd_sc_hd__o2bb2ai_1 g441011(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2073), .Y (n_3475));
-  sky130_fd_sc_hd__o2bb2ai_1 g441012(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2120), .Y (n_3474));
-  sky130_fd_sc_hd__o2bb2ai_1 g441013(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2124), .Y (n_3473));
-  sky130_fd_sc_hd__o2bb2ai_1 g441014(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2075), .Y (n_3472));
-  sky130_fd_sc_hd__o2bb2ai_1 g441015(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]), .A2_N
-       (n_2784), .B1 (n_2226), .B2 (n_2132), .Y (n_3471));
-  sky130_fd_sc_hd__a22o_1 g441016(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3470));
-  sky130_fd_sc_hd__a22o_1 g441017(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]), .B1
-       (n_2074), .B2 (n_2256), .X (n_3469));
-  sky130_fd_sc_hd__a22o_1 g441018(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3468));
-  sky130_fd_sc_hd__a22o_1 g441019(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3467));
-  sky130_fd_sc_hd__o2bb2ai_1 g441020(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]), .A2_N
-       (n_2789), .B1 (n_2222), .B2 (n_2122), .Y (n_3466));
-  sky130_fd_sc_hd__a22o_1 g441021(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3465));
-  sky130_fd_sc_hd__a22o_1 g441022(.A1 (n_2778), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]), .B1
-       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3464));
-  sky130_fd_sc_hd__a22o_1 g441023(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3463));
-  sky130_fd_sc_hd__a22o_1 g441024(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3462));
-  sky130_fd_sc_hd__a22o_1 g441025(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3461));
-  sky130_fd_sc_hd__a22o_1 g441026(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3460));
-  sky130_fd_sc_hd__a22o_1 g441027(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3459));
-  sky130_fd_sc_hd__a22o_1 g441028(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3458));
-  sky130_fd_sc_hd__a22o_1 g441029(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3457));
-  sky130_fd_sc_hd__a22o_1 g441030(.A1 (n_2781), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]), .B1
-       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3456));
-  sky130_fd_sc_hd__a22o_1 g441031(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3455));
-  sky130_fd_sc_hd__a22o_1 g441032(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3454));
-  sky130_fd_sc_hd__a22o_1 g441033(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3453));
-  sky130_fd_sc_hd__a22o_1 g441034(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3452));
-  sky130_fd_sc_hd__a22o_1 g441035(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3451));
-  sky130_fd_sc_hd__a22o_1 g441036(.A1 (n_2799), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]), .B1
-       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3450));
-  sky130_fd_sc_hd__o2bb2ai_1 g441037(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2059), .Y (n_3449));
-  sky130_fd_sc_hd__o2bb2ai_1 g441038(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2057), .Y (n_3448));
-  sky130_fd_sc_hd__o2bb2ai_1 g441039(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2054), .Y (n_3447));
-  sky130_fd_sc_hd__o2bb2ai_1 g441040(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2061), .Y (n_3446));
-  sky130_fd_sc_hd__o2bb2ai_1 g441041(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2037), .Y (n_3445));
-  sky130_fd_sc_hd__o2bb2ai_1 g441042(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2066), .Y (n_3444));
-  sky130_fd_sc_hd__o2bb2ai_1 g441043(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2063), .Y (n_3443));
-  sky130_fd_sc_hd__o2bb2ai_1 g441044(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2069), .Y (n_3442));
-  sky130_fd_sc_hd__o2bb2ai_1 g441045(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]), .A2_N
-       (n_2754), .B1 (n_2226), .B2 (n_2065), .Y (n_3441));
-  sky130_fd_sc_hd__a22o_1 g441046(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3440));
-  sky130_fd_sc_hd__o2bb2ai_1 g441047(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2085), .Y (n_3439));
-  sky130_fd_sc_hd__a22o_1 g441048(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3438));
-  sky130_fd_sc_hd__o2bb2ai_1 g441049(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]), .A2_N
-       (n_2463), .B1 (n_2224), .B2 (n_2061), .Y (n_3437));
-  sky130_fd_sc_hd__a22o_1 g441050(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3436));
-  sky130_fd_sc_hd__a22o_1 g441051(.A1 (n_2685), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]), .B1
-       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3435));
-  sky130_fd_sc_hd__a22o_1 g441052(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3434));
-  sky130_fd_sc_hd__a22o_1 g441053(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3433));
-  sky130_fd_sc_hd__a22o_1 g441054(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3432));
-  sky130_fd_sc_hd__a22o_1 g441055(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3431));
-  sky130_fd_sc_hd__a22o_1 g441056(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3430));
-  sky130_fd_sc_hd__a22o_1 g441057(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3429));
-  sky130_fd_sc_hd__a22o_1 g441058(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3428));
-  sky130_fd_sc_hd__a22o_1 g441059(.A1 (n_2687), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]), .B1
-       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3427));
-  sky130_fd_sc_hd__a22o_1 g441060(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3426));
-  sky130_fd_sc_hd__a22o_1 g441061(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3425));
-  sky130_fd_sc_hd__a22o_1 g441062(.A1 (n_2716), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]), .B1
-       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3424));
-  sky130_fd_sc_hd__a22o_1 g441063(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3423));
-  sky130_fd_sc_hd__a22o_1 g441064(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3422));
-  sky130_fd_sc_hd__a22o_1 g441065(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3421));
-  sky130_fd_sc_hd__a22o_1 g441066(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3420));
-  sky130_fd_sc_hd__a22o_1 g441067(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3419));
-  sky130_fd_sc_hd__a22o_1 g441068(.A1 (n_2829), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]), .B1
-       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3418));
-  sky130_fd_sc_hd__a22o_1 g441069(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3417));
-  sky130_fd_sc_hd__a22o_1 g441070(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3416));
-  sky130_fd_sc_hd__a22o_1 g441071(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3415));
-  sky130_fd_sc_hd__a22o_1 g441072(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3414));
-  sky130_fd_sc_hd__a22o_1 g441073(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3413));
-  sky130_fd_sc_hd__a22o_1 g441074(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3412));
-  sky130_fd_sc_hd__a22o_1 g441075(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3411));
-  sky130_fd_sc_hd__a22o_1 g441076(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3410));
-  sky130_fd_sc_hd__a22o_1 g441077(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3409));
-  sky130_fd_sc_hd__o2bb2ai_1 g441078(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]), .A2_N
-       (n_2862), .B1 (n_2230), .B2 (n_2070), .Y (n_3408));
-  sky130_fd_sc_hd__a22o_1 g441079(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3407));
-  sky130_fd_sc_hd__a22o_1 g441080(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3406));
-  sky130_fd_sc_hd__a22o_1 g441081(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3405));
-  sky130_fd_sc_hd__a22o_1 g441082(.A1 (n_2783), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]), .B1
-       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3404));
-  sky130_fd_sc_hd__a22o_1 g441083(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3403));
-  sky130_fd_sc_hd__a22o_1 g441084(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3402));
-  sky130_fd_sc_hd__a22o_1 g441085(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3401));
-  sky130_fd_sc_hd__a22o_1 g441086(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3400));
-  sky130_fd_sc_hd__a22o_1 g441087(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3399));
-  sky130_fd_sc_hd__a22o_1 g441088(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3398));
-  sky130_fd_sc_hd__a22o_1 g441089(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3397));
-  sky130_fd_sc_hd__a22o_1 g441090(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3396));
-  sky130_fd_sc_hd__a22o_1 g441091(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3395));
-  sky130_fd_sc_hd__a22o_1 g441092(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3394));
-  sky130_fd_sc_hd__a22o_1 g441093(.A1 (n_2831), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]), .B1
-       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3393));
-  sky130_fd_sc_hd__a22o_1 g441094(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3392));
-  sky130_fd_sc_hd__a22o_1 g441095(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3391));
-  sky130_fd_sc_hd__a22o_1 g441096(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3390));
-  sky130_fd_sc_hd__a22o_1 g441097(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3389));
-  sky130_fd_sc_hd__a22o_1 g441098(.A1 (n_2742), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]), .B1
-       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3388));
-  sky130_fd_sc_hd__a22o_1 g441099(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3387));
-  sky130_fd_sc_hd__a22o_1 g441100(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3386));
-  sky130_fd_sc_hd__a22o_1 g441101(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3385));
-  sky130_fd_sc_hd__a22o_1 g441102(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3384));
-  sky130_fd_sc_hd__a22o_1 g441103(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3383));
-  sky130_fd_sc_hd__a22o_1 g441104(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3382));
-  sky130_fd_sc_hd__o2bb2ai_1 g441105(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]), .A2_N
-       (n_2695), .B1 (n_2222), .B2 (n_2099), .Y (n_3381));
-  sky130_fd_sc_hd__a22o_1 g441106(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3380));
-  sky130_fd_sc_hd__o2bb2ai_1 g441107(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]), .A2_N
-       (n_2454), .B1 (n_1998), .B2 (n_2057), .Y (n_3379));
-  sky130_fd_sc_hd__a22o_1 g441108(.A1 (n_2762), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]), .B1
-       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3378));
-  sky130_fd_sc_hd__a22o_1 g441109(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3377));
-  sky130_fd_sc_hd__a22o_1 g441110(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3376));
-  sky130_fd_sc_hd__a22o_1 g441111(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3375));
-  sky130_fd_sc_hd__a22o_1 g441112(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3374));
-  sky130_fd_sc_hd__o2bb2ai_1 g441113(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2066), .Y (n_3373));
-  sky130_fd_sc_hd__a22o_1 g441114(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3372));
-  sky130_fd_sc_hd__a22o_1 g441115(.A1 (n_2684), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]), .B1
-       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3371));
-  sky130_fd_sc_hd__a22o_1 g441116(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3370));
-  sky130_fd_sc_hd__a22o_1 g441117(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3369));
-  sky130_fd_sc_hd__a22o_1 g441118(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3368));
-  sky130_fd_sc_hd__a22o_1 g441119(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3367));
-  sky130_fd_sc_hd__a22o_1 g441120(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3366));
-  sky130_fd_sc_hd__a22o_1 g441121(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3365));
-  sky130_fd_sc_hd__a22o_1 g441122(.A1 (n_2439), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]), .B1
-       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3364));
-  sky130_fd_sc_hd__a22o_1 g441123(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3363));
-  sky130_fd_sc_hd__a22o_1 g441124(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3362));
-  sky130_fd_sc_hd__a22o_1 g441125(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3361));
-  sky130_fd_sc_hd__a22o_1 g441126(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3360));
-  sky130_fd_sc_hd__a22o_1 g441127(.A1 (n_2496), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]), .B1
-       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3359));
-  sky130_fd_sc_hd__a22o_1 g441128(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3358));
-  sky130_fd_sc_hd__a22o_1 g441129(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3357));
-  sky130_fd_sc_hd__a22o_1 g441130(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3356));
-  sky130_fd_sc_hd__a22o_1 g441131(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3355));
-  sky130_fd_sc_hd__a22o_1 g441132(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3354));
-  sky130_fd_sc_hd__a22o_1 g441133(.A1 (n_2488), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]), .B1
-       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3353));
-  sky130_fd_sc_hd__a22o_1 g441134(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3352));
-  sky130_fd_sc_hd__a22o_1 g441135(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3351));
-  sky130_fd_sc_hd__a22o_1 g441136(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3350));
-  sky130_fd_sc_hd__a22o_1 g441137(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3349));
-  sky130_fd_sc_hd__a22o_1 g441138(.A1 (n_2468), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]), .B1
-       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3348));
-  sky130_fd_sc_hd__o2bb2ai_1 g441139(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]), .A2_N
-       (n_2440), .B1 (n_2112), .B2 (n_2233), .Y (n_3347));
-  sky130_fd_sc_hd__o2bb2ai_1 g441140(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]), .A2_N
-       (n_2440), .B1 (n_2107), .B2 (n_2233), .Y (n_3346));
-  sky130_fd_sc_hd__o2bb2ai_1 g441141(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]), .A2_N
-       (n_2440), .B1 (n_2016), .B2 (n_2233), .Y (n_3345));
-  sky130_fd_sc_hd__o2bb2ai_1 g441142(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]), .A2_N
-       (n_2440), .B1 (n_2134), .B2 (n_2233), .Y (n_3344));
-  sky130_fd_sc_hd__o2bb2ai_1 g441143(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2106), .Y (n_3343));
-  sky130_fd_sc_hd__o2bb2ai_1 g441144(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2112), .Y (n_3342));
-  sky130_fd_sc_hd__o2bb2ai_1 g441145(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2108), .Y (n_3341));
-  sky130_fd_sc_hd__o2bb2ai_1 g441146(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2107), .Y (n_3340));
-  sky130_fd_sc_hd__o2bb2ai_1 g441147(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2102), .Y (n_3339));
-  sky130_fd_sc_hd__o2bb2ai_1 g441148(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2016), .Y (n_3338));
-  sky130_fd_sc_hd__o2bb2ai_1 g441149(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2081), .Y (n_3337));
-  sky130_fd_sc_hd__o2bb2ai_1 g441150(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]), .A2_N
-       (n_2495), .B1 (n_2012), .B2 (n_2134), .Y (n_3336));
-  sky130_fd_sc_hd__a22o_1 g441151(.A1 (n_2487), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]), .B1
-       (n_2105), .B2 (n_2250), .X (n_3335));
-  sky130_fd_sc_hd__a22o_1 g441152(.A1 (n_2487), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]), .B1
-       (n_2111), .B2 (n_2250), .X (n_3334));
-  sky130_fd_sc_hd__o2bb2ai_1 g441153(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]), .A2_N
-       (n_2487), .B1 (n_2108), .B2 (n_2249), .Y (n_3333));
-  sky130_fd_sc_hd__o2bb2ai_1 g441154(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]), .A2_N
-       (n_2487), .B1 (n_2107), .B2 (n_2249), .Y (n_3332));
-  sky130_fd_sc_hd__a22o_1 g441155(.A1 (n_2487), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]), .B1
-       (n_2101), .B2 (n_2250), .X (n_3331));
-  sky130_fd_sc_hd__a22o_1 g441156(.A1 (n_2487), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]), .B1
-       (n_2015), .B2 (n_2250), .X (n_3330));
-  sky130_fd_sc_hd__a22o_1 g441157(.A1 (n_2487), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]), .B1
-       (n_2080), .B2 (n_2250), .X (n_3329));
-  sky130_fd_sc_hd__a22o_1 g441158(.A1 (n_2487), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]), .B1
-       (n_2133), .B2 (n_2250), .X (n_3328));
-  sky130_fd_sc_hd__o2bb2ai_1 g441159(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]), .A2_N
-       (n_2467), .B1 (n_2011), .B2 (n_2106), .Y (n_3327));
-  sky130_fd_sc_hd__o2bb2ai_1 g441160(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]), .A2_N
-       (n_2467), .B1 (n_2011), .B2 (n_2108), .Y (n_3326));
-  sky130_fd_sc_hd__o2bb2ai_1 g441161(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]), .A2_N
-       (n_2467), .B1 (n_2011), .B2 (n_2107), .Y (n_3325));
-  sky130_fd_sc_hd__o2bb2ai_1 g441162(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]), .A2_N
-       (n_2467), .B1 (n_2011), .B2 (n_2102), .Y (n_3324));
-  sky130_fd_sc_hd__o2bb2ai_1 g441163(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]), .A2_N
-       (n_2467), .B1 (n_2011), .B2 (n_2081), .Y (n_3323));
-  sky130_fd_sc_hd__a22o_1 g441164(.A1 (n_2727), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]), .B1
-       (n_2129), .B2 (n_2252), .X (n_3322));
-  sky130_fd_sc_hd__o2bb2ai_1 g441165(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]), .A2_N
-       (n_2727), .B1 (n_2251), .B2 (n_2017), .Y (n_3321));
-  sky130_fd_sc_hd__o2bb2ai_1 g441166(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]), .A2_N
-       (n_2727), .B1 (n_2251), .B2 (n_2071), .Y (n_3320));
-  sky130_fd_sc_hd__a22o_1 g441167(.A1 (n_2727), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]), .B1
-       (n_2094), .B2 (n_2252), .X (n_3319));
-  sky130_fd_sc_hd__a22o_1 g441168(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3318));
-  sky130_fd_sc_hd__a22o_1 g441169(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3317));
-  sky130_fd_sc_hd__a22o_1 g441170(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3316));
-  sky130_fd_sc_hd__a22o_1 g441171(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3315));
-  sky130_fd_sc_hd__a22o_1 g441172(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3314));
-  sky130_fd_sc_hd__a22o_1 g441173(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3313));
-  sky130_fd_sc_hd__a22o_1 g441174(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3312));
-  sky130_fd_sc_hd__a22o_1 g441175(.A1 (n_2499), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]), .B1
-       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3311));
-  sky130_fd_sc_hd__a22o_1 g441176(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3310));
-  sky130_fd_sc_hd__a22o_1 g441177(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3309));
-  sky130_fd_sc_hd__a22o_1 g441178(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3308));
-  sky130_fd_sc_hd__a22o_1 g441179(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3307));
-  sky130_fd_sc_hd__a22o_1 g441180(.A1 (n_2452), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]), .B1
-       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3306));
-  sky130_fd_sc_hd__a22o_1 g441181(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3305));
-  sky130_fd_sc_hd__a22o_1 g441182(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3304));
-  sky130_fd_sc_hd__a22o_1 g441183(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3303));
-  sky130_fd_sc_hd__a22o_1 g441184(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3302));
-  sky130_fd_sc_hd__a22o_1 g441185(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3301));
-  sky130_fd_sc_hd__a22o_1 g441186(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3300));
-  sky130_fd_sc_hd__a22o_1 g441187(.A1 (n_2478), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]), .B1
-       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3299));
-  sky130_fd_sc_hd__a22o_1 g441188(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3298));
-  sky130_fd_sc_hd__a22o_1 g441189(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3297));
-  sky130_fd_sc_hd__a22o_1 g441190(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3296));
-  sky130_fd_sc_hd__a22o_1 g441191(.A1 (n_2728), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]), .B1
-       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3295));
-  sky130_fd_sc_hd__a22o_1 g441192(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3294));
-  sky130_fd_sc_hd__a22o_1 g441193(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3293));
-  sky130_fd_sc_hd__a22o_1 g441194(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3292));
-  sky130_fd_sc_hd__a22o_1 g441195(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3291));
-  sky130_fd_sc_hd__a22o_1 g441196(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3290));
-  sky130_fd_sc_hd__a22o_1 g441197(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3289));
-  sky130_fd_sc_hd__a22o_1 g441198(.A1 (n_2498), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]), .B1
-       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3288));
-  sky130_fd_sc_hd__a22o_1 g441199(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3287));
-  sky130_fd_sc_hd__a22o_1 g441200(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3286));
-  sky130_fd_sc_hd__a22o_1 g441201(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3285));
-  sky130_fd_sc_hd__a22o_1 g441202(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3284));
-  sky130_fd_sc_hd__a22o_1 g441203(.A1 (n_2455), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]), .B1
-       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3283));
-  sky130_fd_sc_hd__a22o_1 g441204(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3282));
-  sky130_fd_sc_hd__a22o_1 g441205(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3281));
-  sky130_fd_sc_hd__a22o_1 g441206(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3280));
-  sky130_fd_sc_hd__a22o_1 g441207(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3279));
-  sky130_fd_sc_hd__a22o_1 g441208(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3278));
-  sky130_fd_sc_hd__a22o_1 g441209(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3277));
-  sky130_fd_sc_hd__a22o_1 g441210(.A1 (n_2475), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]), .B1
-       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3276));
-  sky130_fd_sc_hd__o2bb2ai_1 g441211(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2073), .Y (n_3275));
-  sky130_fd_sc_hd__o2bb2ai_1 g441212(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2093), .Y (n_3274));
-  sky130_fd_sc_hd__o2bb2ai_1 g441213(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]), .A2_N
-       (n_2731), .B1 (n_2251), .B2 (n_2069), .Y (n_3273));
-  sky130_fd_sc_hd__o2bb2ai_1 g441214(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2120), .Y (n_3272));
-  sky130_fd_sc_hd__o2bb2ai_1 g441215(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2075), .Y (n_3271));
-  sky130_fd_sc_hd__o2bb2ai_1 g441216(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]), .A2_N
-       (n_2774), .B1 (n_2233), .B2 (n_2132), .Y (n_3270));
-  sky130_fd_sc_hd__o2bb2ai_1 g441217(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2087), .Y (n_3269));
-  sky130_fd_sc_hd__o2bb2ai_1 g441218(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2073), .Y (n_3268));
-  sky130_fd_sc_hd__o2bb2ai_1 g441219(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2120), .Y (n_3267));
-  sky130_fd_sc_hd__o2bb2ai_1 g441220(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2075), .Y (n_3266));
-  sky130_fd_sc_hd__a22o_1 g441221(.A1 (n_2776), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]), .B1
-       (n_2086), .B2 (n_2250), .X (n_3265));
-  sky130_fd_sc_hd__a22o_1 g441222(.A1 (n_2776), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]), .B1
-       (n_2088), .B2 (n_2250), .X (n_3264));
-  sky130_fd_sc_hd__a22o_1 g441223(.A1 (n_2776), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]), .B1
-       (n_2072), .B2 (n_2250), .X (n_3263));
-  sky130_fd_sc_hd__o2bb2ai_1 g441224(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]), .A2_N
-       (n_2776), .B1 (n_2249), .B2 (n_2093), .Y (n_3262));
-  sky130_fd_sc_hd__o2bb2ai_1 g441225(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]), .A2_N
-       (n_2776), .B1 (n_2249), .B2 (n_2120), .Y (n_3261));
-  sky130_fd_sc_hd__o2bb2ai_1 g441226(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]), .A2_N
-       (n_2776), .B1 (n_2249), .B2 (n_2124), .Y (n_3260));
-  sky130_fd_sc_hd__o2bb2ai_1 g441227(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]), .A2_N
-       (n_2776), .B1 (n_2249), .B2 (n_2075), .Y (n_3259));
-  sky130_fd_sc_hd__a22o_1 g441228(.A1 (n_2776), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]), .B1
-       (n_2131), .B2 (n_2250), .X (n_3258));
-  sky130_fd_sc_hd__o2bb2ai_1 g441229(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2087), .Y (n_3257));
-  sky130_fd_sc_hd__o2bb2ai_1 g441230(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2089), .Y (n_3256));
-  sky130_fd_sc_hd__o2bb2ai_1 g441231(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2093), .Y (n_3255));
-  sky130_fd_sc_hd__o2bb2ai_1 g441232(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2120), .Y (n_3254));
-  sky130_fd_sc_hd__o2bb2ai_1 g441233(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2124), .Y (n_3253));
-  sky130_fd_sc_hd__o2bb2ai_1 g441234(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2075), .Y (n_3252));
-  sky130_fd_sc_hd__o2bb2ai_1 g441235(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]), .A2_N
-       (n_2859), .B1 (n_2011), .B2 (n_2132), .Y (n_3251));
-  sky130_fd_sc_hd__o2bb2ai_1 g441236(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]), .A2_N
-       (n_2837), .B1 (n_2233), .B2 (n_2059), .Y (n_3250));
-  sky130_fd_sc_hd__a22o_1 g441237(.A1 (n_2464), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]), .B1
-       (n_2114), .B2 (n_2235), .X (n_3249));
-  sky130_fd_sc_hd__o2bb2ai_1 g441238(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]), .A2_N
-       (n_2837), .B1 (n_2233), .B2 (n_2057), .Y (n_3248));
-  sky130_fd_sc_hd__o2bb2ai_1 g441239(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]), .A2_N
-       (n_2837), .B1 (n_2233), .B2 (n_2061), .Y (n_3247));
-  sky130_fd_sc_hd__o2bb2ai_1 g441240(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]), .A2_N
-       (n_2837), .B1 (n_2233), .B2 (n_2063), .Y (n_3246));
-  sky130_fd_sc_hd__o2bb2ai_1 g441241(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]), .A2_N
-       (n_2837), .B1 (n_2233), .B2 (n_2069), .Y (n_3245));
-  sky130_fd_sc_hd__o2bb2ai_1 g441242(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]), .A2_N
-       (n_2837), .B1 (n_2233), .B2 (n_2065), .Y (n_3244));
-  sky130_fd_sc_hd__o2bb2ai_1 g441243(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2057), .Y (n_3243));
-  sky130_fd_sc_hd__o2bb2ai_1 g441244(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2054), .Y (n_3242));
-  sky130_fd_sc_hd__o2bb2ai_1 g441245(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2061), .Y (n_3241));
-  sky130_fd_sc_hd__o2bb2ai_1 g441246(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2063), .Y (n_3240));
-  sky130_fd_sc_hd__o2bb2ai_1 g441247(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2065), .Y (n_3239));
-  sky130_fd_sc_hd__o2bb2ai_1 g441248(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]), .A2_N
-       (n_2689), .B1 (n_2249), .B2 (n_2057), .Y (n_3238));
-  sky130_fd_sc_hd__a22o_1 g441249(.A1 (n_2689), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]), .B1
-       (n_2055), .B2 (n_2250), .X (n_3237));
-  sky130_fd_sc_hd__o2bb2ai_1 g441250(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]), .A2_N
-       (n_2689), .B1 (n_2249), .B2 (n_2061), .Y (n_3236));
-  sky130_fd_sc_hd__a22o_1 g441251(.A1 (n_2689), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]), .B1
-       (n_2067), .B2 (n_2250), .X (n_3235));
-  sky130_fd_sc_hd__o2bb2ai_1 g441252(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]), .A2_N
-       (n_2689), .B1 (n_2249), .B2 (n_2063), .Y (n_3234));
-  sky130_fd_sc_hd__o2bb2ai_1 g441253(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]), .A2_N
-       (n_2689), .B1 (n_2249), .B2 (n_2069), .Y (n_3233));
-  sky130_fd_sc_hd__o2bb2ai_1 g441254(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]), .A2_N
-       (n_2689), .B1 (n_2249), .B2 (n_2065), .Y (n_3232));
-  sky130_fd_sc_hd__o2bb2ai_1 g441255(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2059), .Y (n_3231));
-  sky130_fd_sc_hd__o2bb2ai_1 g441256(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2057), .Y (n_3230));
-  sky130_fd_sc_hd__o2bb2ai_1 g441257(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2054), .Y (n_3229));
-  sky130_fd_sc_hd__o2bb2ai_1 g441258(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2061), .Y (n_3228));
-  sky130_fd_sc_hd__o2bb2ai_1 g441259(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]), .A2_N
-       (n_2798), .B1 (n_2011), .B2 (n_2063), .Y (n_3227));
-  sky130_fd_sc_hd__a22o_1 g441260(.A1 (n_2779), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]), .B1
-       (n_2088), .B2 (n_2252), .X (n_3226));
-  sky130_fd_sc_hd__o2bb2ai_1 g441261(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]), .A2_N
-       (n_2737), .B1 (n_2004), .B2 (n_2083), .Y (n_3225));
-  sky130_fd_sc_hd__o2bb2ai_1 g441262(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]), .A2_N
-       (n_2779), .B1 (n_2251), .B2 (n_2093), .Y (n_3224));
-  sky130_fd_sc_hd__o2bb2ai_1 g441263(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]), .A2_N
-       (n_2779), .B1 (n_2251), .B2 (n_2120), .Y (n_3223));
-  sky130_fd_sc_hd__o2bb2ai_1 g441264(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]), .A2_N
-       (n_2779), .B1 (n_2251), .B2 (n_2124), .Y (n_3222));
-  sky130_fd_sc_hd__o2bb2ai_1 g441265(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]), .A2_N
-       (n_2779), .B1 (n_2251), .B2 (n_2075), .Y (n_3221));
-  sky130_fd_sc_hd__o2bb2ai_1 g441266(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2087), .Y (n_3220));
-  sky130_fd_sc_hd__o2bb2ai_1 g441267(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2089), .Y (n_3219));
-  sky130_fd_sc_hd__o2bb2ai_1 g441268(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2120), .Y (n_3218));
-  sky130_fd_sc_hd__o2bb2ai_1 g441269(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2075), .Y (n_3217));
-  sky130_fd_sc_hd__o2bb2ai_1 g441270(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]), .A2_N
-       (n_2775), .B1 (n_2137), .B2 (n_2132), .Y (n_3216));
-  sky130_fd_sc_hd__a22o_1 g441271(.A1 (n_2780), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]), .B1
-       (n_2086), .B2 (n_2248), .X (n_3215));
-  sky130_fd_sc_hd__a22o_1 g441272(.A1 (n_2780), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]), .B1
-       (n_2088), .B2 (n_2248), .X (n_3214));
-  sky130_fd_sc_hd__a22o_1 g441273(.A1 (n_2780), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]), .B1
-       (n_2072), .B2 (n_2248), .X (n_3213));
-  sky130_fd_sc_hd__o2bb2ai_1 g441274(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]), .A2_N
-       (n_2780), .B1 (n_2247), .B2 (n_2093), .Y (n_3212));
-  sky130_fd_sc_hd__o2bb2ai_1 g441275(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]), .A2_N
-       (n_2780), .B1 (n_2247), .B2 (n_2120), .Y (n_3211));
-  sky130_fd_sc_hd__o2bb2ai_1 g441276(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]), .A2_N
-       (n_2780), .B1 (n_2247), .B2 (n_2124), .Y (n_3210));
-  sky130_fd_sc_hd__o2bb2ai_1 g441277(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]), .A2_N
-       (n_2780), .B1 (n_2247), .B2 (n_2075), .Y (n_3209));
-  sky130_fd_sc_hd__a22o_1 g441278(.A1 (n_2780), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]), .B1
-       (n_2131), .B2 (n_2248), .X (n_3208));
-  sky130_fd_sc_hd__a22o_1 g441279(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]), .B1
-       (n_2086), .B2 (n_2256), .X (n_3207));
-  sky130_fd_sc_hd__a22o_1 g441280(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]), .B1
-       (n_2072), .B2 (n_2256), .X (n_3206));
-  sky130_fd_sc_hd__a22o_1 g441281(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]), .B1
-       (n_2092), .B2 (n_2256), .X (n_3205));
-  sky130_fd_sc_hd__o2bb2ai_1 g441282(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]), .A2_N
-       (n_2785), .B1 (n_1994), .B2 (n_2110), .Y (n_3204));
-  sky130_fd_sc_hd__a22o_1 g441283(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]), .B1
-       (n_2119), .B2 (n_2256), .X (n_3203));
-  sky130_fd_sc_hd__a22o_1 g441284(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]), .B1
-       (n_2131), .B2 (n_2256), .X (n_3202));
-  sky130_fd_sc_hd__a22o_1 g441285(.A1 (n_2731), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]), .B1
-       (n_2058), .B2 (n_2252), .X (n_3201));
-  sky130_fd_sc_hd__a22o_1 g441286(.A1 (n_2731), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]), .B1
-       (n_2055), .B2 (n_2252), .X (n_3200));
-  sky130_fd_sc_hd__o2bb2ai_1 g441287(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]), .A2_N
-       (n_2825), .B1 (n_2012), .B2 (n_2124), .Y (n_3199));
-  sky130_fd_sc_hd__o2bb2ai_1 g441288(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]), .A2_N
-       (n_2731), .B1 (n_2251), .B2 (n_2061), .Y (n_3198));
-  sky130_fd_sc_hd__a22o_1 g441289(.A1 (n_2731), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]), .B1
-       (n_2067), .B2 (n_2252), .X (n_3197));
-  sky130_fd_sc_hd__o2bb2ai_1 g441290(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]), .A2_N
-       (n_2731), .B1 (n_2251), .B2 (n_2063), .Y (n_3196));
-  sky130_fd_sc_hd__o2bb2ai_1 g441291(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]), .A2_N
-       (n_2731), .B1 (n_2251), .B2 (n_2065), .Y (n_3195));
-  sky130_fd_sc_hd__o2bb2ai_1 g441292(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2057), .Y (n_3194));
-  sky130_fd_sc_hd__o2bb2ai_1 g441293(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2054), .Y (n_3193));
-  sky130_fd_sc_hd__o2bb2ai_1 g441294(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2061), .Y (n_3192));
-  sky130_fd_sc_hd__o2bb2ai_1 g441295(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2063), .Y (n_3191));
-  sky130_fd_sc_hd__o2bb2ai_1 g441296(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2069), .Y (n_3190));
-  sky130_fd_sc_hd__o2bb2ai_1 g441297(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]), .A2_N
-       (n_2686), .B1 (n_2247), .B2 (n_2057), .Y (n_3189));
-  sky130_fd_sc_hd__a22o_1 g441298(.A1 (n_2686), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]), .B1
-       (n_2055), .B2 (n_2248), .X (n_3188));
-  sky130_fd_sc_hd__o2bb2ai_1 g441299(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]), .A2_N
-       (n_2686), .B1 (n_2247), .B2 (n_2061), .Y (n_3187));
-  sky130_fd_sc_hd__a22o_1 g441300(.A1 (n_2686), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]), .B1
-       (n_2067), .B2 (n_2248), .X (n_3186));
-  sky130_fd_sc_hd__o2bb2ai_1 g441301(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]), .A2_N
-       (n_2686), .B1 (n_2247), .B2 (n_2063), .Y (n_3185));
-  sky130_fd_sc_hd__o2bb2ai_1 g441302(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]), .A2_N
-       (n_2686), .B1 (n_2247), .B2 (n_2069), .Y (n_3184));
-  sky130_fd_sc_hd__o2bb2ai_1 g441303(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]), .A2_N
-       (n_2686), .B1 (n_2247), .B2 (n_2065), .Y (n_3183));
-  sky130_fd_sc_hd__a22o_1 g441304(.A1 (n_2777), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]), .B1
-       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3182));
-  sky130_fd_sc_hd__a22o_1 g441305(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]), .B1
-       (n_2058), .B2 (n_2256), .X (n_3181));
-  sky130_fd_sc_hd__a22o_1 g441306(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]), .B1
-       (n_2056), .B2 (n_2256), .X (n_3180));
-  sky130_fd_sc_hd__a22o_1 g441307(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]), .B1
-       (n_2055), .B2 (n_2256), .X (n_3179));
-  sky130_fd_sc_hd__a22o_1 g441308(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]), .B1
-       (n_2060), .B2 (n_2256), .X (n_3178));
-  sky130_fd_sc_hd__a22o_1 g441309(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]), .B1
-       (n_2067), .B2 (n_2256), .X (n_3177));
-  sky130_fd_sc_hd__a22o_1 g441310(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]), .B1
-       (n_2062), .B2 (n_2256), .X (n_3176));
-  sky130_fd_sc_hd__a22o_1 g441311(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]), .B1
-       (n_2068), .B2 (n_2256), .X (n_3175));
-  sky130_fd_sc_hd__a22o_1 g441312(.A1 (n_2688), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]), .B1
-       (n_2064), .B2 (n_2256), .X (n_3174));
-  sky130_fd_sc_hd__o2bb2ai_1 g441313(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]), .A2_N
-       (n_2690), .B1 (n_2137), .B2 (n_2059), .Y (n_3173));
-  sky130_fd_sc_hd__a22o_1 g441314(.A1 (n_2438), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]), .B1
-       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3172));
-  sky130_fd_sc_hd__a22o_1 g441315(.A1 (n_2465), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]), .B1
-       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3171));
-  sky130_fd_sc_hd__a22o_1 g441316(.A1 (n_2840), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]), .B1
-       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3170));
-  sky130_fd_sc_hd__a22o_1 g441317(.A1 (n_2782), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]), .B1
-       (n_2123), .B2 (n_2256), .X (n_3169));
-  sky130_fd_sc_hd__o2bb2ai_1 g441318(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]), .A2_N
-       (n_2443), .B1 (n_2030), .B2 (n_2006), .Y (n_3168));
-  sky130_fd_sc_hd__a22o_1 g441319(.A1 (n_2689), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]), .B1
-       (n_2058), .B2 (n_2250), .X (n_3167));
-  sky130_fd_sc_hd__o2bb2ai_1 g441320(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]), .A2_N
-       (n_2519), .B1 (n_2238), .B2 (n_2117), .Y (n_3166));
-  sky130_fd_sc_hd__a22o_1 g441321(.A1 (n_2743), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]), .B1
-       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3165));
-  sky130_fd_sc_hd__o2bb2ai_1 g441322(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]), .A2_N
-       (n_2511), .B1 (n_2219), .B2 (n_2066), .Y (n_3164));
-  sky130_fd_sc_hd__o2bb2ai_1 g441323(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2020), .Y (n_3163));
-  sky130_fd_sc_hd__o2bb2ai_1 g441324(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]), .A2_N
-       (n_2473), .B1 (n_2215), .B2 (n_2095), .Y (n_3162));
-  sky130_fd_sc_hd__o2bb2ai_1 g441325(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2030), .Y (n_3161));
-  sky130_fd_sc_hd__o2bb2ai_1 g441326(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]), .A2_N
-       (n_2482), .B1 (n_2238), .B2 (n_2032), .Y (n_3160));
-  sky130_fd_sc_hd__o2bb2ai_1 g441327(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]), .A2_N
-       (n_2510), .B1 (n_2216), .B2 (n_2059), .Y (n_3159));
-  sky130_fd_sc_hd__o2bb2ai_1 g441328(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]), .A2_N
-       (n_2734), .B1 (n_2012), .B2 (n_2059), .Y (n_3158));
-  sky130_fd_sc_hd__o2bb2ai_1 g441329(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]), .A2_N
-       (n_2696), .B1 (n_2223), .B2 (n_2087), .Y (n_3157));
-  sky130_fd_sc_hd__o2bb2ai_1 g441330(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2044), .Y (n_3156));
-  sky130_fd_sc_hd__a22o_1 g441331(.A1 (n_2760), .A2
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]), .B1
-       (n_2114), .B2 (n_2240), .X (n_3155));
-  sky130_fd_sc_hd__o2bb2ai_1 g441332(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2048), .Y (n_3154));
-  sky130_fd_sc_hd__o2bb2ai_1 g441333(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]), .A2_N
-       (n_2860), .B1 (n_2237), .B2 (n_2052), .Y (n_3153));
-  sky130_fd_sc_hd__o2bb2ai_1 g441334(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]), .A2_N
-       (n_2730), .B1 (n_1996), .B2 (n_2132), .Y (n_3152));
-  sky130_fd_sc_hd__o2bb2ai_1 g441335(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]), .A2_N
-       (n_2815), .B1 (n_2218), .B2 (n_2070), .Y (n_3151));
-  sky130_fd_sc_hd__o2bb2ai_1 g441336(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]), .A2_N
-       (n_2849), .B1 (n_2022), .B2 (n_2223), .Y (n_3150));
-  sky130_fd_sc_hd__o2bb2ai_1 g441337(.A1_N
-       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]), .A2_N
-       (n_2844), .B1 (n_2032), .B2 (n_2219), .Y (n_3149));
-  sky130_fd_sc_hd__inv_2 g441338(.A (n_3140), .Y (n_3139));
-  sky130_fd_sc_hd__inv_2 g441339(.A (n_3135), .Y (n_3134));
-  sky130_fd_sc_hd__inv_2 g441340(.A (n_3133), .Y (n_3132));
-  sky130_fd_sc_hd__inv_2 g441341(.A (n_3131), .Y (n_3130));
-  sky130_fd_sc_hd__inv_2 g441342(.A (n_3128), .Y (n_3127));
-  sky130_fd_sc_hd__inv_2 g441343(.A (n_3125), .Y (n_3126));
-  sky130_fd_sc_hd__inv_2 g441344(.A (n_3122), .Y (n_3121));
-  sky130_fd_sc_hd__inv_2 g441345(.A (n_3120), .Y (n_3119));
-  sky130_fd_sc_hd__inv_2 g441346(.A (n_3116), .Y (n_3115));
-  sky130_fd_sc_hd__inv_2 g441347(.A (n_3105), .Y (n_3104));
-  sky130_fd_sc_hd__inv_1 g441348(.A (n_3102), .Y (n_3103));
-  sky130_fd_sc_hd__clkinv_1 g441349(.A (n_3100), .Y (n_3099));
-  sky130_fd_sc_hd__inv_1 g441350(.A (n_3098), .Y (n_3097));
-  sky130_fd_sc_hd__inv_2 g441351(.A (n_3096), .Y (n_3095));
-  sky130_fd_sc_hd__inv_2 g441353(.A (n_15920), .Y (n_3090));
-  sky130_fd_sc_hd__clkinv_1 g441354(.A (n_3085), .Y (n_3084));
-  sky130_fd_sc_hd__inv_2 g441355(.A (n_3082), .Y (n_3081));
-  sky130_fd_sc_hd__inv_2 g441356(.A (n_3080), .Y (n_3079));
-  sky130_fd_sc_hd__a221oi_1 g441357(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[22]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[22]), .C1 (n_1950), .Y (n_3073));
-  sky130_fd_sc_hd__o21ai_1 g441358(.A1 (n_1078), .A2 (n_2288), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .Y (n_3072));
-  sky130_fd_sc_hd__a221oi_1 g441359(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[16]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[16]), .C1 (n_1974), .Y (n_3071));
-  sky130_fd_sc_hd__a211o_1 g441360(.A1 (n_15926), .A2 (io_out[37]), .B1
-       (n_626), .C1 (n_2158), .X (n_3070));
-  sky130_fd_sc_hd__nor2_1 g441361(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_2358), .Y
-       (n_3069));
-  sky130_fd_sc_hd__o31ai_1 g441362(.A1 (n_1078), .A2 (n_1833), .A3
-       (n_2158), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]), .Y (n_3068));
-  sky130_fd_sc_hd__o31ai_1 g441363(.A1 (n_1070), .A2 (n_1832), .A3
-       (n_2159), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
-       [11]), .Y (n_3067));
-  sky130_fd_sc_hd__nand4_1 g441364(.A (n_1270), .B (n_1733), .C
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .D
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_3066));
-  sky130_fd_sc_hd__o21ai_1 g441365(.A1 (n_1935), .A2 (n_2158), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_3065));
-  sky130_fd_sc_hd__o41ai_1 g441366(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .A2 (n_1047), .A3 (n_1461), .A4 (n_1266), .B1 (n_1933), .Y
-       (n_3064));
-  sky130_fd_sc_hd__a21o_1 g441367(.A1 (n_2152), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]), .B1 (n_2891), .X (n_3063));
-  sky130_fd_sc_hd__a221oi_1 g441368(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[29]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[29]), .C1 (n_1957), .Y (n_3062));
-  sky130_fd_sc_hd__and2_1 g441369(.A
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B (n_2583),
-       .X (n_3061));
-  sky130_fd_sc_hd__a221oi_1 g441370(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[28]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[28]), .C1 (n_1979), .Y (n_3060));
-  sky130_fd_sc_hd__a221oi_1 g441371(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[27]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[27]), .C1 (n_1956), .Y (n_3059));
-  sky130_fd_sc_hd__a221oi_1 g441372(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[26]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[26]), .C1 (n_1983), .Y (n_3058));
-  sky130_fd_sc_hd__nand2_1 g441373(.A (n_2545), .B (n_2621), .Y
-       (n_3057));
-  sky130_fd_sc_hd__a221oi_1 g441374(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[25]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[25]), .C1 (n_1955), .Y (n_3056));
-  sky130_fd_sc_hd__nor2_1 g441375(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [0]), .B (n_2669), .Y (n_3055));
-  sky130_fd_sc_hd__nor2b_1 g441376(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B_N (n_1990), .Y
-       (n_3054));
-  sky130_fd_sc_hd__a221oi_1 g441377(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[24]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[24]), .C1 (n_1954), .Y (n_3053));
-  sky130_fd_sc_hd__nand2_1 g441378(.A (n_2545), .B (n_2632), .Y
-       (n_3052));
-  sky130_fd_sc_hd__a221oi_1 g441379(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[23]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[23]), .C1 (n_1953), .Y (n_3051));
-  sky130_fd_sc_hd__a221oi_1 g441380(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[18]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[18]), .C1 (n_1947), .Y (n_3050));
-  sky130_fd_sc_hd__nand2_1 g441381(.A (n_2418), .B (n_1391), .Y
-       (n_3049));
-  sky130_fd_sc_hd__a221oi_1 g441382(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[19]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[19]), .C1 (n_1987), .Y (n_3048));
-  sky130_fd_sc_hd__nand2_1 g441383(.A (n_2545), .B (n_2618), .Y
-       (n_3047));
-  sky130_fd_sc_hd__nand2_1 g441384(.A (n_2545), .B (n_2626), .Y
-       (n_3046));
-  sky130_fd_sc_hd__o21ai_1 g441385(.A1 (n_1941), .A2 (n_2159), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
-       [11]), .Y (n_3045));
-  sky130_fd_sc_hd__nand2_1 g441386(.A (n_2418), .B (n_1366), .Y
-       (n_3044));
-  sky130_fd_sc_hd__nand2_1 g441387(.A (n_2893), .B (n_1068), .Y
-       (n_3043));
-  sky130_fd_sc_hd__a21oi_1 g441388(.A1 (n_1737), .A2 (n_1940), .B1
-       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Y (n_3042));
-  sky130_fd_sc_hd__nor2_1 g441390(.A (n_2303), .B (n_2540), .Y
-       (n_3041));
-  sky130_fd_sc_hd__nand2_1 g441391(.A (n_2542), .B (n_13310), .Y
-       (n_3148));
-  sky130_fd_sc_hd__nand2_1 g441392(.A (n_2893), .B (n_1255), .Y
-       (n_3147));
-  sky130_fd_sc_hd__a21oi_1 g441393(.A1 (n_1928), .A2
-       (u_soc_u_uart_u_uart_core_tx_fifo_re), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .Y (n_3040));
-  sky130_fd_sc_hd__nand2_1 g441394(.A (n_2537), .B (n_1757), .Y
-       (n_3146));
-  sky130_fd_sc_hd__o211ai_1 g441395(.A1 (n_1244), .A2 (n_1562), .B1
-       (n_1073), .C1 (n_1951), .Y (n_3145));
-  sky130_fd_sc_hd__nor2b_1 g441396(.A (n_16011), .B_N (n_2608), .Y
-       (n_3144));
-  sky130_fd_sc_hd__nand2b_1 g441397(.A_N (n_13345), .B (n_2893), .Y
-       (n_3143));
-  sky130_fd_sc_hd__nor2_1 g441402(.A (n_16011), .B (n_2603), .Y
-       (n_3142));
-  sky130_fd_sc_hd__nand2_1 g441403(.A (n_2901), .B (n_1450), .Y
-       (n_3141));
-  sky130_fd_sc_hd__a221oi_1 g441404(.A1 (n_1876), .A2
-       (u_soc_u_iccm_rdata3[25]), .B1 (n_1875), .B2
-       (u_soc_u_iccm_rdata2[25]), .C1 (n_2375), .Y (n_3140));
-  sky130_fd_sc_hd__nand2_1 g441405(.A (n_2897), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .Y (n_3138));
-  sky130_fd_sc_hd__nand2_1 g441406(.A (n_2542), .B
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_3137));
-  sky130_fd_sc_hd__nand2_1 g441407(.A (n_2893), .B
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_3136));
-  sky130_fd_sc_hd__a221oi_1 g441408(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[29]), .B1 (n_1876), .B2
-       (u_soc_u_iccm_rdata3[29]), .C1 (n_2352), .Y (n_3135));
-  sky130_fd_sc_hd__a221oi_1 g441410(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[31]), .B1 (n_1876), .B2
-       (u_soc_u_iccm_rdata3[31]), .C1 (n_2353), .Y (n_3133));
-  sky130_fd_sc_hd__a221oi_1 g441411(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[28]), .B1 (n_1876), .B2
-       (u_soc_u_iccm_rdata3[28]), .C1 (n_2363), .Y (n_3131));
-  sky130_fd_sc_hd__nor2_1 g441412(.A (n_1929), .B (n_2538), .Y
-       (n_3129));
-  sky130_fd_sc_hd__a221oi_1 g441413(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[26]), .B1 (n_1876), .B2
-       (u_soc_u_iccm_rdata3[26]), .C1 (n_2360), .Y (n_3128));
-  sky130_fd_sc_hd__nor2_1 g441416(.A (n_13467), .B (n_2894), .Y
-       (n_3125));
-  sky130_fd_sc_hd__nor2_1 g441418(.A (n_471), .B (n_2537), .Y (n_3124));
-  sky130_fd_sc_hd__nor2_1 g441419(.A (n_471), .B (n_2539), .Y (n_3123));
-  sky130_fd_sc_hd__a221oi_1 g441420(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[8]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[8]), .C1 (n_2347), .Y (n_3122));
-  sky130_fd_sc_hd__a221oi_1 g441421(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[12]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[12]), .C1 (n_2349), .Y (n_3120));
-  sky130_fd_sc_hd__nor2_1 g441422(.A (n_1931), .B (n_2540), .Y
-       (n_3118));
-  sky130_fd_sc_hd__nand2_1 g441423(.A (n_2888), .B (n_1068), .Y
-       (n_3117));
-  sky130_fd_sc_hd__nor2_1 g441424(.A (n_13468), .B (n_2894), .Y
-       (n_3116));
-  sky130_fd_sc_hd__nor2_1 g441426(.A (n_471), .B (n_2889), .Y (n_3114));
-  sky130_fd_sc_hd__nor2_1 g441427(.A (n_471), .B (n_2536), .Y (n_3113));
-  sky130_fd_sc_hd__nor2_1 g441428(.A (n_471), .B (n_2535), .Y (n_3112));
-  sky130_fd_sc_hd__nor2_1 g441430(.A (n_13468), .B (n_2895), .Y
-       (n_3111));
-  sky130_fd_sc_hd__a21oi_1 g441432(.A1 (n_1672), .A2 (n_1327), .B1
-       (n_2538), .Y (n_3110));
-  sky130_fd_sc_hd__o21ai_1 g441433(.A1
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .A2 (n_1072), .B1
-       (n_2537), .Y (n_3109));
-  sky130_fd_sc_hd__nor2_1 g441434(.A (n_13469), .B (n_2895), .Y
-       (n_3108));
-  sky130_fd_sc_hd__o21ai_1 g441435(.A1 (n_1075), .A2
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B1 (n_2539), .Y
-       (n_3107));
-  sky130_fd_sc_hd__nor2_1 g441437(.A (n_13467), .B (n_2895), .Y
-       (n_3106));
-  sky130_fd_sc_hd__nor2_1 g441438(.A (n_13469), .B (n_2894), .Y
-       (n_3105));
-  sky130_fd_sc_hd__nand2_1 g441439(.A (n_2604), .B (n_652), .Y
-       (n_3102));
-  sky130_fd_sc_hd__nand2_1 g441440(.A (n_2604), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_3101));
-  sky130_fd_sc_hd__nand2_1 g441441(.A (n_2542), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
-       [0]), .Y (n_3100));
-  sky130_fd_sc_hd__nand2b_1 g441443(.A_N (n_2548), .B (n_13325), .Y
-       (n_3098));
-  sky130_fd_sc_hd__nor2_1 g441444(.A (n_13345), .B (n_2887), .Y
-       (n_3096));
-  sky130_fd_sc_hd__nor2_1 g441445(.A (n_479), .B (n_2899), .Y (n_3094));
-  sky130_fd_sc_hd__nand2_1 g441446(.A (n_2605), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .Y (n_3093));
-  sky130_fd_sc_hd__nor2_1 g441447(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .B (n_2899), .Y (n_3091));
-  sky130_fd_sc_hd__nand2_1 g441449(.A (n_2888), .B (n_1255), .Y
-       (n_3088));
-  sky130_fd_sc_hd__nor2_1 g441450(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .B (n_2898), .Y (n_3087));
-  sky130_fd_sc_hd__nor2_1 g441452(.A (n_479), .B (n_2898), .Y (n_3086));
-  sky130_fd_sc_hd__nor2_1 g441454(.A (n_2421), .B (n_1750), .Y
-       (n_3085));
-  sky130_fd_sc_hd__nand2_1 g441456(.A (n_1260), .B (n_2583), .Y
-       (n_3083));
-  sky130_fd_sc_hd__nand2_1 g441457(.A (n_2414), .B (n_1431), .Y
-       (n_3082));
-  sky130_fd_sc_hd__nand4_1 g441458(.A (n_1768), .B (n_13455), .C
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .D (n_621), .Y
-       (n_3080));
-  sky130_fd_sc_hd__nor2_1 g441459(.A (n_16011), .B (n_2414), .Y
-       (n_3078));
-  sky130_fd_sc_hd__nor2_1 g441460(.A (n_2001), .B (n_2414), .Y
-       (n_3077));
-  sky130_fd_sc_hd__nand2_1 g441461(.A (n_2888), .B (n_1051), .Y
-       (n_3076));
-  sky130_fd_sc_hd__and2_1 g441462(.A (n_2676), .B (n_2674), .X
-       (n_3075));
-  sky130_fd_sc_hd__and2_1 g441463(.A (n_2678), .B (n_2680), .X
-       (n_3074));
-  sky130_fd_sc_hd__inv_1 g441464(.A (n_2953), .Y (n_3039));
-  sky130_fd_sc_hd__inv_1 g441465(.A (n_2952), .Y (n_3038));
-  sky130_fd_sc_hd__inv_1 g441466(.A (n_2951), .Y (n_3037));
-  sky130_fd_sc_hd__inv_1 g441467(.A (n_2950), .Y (n_3036));
-  sky130_fd_sc_hd__inv_1 g441468(.A (n_2949), .Y (n_3035));
-  sky130_fd_sc_hd__inv_1 g441469(.A (n_2941), .Y (n_3034));
-  sky130_fd_sc_hd__inv_1 g441470(.A (n_2940), .Y (n_3033));
-  sky130_fd_sc_hd__inv_2 g441471(.A (n_3032), .Y (n_3031));
-  sky130_fd_sc_hd__inv_2 g441472(.A (n_3030), .Y (n_3029));
-  sky130_fd_sc_hd__inv_2 g441473(.A (n_3028), .Y (n_3027));
-  sky130_fd_sc_hd__inv_2 g441474(.A (n_3026), .Y (n_3025));
-  sky130_fd_sc_hd__inv_2 g441475(.A (n_3024), .Y (n_3023));
-  sky130_fd_sc_hd__inv_2 g441476(.A (n_3022), .Y (n_3021));
-  sky130_fd_sc_hd__inv_2 g441477(.A (n_3020), .Y (n_3019));
-  sky130_fd_sc_hd__inv_2 g441478(.A (n_3018), .Y (n_3017));
-  sky130_fd_sc_hd__inv_2 g441479(.A (n_3016), .Y (n_3015));
-  sky130_fd_sc_hd__inv_2 g441480(.A (n_3013), .Y (n_3012));
-  sky130_fd_sc_hd__inv_2 g441481(.A (n_3011), .Y (n_3010));
-  sky130_fd_sc_hd__inv_2 g441482(.A (n_3009), .Y (n_3008));
-  sky130_fd_sc_hd__inv_2 g441483(.A (n_3007), .Y (n_3006));
-  sky130_fd_sc_hd__inv_2 g441484(.A (n_3004), .Y (n_3005));
-  sky130_fd_sc_hd__inv_2 g441485(.A (n_3002), .Y (n_3003));
-  sky130_fd_sc_hd__inv_2 g441486(.A (n_3001), .Y (n_3000));
-  sky130_fd_sc_hd__inv_2 g441487(.A (n_2999), .Y (n_2998));
-  sky130_fd_sc_hd__inv_2 g441488(.A (n_2997), .Y (n_2996));
-  sky130_fd_sc_hd__inv_2 g441489(.A (n_2994), .Y (n_2995));
-  sky130_fd_sc_hd__inv_2 g441490(.A (n_2992), .Y (n_2993));
-  sky130_fd_sc_hd__inv_2 g441491(.A (n_2991), .Y (n_2990));
-  sky130_fd_sc_hd__inv_2 g441492(.A (n_2989), .Y (n_2988));
-  sky130_fd_sc_hd__inv_1 g441493(.A (n_2984), .Y (n_2983));
-  sky130_fd_sc_hd__o21ai_1 g441494(.A1 (n_1070), .A2 (n_2290), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .Y (n_2979));
-  sky130_fd_sc_hd__a21o_1 g441495(.A1 (n_2152), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [4]), .B1 (n_2891), .X (n_2978));
-  sky130_fd_sc_hd__a21o_1 g441496(.A1 (n_2152), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [3]), .B1 (n_2891), .X (n_2977));
-  sky130_fd_sc_hd__a21o_1 g441497(.A1 (n_2152), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [2]), .B1 (n_2891), .X (n_2976));
-  sky130_fd_sc_hd__o22ai_1 g441498(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2 (n_2288), .B1 (n_499), .B2 (n_2289), .Y (n_2975));
-  sky130_fd_sc_hd__o2111ai_1 g441499(.A1 (n_13367), .A2 (n_164), .B1
-       (n_13375), .C1 (n_2196), .D1 (n_13369), .Y (n_2974));
-  sky130_fd_sc_hd__a22oi_1 g441500(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [14]), .B1 (n_1513), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]), .Y
-       (n_2973));
-  sky130_fd_sc_hd__a22oi_1 g441501(.A1 (n_2243), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]), .Y (n_2972));
-  sky130_fd_sc_hd__a22oi_1 g441502(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [12]), .B1 (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]), .Y (n_2971));
-  sky130_fd_sc_hd__a22oi_1 g441503(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]), .Y
-       (n_2970));
-  sky130_fd_sc_hd__a22oi_1 g441504(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]), .Y (n_2969));
-  sky130_fd_sc_hd__o21ai_1 g441505(.A1 (n_1939), .A2 (n_1532), .B1
-       (u_soc_u_uart_u_uart_core_tx_fifo_init), .Y (n_2968));
-  sky130_fd_sc_hd__a22oi_1 g441506(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [10]), .B1 (n_1513), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]), .Y
-       (n_2967));
-  sky130_fd_sc_hd__a22oi_1 g441507(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]), .B1
-       (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]), .Y
-       (n_2966));
-  sky130_fd_sc_hd__a22oi_1 g441508(.A1 (n_2243), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]), .B1
-       (n_1214), .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
-       .Y (n_2965));
-  sky130_fd_sc_hd__a22oi_1 g441509(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]), .B1
-       (n_2246), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [1]), .Y (n_2964));
-  sky130_fd_sc_hd__a22oi_1 g441510(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [6]), .B1 (n_1513), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]), .Y (n_2963));
-  sky130_fd_sc_hd__a22oi_1 g441511(.A1 (n_2149), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [4]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [3]), .Y
-       (n_2962));
-  sky130_fd_sc_hd__a22oi_1 g441512(.A1 (n_2149), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [3]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [2]), .Y
-       (n_2961));
-  sky130_fd_sc_hd__a22oi_1 g441513(.A1 (n_2149), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [2]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [1]), .Y
-       (n_2960));
-  sky130_fd_sc_hd__or4_1 g441514(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_1776), .C
-       (n_1166), .D (n_13482), .X (n_2959));
-  sky130_fd_sc_hd__a222oi_1 g441515(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]), .A2
-       (n_1513), .B1 (n_1409), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[7]), .C1 (n_2243),
-       .C2 (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]), .Y
-       (n_2958));
-  sky130_fd_sc_hd__a222oi_1 g441516(.A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]), .A2
-       (n_1735), .B1 (n_1341), .B2
-       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .C1 (n_690), .C2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]), .Y
-       (n_2957));
-  sky130_fd_sc_hd__a222oi_1 g441517(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]), .A2
-       (n_1513), .B1 (n_1409), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[30]), .C1 (n_2243),
-       .C2 (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .Y
-       (n_2956));
-  sky130_fd_sc_hd__a22oi_1 g441518(.A1 (n_2150), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [1]), .B1 (n_2151), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [1]), .Y (n_2955));
-  sky130_fd_sc_hd__o22ai_1 g441519(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2 (n_2294), .B1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B2 (n_1081), .Y (n_2954));
-  sky130_fd_sc_hd__a222oi_1 g441520(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[2]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [2]), .Y (n_2953));
-  sky130_fd_sc_hd__a222oi_1 g441521(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[4]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [4]), .Y (n_2952));
-  sky130_fd_sc_hd__a222oi_1 g441522(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[5]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [5]), .Y (n_2951));
-  sky130_fd_sc_hd__a222oi_1 g441523(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[6]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [6]), .Y (n_2950));
-  sky130_fd_sc_hd__a222oi_1 g441524(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[8]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [8]), .Y (n_2949));
-  sky130_fd_sc_hd__or4_1 g441525(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [27]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [28]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [26]), .D (n_1692), .X (n_2948));
-  sky130_fd_sc_hd__or4_1 g441526(.A (n_13416), .B (n_13410), .C
-       (n_13411), .D (n_1690), .X (n_2947));
-  sky130_fd_sc_hd__a222oi_1 g441527(.A1
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]), .A2
-       (n_1513), .B1 (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[12]),
-       .C1 (n_2242), .C2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]), .Y
-       (n_2946));
-  sky130_fd_sc_hd__o21ai_0 g441528(.A1
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .A2 (n_1445), .B1
-       (n_2886), .Y (n_2945));
-  sky130_fd_sc_hd__nand3b_1 g441529(.A_N (n_15923), .B (n_1255), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .Y (n_2944));
-  sky130_fd_sc_hd__a22oi_1 g441530(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]), .Y
-       (n_2943));
-  sky130_fd_sc_hd__nand3_1 g441531(.A (n_2291), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .C (n_98), .Y (n_2942));
-  sky130_fd_sc_hd__a222oi_1 g441532(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[1]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [1]), .Y (n_2941));
-  sky130_fd_sc_hd__a222oi_1 g441533(.A1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .A2 (n_2147), .B1
-       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[3]), .C1
-       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [3]), .Y (n_2940));
-  sky130_fd_sc_hd__a32oi_1 g441534(.A1 (n_1783), .A2 (n_1427), .A3
-       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[2]), .Y
-       (n_2939));
-  sky130_fd_sc_hd__o21ai_1 g441535(.A1 (n_497), .A2 (n_2148), .B1
-       (n_1314), .Y (n_2938));
-  sky130_fd_sc_hd__a32oi_1 g441536(.A1 (n_1476), .A2 (n_1090), .A3
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [1]), .B1 (n_2304), .B2
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [1]), .Y (n_2937));
-  sky130_fd_sc_hd__o22ai_1 g441537(.A1 (n_1531), .A2 (n_1805), .B1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]), .B2 (n_2148), .Y
-       (n_2936));
-  sky130_fd_sc_hd__a22oi_1 g441538(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]), .Y
-       (n_2935));
-  sky130_fd_sc_hd__a22oi_1 g441539(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]), .Y
-       (n_2934));
-  sky130_fd_sc_hd__a22oi_1 g441540(.A1 (n_2149), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [6]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [5]), .Y
-       (n_2933));
-  sky130_fd_sc_hd__a22oi_1 g441541(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]), .B1
-       (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]), .Y
-       (n_2932));
-  sky130_fd_sc_hd__a22oi_1 g441542(.A1 (n_2149), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
-       [5]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [4]), .Y
-       (n_2931));
-  sky130_fd_sc_hd__a22oi_1 g441543(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [8]), .B1 (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]), .Y (n_2930));
-  sky130_fd_sc_hd__a22oi_1 g441544(.A1 (n_2244), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]), .B1
-       (n_1233), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ), .Y
-       (n_2929));
-  sky130_fd_sc_hd__a22oi_1 g441545(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]), .Y
-       (n_2928));
-  sky130_fd_sc_hd__a22oi_1 g441546(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]), .Y
-       (n_2927));
-  sky130_fd_sc_hd__o2bb2ai_1 g441547(.A1_N
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2_N (n_2301), .B1 (n_13317), .B2 (n_1885), .Y (n_2926));
-  sky130_fd_sc_hd__a31oi_1 g441548(.A1 (n_1741), .A2 (n_1052), .A3
-       (n_1116), .B1 (n_2211), .Y (n_2925));
-  sky130_fd_sc_hd__a22o_1 g441549(.A1 (n_2244), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]), .X
-       (n_2924));
-  sky130_fd_sc_hd__a22oi_1 g441550(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]), .B1
-       (n_2243), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]), .Y
-       (n_2923));
-  sky130_fd_sc_hd__a22oi_1 g441551(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]), .B1
-       (n_2242), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]), .Y
-       (n_2922));
-  sky130_fd_sc_hd__a2bb2oi_1 g441552(.A1_N (n_1033), .A2_N (n_2161),
-       .B1 (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .B2 (n_2161),
-       .Y (n_2921));
-  sky130_fd_sc_hd__a22oi_1 g441553(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]), .Y
-       (n_2920));
-  sky130_fd_sc_hd__a22oi_1 g441554(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]), .Y
-       (n_2919));
-  sky130_fd_sc_hd__a22oi_1 g441555(.A1 (n_2244), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[4]), .Y
-       (n_2918));
-  sky130_fd_sc_hd__a22oi_1 g441556(.A1 (n_2243), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]), .B1
-       (n_1749), .B2 (u_soc_u_top_u_core_csr_mtvec[20]), .Y (n_2917));
-  sky130_fd_sc_hd__a22oi_1 g441557(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]), .Y
-       (n_2916));
-  sky130_fd_sc_hd__a22oi_1 g441558(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]), .Y
-       (n_2915));
-  sky130_fd_sc_hd__a22oi_1 g441559(.A1 (n_2246), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [9]), .B1 (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]), .Y (n_2914));
-  sky130_fd_sc_hd__a22oi_1 g441560(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]), .Y
-       (n_2913));
-  sky130_fd_sc_hd__a22oi_1 g441561(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]), .Y
-       (n_2912));
-  sky130_fd_sc_hd__a22oi_1 g441562(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]), .Y
-       (n_2911));
-  sky130_fd_sc_hd__a22oi_1 g441563(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]), .Y
-       (n_2910));
-  sky130_fd_sc_hd__a22oi_1 g441564(.A1 (n_2009), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
-       [1]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [0]), .Y
-       (n_2909));
-  sky130_fd_sc_hd__a22oi_1 g441565(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]), .Y
-       (n_2908));
-  sky130_fd_sc_hd__a22oi_1 g441566(.A1 (n_2135), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]), .Y (n_2907));
-  sky130_fd_sc_hd__a22oi_1 g441567(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]), .Y
-       (n_2906));
-  sky130_fd_sc_hd__a22oi_1 g441568(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]), .Y
-       (n_2905));
-  sky130_fd_sc_hd__a22oi_1 g441569(.A1 (n_2241), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]), .Y
-       (n_2904));
-  sky130_fd_sc_hd__a22oi_1 g441570(.A1 (n_2242), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .B1
-       (n_2244), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]), .Y
-       (n_2903));
-  sky130_fd_sc_hd__nand4_1 g441571(.A (n_1889), .B (n_15936), .C
-       (io_out[37]), .D (\u_soc_xbar_to_dccm[a_address] [5]), .Y
-       (n_2902));
-  sky130_fd_sc_hd__a221oi_1 g441572(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[11]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[11]), .C1 (n_2395), .Y (n_3032));
-  sky130_fd_sc_hd__a221oi_1 g441573(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[15]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[15]), .C1 (n_2354), .Y (n_3030));
-  sky130_fd_sc_hd__a221oi_1 g441574(.A1 (n_1876), .A2
-       (u_soc_u_iccm_rdata3[27]), .B1 (n_1875), .B2
-       (u_soc_u_iccm_rdata2[27]), .C1 (n_2362), .Y (n_3028));
-  sky130_fd_sc_hd__a221oi_1 g441575(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[9]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[9]), .C1 (n_2409), .Y (n_3026));
-  sky130_fd_sc_hd__a221oi_1 g441576(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[30]), .B1 (n_1876), .B2
-       (u_soc_u_iccm_rdata3[30]), .C1 (n_2348), .Y (n_3024));
-  sky130_fd_sc_hd__a221oi_1 g441577(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[13]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[13]), .C1 (n_2388), .Y (n_3022));
-  sky130_fd_sc_hd__a221oi_1 g441578(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[10]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[10]), .C1 (n_2374), .Y (n_3020));
-  sky130_fd_sc_hd__a221oi_1 g441579(.A1 (n_1880), .A2
-       (u_soc_u_iccm_rdata4[14]), .B1 (n_1882), .B2
-       (u_soc_u_iccm_rdata3[14]), .C1 (n_2345), .Y (n_3018));
-  sky130_fd_sc_hd__a221oi_1 g441580(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[24]), .B1 (n_1876), .B2
-       (u_soc_u_iccm_rdata3[24]), .C1 (n_2381), .Y (n_3016));
-  sky130_fd_sc_hd__a21oi_1 g441581(.A1 (n_1670), .A2 (n_1335), .B1
-       (n_2540), .Y (n_3014));
-  sky130_fd_sc_hd__a221oi_1 g441582(.A1 (n_13494), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [3]), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]), .C1 (n_2197), .Y (n_3013));
-  sky130_fd_sc_hd__a221oi_1 g441583(.A1 (n_13493), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [22]), .B1 (n_13494), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [22]), .C1 (n_2205), .Y (n_3011));
-  sky130_fd_sc_hd__a221oi_1 g441584(.A1 (n_13493), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [24]), .B1 (n_13494), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [24]), .C1 (n_2207), .Y (n_3009));
-  sky130_fd_sc_hd__a221oi_1 g441585(.A1 (n_13493), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [23]), .B1 (n_13494), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [23]), .C1 (n_2206), .Y (n_3007));
-  sky130_fd_sc_hd__a221oi_1 g441586(.A1 (n_13494), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [21]), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [21]), .C1 (n_2204), .Y (n_3004));
-  sky130_fd_sc_hd__nor4_1 g441587(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .C (n_1681), .D (n_1534), .Y (n_3002));
-  sky130_fd_sc_hd__a221oi_1 g441588(.A1 (n_13494), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [20]), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [20]), .C1 (n_2203), .Y (n_3001));
-  sky130_fd_sc_hd__a221oi_1 g441589(.A1 (n_13494), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [19]), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [19]), .C1 (n_2202), .Y (n_2999));
-  sky130_fd_sc_hd__a221oi_1 g441590(.A1 (n_13493), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [8]), .B1 (n_13494), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [8]), .C1 (n_2201), .Y (n_2997));
-  sky130_fd_sc_hd__a221oi_1 g441591(.A1 (n_13493), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [7]), .B1 (n_13494), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [7]), .C1 (n_2200), .Y (n_2994));
-  sky130_fd_sc_hd__a221oi_1 g441592(.A1 (n_13493), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]), .B1 (n_13494), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [6]), .C1 (n_2208), .Y (n_2992));
-  sky130_fd_sc_hd__a221oi_1 g441593(.A1 (n_13494), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [5]), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]), .C1 (n_2199), .Y (n_2991));
-  sky130_fd_sc_hd__a221oi_1 g441594(.A1 (n_13494), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
-       [4]), .B1 (n_13493), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]), .C1 (n_2198), .Y (n_2989));
-  sky130_fd_sc_hd__nor2_1 g441595(.A (n_511), .B (n_2378), .Y (n_2987));
-  sky130_fd_sc_hd__and3b_1 g441596(.A_N
-       (u_soc_u_top_u_core_alu_operator_ex[1]), .B (n_2292), .C
-       (n_621), .X (n_2986));
-  sky130_fd_sc_hd__nor2_1 g441597(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (n_2377), .Y (n_2985));
-  sky130_fd_sc_hd__and3_1 g441598(.A (n_2292), .B (n_13343), .C
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .X (n_2984));
-  sky130_fd_sc_hd__or3_1 g441599(.A (n_1068), .B (n_1726), .C (n_2887),
-       .X (n_2982));
-  sky130_fd_sc_hd__a21o_1 g441600(.A1 (n_1926), .A2 (io_out[37]), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .X (n_2981));
-  sky130_fd_sc_hd__o21ai_2 g441601(.A1 (n_471), .A2 (n_2300), .B1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_2980));
-  sky130_fd_sc_hd__inv_1 g441602(.A (n_1900), .Y (n_2900));
-  sky130_fd_sc_hd__inv_2 g441603(.A (n_2888), .Y (n_2887));
-  sky130_fd_sc_hd__inv_2 g441604(.A (n_2858), .Y (n_2857));
-  sky130_fd_sc_hd__inv_2 g441605(.A (n_2683), .Y (n_2682));
-  sky130_fd_sc_hd__inv_2 g441606(.A (n_2680), .Y (n_2679));
-  sky130_fd_sc_hd__inv_2 g441607(.A (n_2678), .Y (n_2677));
-  sky130_fd_sc_hd__inv_2 g441608(.A (n_2676), .Y (n_2675));
-  sky130_fd_sc_hd__inv_2 g441609(.A (n_2674), .Y (n_2673));
-  sky130_fd_sc_hd__ha_1 g441610(.A (n_1307), .B (n_1260), .COUT
-       (n_2901), .SUM (n_2672));
-  sky130_fd_sc_hd__nand2_1 g441611(.A (n_2151), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [6]), .Y (n_2671));
-  sky130_fd_sc_hd__a21oi_1 g441612(.A1 (n_1485), .A2 (n_1835), .B1
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .Y (n_2670));
-  sky130_fd_sc_hd__nor2_1 g441613(.A (n_2295), .B (n_1754), .Y
-       (n_2669));
-  sky130_fd_sc_hd__nand2_1 g441614(.A (n_2283), .B (n_1050), .Y
-       (n_2668));
-  sky130_fd_sc_hd__nand2_1 g441615(.A (n_689), .B (n_1942), .Y
-       (n_2667));
-  sky130_fd_sc_hd__nand2_1 g441616(.A (n_689), .B (n_1943), .Y
-       (n_2666));
-  sky130_fd_sc_hd__nand2_1 g441617(.A (n_2246), .B
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [4]), .Y (n_2665));
-  sky130_fd_sc_hd__nand2_1 g441618(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]), .Y
-       (n_2664));
-  sky130_fd_sc_hd__nand2_1 g441619(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]), .Y
-       (n_2663));
-  sky130_fd_sc_hd__nor2_1 g441620(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .B (n_2305), .Y
-       (n_2662));
-  sky130_fd_sc_hd__nand2_1 g441621(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]), .Y
-       (n_2661));
-  sky130_fd_sc_hd__nand2_1 g441622(.A (n_2135), .B
-       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]), .Y
-       (n_2660));
-  sky130_fd_sc_hd__nand2_1 g441623(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]), .Y
-       (n_2659));
-  sky130_fd_sc_hd__nand2_1 g441624(.A (n_2241), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]), .Y
-       (n_2658));
-  sky130_fd_sc_hd__nand2_1 g441625(.A (n_2246), .B
-       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
-       [20]), .Y (n_2657));
-  sky130_fd_sc_hd__nand2_1 g441626(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]), .Y
-       (n_2656));
-  sky130_fd_sc_hd__nand2_1 g441627(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]), .Y
-       (n_2655));
-  sky130_fd_sc_hd__nand2_1 g441628(.A (n_2243), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]), .Y
-       (n_2654));
-  sky130_fd_sc_hd__nand2_1 g441629(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]), .Y
-       (n_2653));
-  sky130_fd_sc_hd__nand2_1 g441630(.A (n_2241), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]), .Y
-       (n_2652));
-  sky130_fd_sc_hd__nand2_1 g441631(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]), .Y
-       (n_2651));
-  sky130_fd_sc_hd__nand2_1 g441632(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]), .Y
-       (n_2650));
-  sky130_fd_sc_hd__nand2_1 g441633(.A (n_2241), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]), .Y
-       (n_2649));
-  sky130_fd_sc_hd__nand2_1 g441634(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]), .Y
-       (n_2648));
-  sky130_fd_sc_hd__nand2_1 g441635(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]), .Y
-       (n_2647));
-  sky130_fd_sc_hd__nand2_1 g441636(.A (n_2150), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [4]), .Y (n_2646));
-  sky130_fd_sc_hd__nand2_1 g441637(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]), .Y
-       (n_2645));
-  sky130_fd_sc_hd__nand2_1 g441638(.A (n_2241), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]), .Y
-       (n_2644));
-  sky130_fd_sc_hd__nand2_1 g441639(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]), .Y
-       (n_2643));
-  sky130_fd_sc_hd__nand2_1 g441640(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]), .Y
-       (n_2642));
-  sky130_fd_sc_hd__nand2_1 g441641(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]), .Y
-       (n_2641));
-  sky130_fd_sc_hd__nand2_1 g441642(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]), .Y
-       (n_2640));
-  sky130_fd_sc_hd__nand2_1 g441643(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]), .Y
-       (n_2639));
-  sky130_fd_sc_hd__nand2_1 g441644(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]), .Y
-       (n_2638));
-  sky130_fd_sc_hd__nand2_1 g441645(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]), .Y
-       (n_2637));
-  sky130_fd_sc_hd__nand2_1 g441646(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]), .Y
-       (n_2636));
-  sky130_fd_sc_hd__nand2_1 g441647(.A (n_2241), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]), .Y
-       (n_2635));
-  sky130_fd_sc_hd__nand2_1 g441648(.A (n_2150), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [3]), .Y (n_2634));
-  sky130_fd_sc_hd__nand2_1 g441649(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]), .Y
-       (n_2633));
-  sky130_fd_sc_hd__nand2_1 g441650(.A (n_2153), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [3]), .Y (n_2632));
-  sky130_fd_sc_hd__nand2_1 g441651(.A (n_2243), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]), .Y
-       (n_2631));
-  sky130_fd_sc_hd__nand2_1 g441652(.A (n_2244), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]), .Y
-       (n_2630));
-  sky130_fd_sc_hd__nand2_1 g441653(.A (n_2243), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]), .Y
-       (n_2629));
-  sky130_fd_sc_hd__nand2_1 g441654(.A (n_2150), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [6]), .Y (n_2628));
-  sky130_fd_sc_hd__nand2_1 g441655(.A (n_2242), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]), .Y
-       (n_2627));
-  sky130_fd_sc_hd__nand2_1 g441656(.A (n_2153), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [2]), .Y (n_2626));
-  sky130_fd_sc_hd__nand2_1 g441657(.A (n_2151), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [5]), .Y (n_2625));
-  sky130_fd_sc_hd__nand2_1 g441658(.A (n_2241), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]), .Y
-       (n_2624));
-  sky130_fd_sc_hd__nand2_1 g441659(.A (n_2243), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]), .Y
-       (n_2623));
-  sky130_fd_sc_hd__nor2_1 g441660(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]), .B (n_2160), .Y
-       (n_2622));
-  sky130_fd_sc_hd__nand2_1 g441661(.A (n_2153), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [4]), .Y (n_2621));
-  sky130_fd_sc_hd__nand2_1 g441662(.A (n_2243), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]), .Y
-       (n_2620));
-  sky130_fd_sc_hd__nand2b_1 g441663(.A_N (n_2297), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .Y
-       (n_2619));
-  sky130_fd_sc_hd__nand2_1 g441664(.A (n_2153), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_2618));
-  sky130_fd_sc_hd__nand2_1 g441665(.A (n_2150), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [2]), .Y (n_2617));
-  sky130_fd_sc_hd__nand2_1 g441666(.A (n_2151), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [2]), .Y (n_2616));
-  sky130_fd_sc_hd__nand2_1 g441667(.A (n_2151), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [3]), .Y (n_2615));
-  sky130_fd_sc_hd__nand2_1 g441668(.A (n_2151), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
-       [4]), .Y (n_2614));
-  sky130_fd_sc_hd__nand2_1 g441669(.A (n_2150), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
-       [5]), .Y (n_2613));
-  sky130_fd_sc_hd__nand2_1 g441671(.A (n_2162), .B (n_533), .Y
-       (n_2899));
-  sky130_fd_sc_hd__nand2_1 g441673(.A (n_2162), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .Y (n_2898));
-  sky130_fd_sc_hd__nor2_1 g441675(.A (n_1235), .B (n_2161), .Y
-       (n_2897));
-  sky130_fd_sc_hd__nand2_1 g441676(.A (n_2300), .B
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_2612));
-  sky130_fd_sc_hd__nand2b_1 g441677(.A_N (n_2301), .B
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_2611));
-  sky130_fd_sc_hd__nor2b_1 g441680(.A (n_1448), .B_N
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .Y (n_2896));
-  sky130_fd_sc_hd__nand2_1 g441681(.A (n_2163), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_2895));
-  sky130_fd_sc_hd__nand2_1 g441686(.A (n_2163), .B (n_652), .Y
-       (n_2894));
-  sky130_fd_sc_hd__nor2_1 g441687(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .B (n_15923), .Y (n_2893));
-  sky130_fd_sc_hd__nand2_1 g441688(.A (n_1223), .B (n_2135), .Y
-       (n_2892));
-  sky130_fd_sc_hd__nor2_1 g441690(.A (n_471), .B (n_2152), .Y (n_2891));
-  sky130_fd_sc_hd__nand2_1 g441691(.A (n_2160), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]), .Y (n_2890));
-  sky130_fd_sc_hd__nand3_1 g441692(.A (n_1721), .B (n_53), .C
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_2889));
-  sky130_fd_sc_hd__nor2_1 g441693(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .B (n_2282), .Y (n_2888));
-  sky130_fd_sc_hd__nand2_1 g441694(.A (n_1445), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .Y (n_2886));
-  sky130_fd_sc_hd__nand2_1 g441696(.A (n_2266), .B (n_2276), .Y
-       (n_2885));
-  sky130_fd_sc_hd__nand2_1 g441697(.A (n_2272), .B (n_2266), .Y
-       (n_2884));
-  sky130_fd_sc_hd__nand2_1 g441698(.A (n_2275), .B (n_2278), .Y
-       (n_2883));
-  sky130_fd_sc_hd__nand2_1 g441699(.A (n_2272), .B (n_2262), .Y
-       (n_2882));
-  sky130_fd_sc_hd__nand2_1 g441700(.A (n_2262), .B (n_2276), .Y
-       (n_2881));
-  sky130_fd_sc_hd__nand2_1 g441701(.A (n_2280), .B (n_2276), .Y
-       (n_2880));
-  sky130_fd_sc_hd__nand2_1 g441702(.A (n_2272), .B (n_2280), .Y
-       (n_2879));
-  sky130_fd_sc_hd__nand2_1 g441703(.A (n_2278), .B (n_2265), .Y
-       (n_2878));
-  sky130_fd_sc_hd__nand2_1 g441704(.A (n_2272), .B (n_2277), .Y
-       (n_2877));
-  sky130_fd_sc_hd__nand2_1 g441705(.A (n_2277), .B (n_2276), .Y
-       (n_2876));
-  sky130_fd_sc_hd__nand2_1 g441706(.A (n_2266), .B (n_2269), .Y
-       (n_2875));
-  sky130_fd_sc_hd__nand2_1 g441707(.A (n_2269), .B (n_2144), .Y
-       (n_2874));
-  sky130_fd_sc_hd__nand2_1 g441708(.A (n_2143), .B (n_2269), .Y
-       (n_2873));
-  sky130_fd_sc_hd__nand2_1 g441709(.A (n_2261), .B (n_2270), .Y
-       (n_2872));
-  sky130_fd_sc_hd__nand2_1 g441710(.A (n_2272), .B (n_2141), .Y
-       (n_2871));
-  sky130_fd_sc_hd__nand2_1 g441711(.A (n_2276), .B (n_2141), .Y
-       (n_2870));
-  sky130_fd_sc_hd__nand2_1 g441712(.A (n_2272), .B (n_2268), .Y
-       (n_2869));
-  sky130_fd_sc_hd__nand2_1 g441713(.A (n_2268), .B (n_2276), .Y
-       (n_2868));
-  sky130_fd_sc_hd__nand2_1 g441714(.A (n_2272), .B (n_2279), .Y
-       (n_2867));
-  sky130_fd_sc_hd__nand2_1 g441715(.A (n_2279), .B (n_2276), .Y
-       (n_2866));
-  sky130_fd_sc_hd__nand2_1 g441716(.A (n_2272), .B (n_2270), .Y
-       (n_2865));
-  sky130_fd_sc_hd__nand2_1 g441717(.A (n_2270), .B (n_2276), .Y
-       (n_2864));
-  sky130_fd_sc_hd__nand2_1 g441718(.A (n_2266), .B (n_2265), .Y
-       (n_2863));
-  sky130_fd_sc_hd__nand2_1 g441719(.A (n_2275), .B (n_2266), .Y
-       (n_2862));
-  sky130_fd_sc_hd__nand2_1 g441720(.A (n_2266), .B (n_2271), .Y
-       (n_2861));
-  sky130_fd_sc_hd__nand2_1 g441721(.A (n_2263), .B (n_2266), .Y
-       (n_2860));
-  sky130_fd_sc_hd__nand2_1 g441722(.A (n_2264), .B (n_2267), .Y
-       (n_2859));
-  sky130_fd_sc_hd__nand2_1 g441723(.A (n_16011), .B (n_1431), .Y
-       (n_2858));
-  sky130_fd_sc_hd__nand2_1 g441724(.A (n_2257), .B (n_2269), .Y
-       (n_2856));
-  sky130_fd_sc_hd__nand2_1 g441725(.A (n_2262), .B (n_2269), .Y
-       (n_2855));
-  sky130_fd_sc_hd__nand2_1 g441726(.A (n_2280), .B (n_2269), .Y
-       (n_2854));
-  sky130_fd_sc_hd__nand2_1 g441727(.A (n_2267), .B (n_2269), .Y
-       (n_2853));
-  sky130_fd_sc_hd__nand2_1 g441728(.A (n_2272), .B (n_2278), .Y
-       (n_2852));
-  sky130_fd_sc_hd__nand2_1 g441729(.A (n_2278), .B (n_2276), .Y
-       (n_2851));
-  sky130_fd_sc_hd__nand2_1 g441730(.A (n_2261), .B (n_2266), .Y
-       (n_2850));
-  sky130_fd_sc_hd__nand2_1 g441731(.A (n_2276), .B (n_2139), .Y
-       (n_2849));
-  sky130_fd_sc_hd__nand2_1 g441732(.A (n_2272), .B (n_2139), .Y
-       (n_2848));
-  sky130_fd_sc_hd__nand2_1 g441733(.A (n_2277), .B (n_2269), .Y
-       (n_2847));
-  sky130_fd_sc_hd__nor2_1 g441734(.A (n_1857), .B (n_2247), .Y
-       (n_2846));
-  sky130_fd_sc_hd__nand2_1 g441735(.A (n_2272), .B (n_2145), .Y
-       (n_2845));
-  sky130_fd_sc_hd__nand2_1 g441736(.A (n_2276), .B (n_2145), .Y
-       (n_2844));
-  sky130_fd_sc_hd__nand2_1 g441737(.A (n_2272), .B (n_2140), .Y
-       (n_2843));
-  sky130_fd_sc_hd__nand2_1 g441738(.A (n_2140), .B (n_2276), .Y
-       (n_2842));
-  sky130_fd_sc_hd__nor2_1 g441739(.A (n_1855), .B (n_2012), .Y
-       (n_2841));
-  sky130_fd_sc_hd__nand2_1 g441740(.A (n_2264), .B (n_2144), .Y
-       (n_2840));
-  sky130_fd_sc_hd__nor2_1 g441741(.A (n_1866), .B (n_2239), .Y
-       (n_2839));
-  sky130_fd_sc_hd__nor2_1 g441742(.A (n_1865), .B (n_2247), .Y
-       (n_2838));
-  sky130_fd_sc_hd__nand2_1 g441743(.A (n_2270), .B (n_2258), .Y
-       (n_2837));
-  sky130_fd_sc_hd__nand2_1 g441744(.A (n_2266), .B (n_2142), .Y
-       (n_2836));
-  sky130_fd_sc_hd__nand2_1 g441745(.A (n_2274), .B (n_2266), .Y
-       (n_2835));
-  sky130_fd_sc_hd__nand2_1 g441746(.A (n_2266), .B (n_2138), .Y
-       (n_2834));
-  sky130_fd_sc_hd__nand2_1 g441747(.A (n_2260), .B (n_2266), .Y
-       (n_2833));
-  sky130_fd_sc_hd__nand2_1 g441748(.A (n_2273), .B (n_2266), .Y
-       (n_2832));
-  sky130_fd_sc_hd__nand2_1 g441749(.A (n_2266), .B (n_2258), .Y
-       (n_2831));
-  sky130_fd_sc_hd__nand2_1 g441750(.A (n_2266), .B (n_2259), .Y
-       (n_2830));
-  sky130_fd_sc_hd__nand2_1 g441751(.A (n_2264), .B (n_2266), .Y
-       (n_2829));
-  sky130_fd_sc_hd__nand2_1 g441752(.A (n_2262), .B (n_2265), .Y
-       (n_2828));
-  sky130_fd_sc_hd__nand2_1 g441753(.A (n_2275), .B (n_2262), .Y
-       (n_2827));
-  sky130_fd_sc_hd__nand2_1 g441754(.A (n_2274), .B (n_2267), .Y
-       (n_2826));
-  sky130_fd_sc_hd__nand2_1 g441755(.A (n_2264), .B (n_2257), .Y
-       (n_2825));
-  sky130_fd_sc_hd__nor2_1 g441756(.A (n_1855), .B (n_2255), .Y
-       (n_2824));
-  sky130_fd_sc_hd__nor2_1 g441757(.A (n_1855), .B (n_2247), .Y
-       (n_2823));
-  sky130_fd_sc_hd__nor2_1 g441758(.A (n_1855), .B (n_2011), .Y
-       (n_2822));
-  sky130_fd_sc_hd__nor2_1 g441759(.A (n_1855), .B (n_2249), .Y
-       (n_2821));
-  sky130_fd_sc_hd__nor2_1 g441760(.A (n_1855), .B (n_2251), .Y
-       (n_2820));
-  sky130_fd_sc_hd__nor2_1 g441761(.A (n_1855), .B (n_2137), .Y
-       (n_2819));
-  sky130_fd_sc_hd__nand2_1 g441762(.A (n_2262), .B (n_2271), .Y
-       (n_2818));
-  sky130_fd_sc_hd__nand2_1 g441763(.A (n_2263), .B (n_2262), .Y
-       (n_2817));
-  sky130_fd_sc_hd__nand2_1 g441764(.A (n_2280), .B (n_2265), .Y
-       (n_2816));
-  sky130_fd_sc_hd__nand2_1 g441765(.A (n_2275), .B (n_2280), .Y
-       (n_2815));
-  sky130_fd_sc_hd__nand2_1 g441766(.A (n_2273), .B (n_2267), .Y
-       (n_2814));
-  sky130_fd_sc_hd__nand2_1 g441767(.A (n_2276), .B (n_2144), .Y
-       (n_2813));
-  sky130_fd_sc_hd__nand2_1 g441768(.A (n_2257), .B (n_2276), .Y
-       (n_2812));
-  sky130_fd_sc_hd__nand2_1 g441769(.A (n_2143), .B (n_2276), .Y
-       (n_2811));
-  sky130_fd_sc_hd__nand2_1 g441770(.A (n_2272), .B (n_2143), .Y
-       (n_2810));
-  sky130_fd_sc_hd__nand2_1 g441771(.A (n_2272), .B (n_2144), .Y
-       (n_2809));
-  sky130_fd_sc_hd__nand2_1 g441772(.A (n_2272), .B (n_2257), .Y
-       (n_2808));
-  sky130_fd_sc_hd__nand2_1 g441773(.A (n_2272), .B (n_2267), .Y
-       (n_2807));
-  sky130_fd_sc_hd__nand2_1 g441774(.A (n_2267), .B (n_2276), .Y
-       (n_2806));
-  sky130_fd_sc_hd__nor2_1 g441775(.A (n_1870), .B (n_2012), .Y
-       (n_2805));
-  sky130_fd_sc_hd__nand2_1 g441776(.A (n_2263), .B (n_2280), .Y
-       (n_2804));
-  sky130_fd_sc_hd__nand2_1 g441777(.A (n_2280), .B (n_2271), .Y
-       (n_2803));
-  sky130_fd_sc_hd__nor2_1 g441778(.A (n_1866), .B (n_2234), .Y
-       (n_2802));
-  sky130_fd_sc_hd__nand2_1 g441779(.A (n_2275), .B (n_2277), .Y
-       (n_2801));
-  sky130_fd_sc_hd__nand2_1 g441780(.A (n_2277), .B (n_2265), .Y
-       (n_2800));
-  sky130_fd_sc_hd__nand2_1 g441781(.A (n_2264), .B (n_2143), .Y
-       (n_2799));
-  sky130_fd_sc_hd__nand2_1 g441782(.A (n_2267), .B (n_2258), .Y
-       (n_2798));
-  sky130_fd_sc_hd__nand2_1 g441783(.A (n_2277), .B (n_2271), .Y
-       (n_2797));
-  sky130_fd_sc_hd__nand2_1 g441784(.A (n_2263), .B (n_2277), .Y
-       (n_2796));
-  sky130_fd_sc_hd__nor2_1 g441785(.A (n_1870), .B (n_2249), .Y
-       (n_2795));
-  sky130_fd_sc_hd__nor2_1 g441786(.A (n_1870), .B (n_2247), .Y
-       (n_2794));
-  sky130_fd_sc_hd__nor2_1 g441787(.A (n_1870), .B (n_2137), .Y
-       (n_2793));
-  sky130_fd_sc_hd__nor2_1 g441788(.A (n_1870), .B (n_2011), .Y
-       (n_2792));
-  sky130_fd_sc_hd__nor2_1 g441789(.A (n_1870), .B (n_2251), .Y
-       (n_2791));
-  sky130_fd_sc_hd__nor2_1 g441790(.A (n_1870), .B (n_2255), .Y
-       (n_2790));
-  sky130_fd_sc_hd__nand2_1 g441791(.A (n_2269), .B (n_2141), .Y
-       (n_2789));
-  sky130_fd_sc_hd__nand2_1 g441792(.A (n_2268), .B (n_2269), .Y
-       (n_2788));
-  sky130_fd_sc_hd__nor2_1 g441793(.A (n_1866), .B (n_2237), .Y
-       (n_2787));
-  sky130_fd_sc_hd__nand2_1 g441794(.A (n_2279), .B (n_2269), .Y
-       (n_2786));
-  sky130_fd_sc_hd__nand2_1 g441795(.A (n_2261), .B (n_2262), .Y
-       (n_2785));
-  sky130_fd_sc_hd__nand2_1 g441796(.A (n_2264), .B (n_2280), .Y
-       (n_2784));
-  sky130_fd_sc_hd__nand2_1 g441797(.A (n_2264), .B (n_2262), .Y
-       (n_2783));
-  sky130_fd_sc_hd__nand2_1 g441798(.A (n_2264), .B (n_2141), .Y
-       (n_2782));
-  sky130_fd_sc_hd__nand2_1 g441799(.A (n_2264), .B (n_2268), .Y
-       (n_2781));
-  sky130_fd_sc_hd__nand2_1 g441800(.A (n_2264), .B (n_2279), .Y
-       (n_2780));
-  sky130_fd_sc_hd__nand2_1 g441801(.A (n_2264), .B (n_2277), .Y
-       (n_2779));
-  sky130_fd_sc_hd__nand2_1 g441802(.A (n_2264), .B (n_2278), .Y
-       (n_2778));
-  sky130_fd_sc_hd__nand2_1 g441803(.A (n_2264), .B (n_2145), .Y
-       (n_2777));
-  sky130_fd_sc_hd__nand2_1 g441804(.A (n_2264), .B (n_2140), .Y
-       (n_2776));
-  sky130_fd_sc_hd__nand2_1 g441805(.A (n_2264), .B (n_2139), .Y
-       (n_2775));
-  sky130_fd_sc_hd__nand2_1 g441806(.A (n_2264), .B (n_2270), .Y
-       (n_2774));
-  sky130_fd_sc_hd__nand2_1 g441807(.A (n_2261), .B (n_2280), .Y
-       (n_2773));
-  sky130_fd_sc_hd__nor2_1 g441808(.A (n_1866), .B (n_2006), .Y
-       (n_2772));
-  sky130_fd_sc_hd__nand2_1 g441809(.A (n_2270), .B (n_2269), .Y
-       (n_2771));
-  sky130_fd_sc_hd__nor2_1 g441810(.A (n_1866), .B (n_2007), .Y
-       (n_2770));
-  sky130_fd_sc_hd__nor2_1 g441811(.A (n_1866), .B (n_2238), .Y
-       (n_2769));
-  sky130_fd_sc_hd__nor2_1 g441812(.A (n_1866), .B (n_2004), .Y
-       (n_2768));
-  sky130_fd_sc_hd__nand2_1 g441813(.A (n_2261), .B (n_2277), .Y
-       (n_2767));
-  sky130_fd_sc_hd__nand2_1 g441814(.A (n_2274), .B (n_2144), .Y
-       (n_2766));
-  sky130_fd_sc_hd__nand2_1 g441815(.A (n_2273), .B (n_2144), .Y
-       (n_2765));
-  sky130_fd_sc_hd__nand2_1 g441816(.A (n_2274), .B (n_2257), .Y
-       (n_2764));
-  sky130_fd_sc_hd__nand2_1 g441817(.A (n_2262), .B (n_2259), .Y
-       (n_2763));
-  sky130_fd_sc_hd__nand2_1 g441818(.A (n_2262), .B (n_2258), .Y
-       (n_2762));
-  sky130_fd_sc_hd__nand2_1 g441819(.A (n_2273), .B (n_2262), .Y
-       (n_2761));
-  sky130_fd_sc_hd__nand2_1 g441820(.A (n_2262), .B (n_2142), .Y
-       (n_2760));
-  sky130_fd_sc_hd__nand2_1 g441821(.A (n_2260), .B (n_2262), .Y
-       (n_2759));
-  sky130_fd_sc_hd__nand2_1 g441822(.A (n_2274), .B (n_2262), .Y
-       (n_2758));
-  sky130_fd_sc_hd__nand2_1 g441823(.A (n_2262), .B (n_2138), .Y
-       (n_2757));
-  sky130_fd_sc_hd__nand2_1 g441824(.A (n_2273), .B (n_2257), .Y
-       (n_2756));
-  sky130_fd_sc_hd__nand2_1 g441825(.A (n_2260), .B (n_2280), .Y
-       (n_2755));
-  sky130_fd_sc_hd__nand2_1 g441826(.A (n_2280), .B (n_2258), .Y
-       (n_2754));
-  sky130_fd_sc_hd__nand2_1 g441827(.A (n_2280), .B (n_2259), .Y
-       (n_2753));
-  sky130_fd_sc_hd__nand2_1 g441828(.A (n_2280), .B (n_2138), .Y
-       (n_2752));
-  sky130_fd_sc_hd__nand2_1 g441829(.A (n_2274), .B (n_2280), .Y
-       (n_2751));
-  sky130_fd_sc_hd__nand2_1 g441830(.A (n_2280), .B (n_2142), .Y
-       (n_2750));
-  sky130_fd_sc_hd__nand2_1 g441831(.A (n_2273), .B (n_2280), .Y
-       (n_2749));
-  sky130_fd_sc_hd__nand2_1 g441832(.A (n_2275), .B (n_2141), .Y
-       (n_2748));
-  sky130_fd_sc_hd__nand2_1 g441833(.A (n_2265), .B (n_2141), .Y
-       (n_2747));
-  sky130_fd_sc_hd__nand2_1 g441834(.A (n_2268), .B (n_2265), .Y
-       (n_2746));
-  sky130_fd_sc_hd__nand2_1 g441835(.A (n_2275), .B (n_2268), .Y
-       (n_2745));
-  sky130_fd_sc_hd__nand2_1 g441836(.A (n_2271), .B (n_2141), .Y
-       (n_2744));
-  sky130_fd_sc_hd__nand2_1 g441837(.A (n_2263), .B (n_2141), .Y
-       (n_2743));
-  sky130_fd_sc_hd__nand2_1 g441838(.A (n_2258), .B (n_2144), .Y
-       (n_2742));
-  sky130_fd_sc_hd__nand2_1 g441839(.A (n_2263), .B (n_2268), .Y
-       (n_2741));
-  sky130_fd_sc_hd__nand2_1 g441840(.A (n_2268), .B (n_2271), .Y
-       (n_2740));
-  sky130_fd_sc_hd__nand2_1 g441841(.A (n_2275), .B (n_2279), .Y
-       (n_2739));
-  sky130_fd_sc_hd__nand2_1 g441842(.A (n_2279), .B (n_2265), .Y
-       (n_2738));
-  sky130_fd_sc_hd__nand2_1 g441843(.A (n_2274), .B (n_2143), .Y
-       (n_2737));
-  sky130_fd_sc_hd__nand2_1 g441844(.A (n_2278), .B (n_2269), .Y
-       (n_2736));
-  sky130_fd_sc_hd__nand2_1 g441845(.A (n_2267), .B (n_2142), .Y
-       (n_2735));
-  sky130_fd_sc_hd__nand2_1 g441846(.A (n_2257), .B (n_2258), .Y
-       (n_2734));
-  sky130_fd_sc_hd__nand2_1 g441847(.A (n_2277), .B (n_2138), .Y
-       (n_2733));
-  sky130_fd_sc_hd__nand2_1 g441848(.A (n_2277), .B (n_2142), .Y
-       (n_2732));
-  sky130_fd_sc_hd__nand2_1 g441849(.A (n_2277), .B (n_2258), .Y
-       (n_2731));
-  sky130_fd_sc_hd__nand2_1 g441850(.A (n_2273), .B (n_2277), .Y
-       (n_2730));
-  sky130_fd_sc_hd__nand2_1 g441851(.A (n_2274), .B (n_2277), .Y
-       (n_2729));
-  sky130_fd_sc_hd__nand2_1 g441852(.A (n_2277), .B (n_2259), .Y
-       (n_2728));
-  sky130_fd_sc_hd__nand2_1 g441853(.A (n_2260), .B (n_2277), .Y
-       (n_2727));
-  sky130_fd_sc_hd__nand2_1 g441854(.A (n_2263), .B (n_2279), .Y
-       (n_2726));
-  sky130_fd_sc_hd__nand2_1 g441855(.A (n_2279), .B (n_2271), .Y
-       (n_2725));
-  sky130_fd_sc_hd__nand2_1 g441856(.A (n_2273), .B (n_2143), .Y
-       (n_2724));
-  sky130_fd_sc_hd__nor2_1 g441857(.A (n_1861), .B (n_2239), .Y
-       (n_2723));
-  sky130_fd_sc_hd__nand2_1 g441858(.A (n_2267), .B (n_2138), .Y
-       (n_2722));
-  sky130_fd_sc_hd__nand2_1 g441859(.A (n_2269), .B (n_2139), .Y
-       (n_2721));
-  sky130_fd_sc_hd__nand2_1 g441860(.A (n_2270), .B (n_2265), .Y
-       (n_2720));
-  sky130_fd_sc_hd__nand2_1 g441861(.A (n_2275), .B (n_2270), .Y
-       (n_2719));
-  sky130_fd_sc_hd__nand2_1 g441862(.A (n_2263), .B (n_2270), .Y
-       (n_2718));
-  sky130_fd_sc_hd__nand2_1 g441863(.A (n_2270), .B (n_2271), .Y
-       (n_2717));
-  sky130_fd_sc_hd__nand2_1 g441864(.A (n_2143), .B (n_2258), .Y
-       (n_2716));
-  sky130_fd_sc_hd__nand2_1 g441865(.A (n_2274), .B (n_2141), .Y
-       (n_2715));
-  sky130_fd_sc_hd__nand2_1 g441866(.A (n_2274), .B (n_2270), .Y
-       (n_2714));
-  sky130_fd_sc_hd__nand2_1 g441867(.A (n_2274), .B (n_2278), .Y
-       (n_2713));
-  sky130_fd_sc_hd__nand2_1 g441868(.A (n_2274), .B (n_2279), .Y
-       (n_2712));
-  sky130_fd_sc_hd__nand2_1 g441869(.A (n_2274), .B (n_2139), .Y
-       (n_2711));
-  sky130_fd_sc_hd__nand2_1 g441870(.A (n_2274), .B (n_2140), .Y
-       (n_2710));
-  sky130_fd_sc_hd__nand2_1 g441871(.A (n_2274), .B (n_2145), .Y
-       (n_2709));
-  sky130_fd_sc_hd__nand2_1 g441872(.A (n_2274), .B (n_2268), .Y
-       (n_2708));
-  sky130_fd_sc_hd__nor2_1 g441873(.A (n_1861), .B (n_2234), .Y
-       (n_2707));
-  sky130_fd_sc_hd__nor2_1 g441874(.A (n_1862), .B (n_2247), .Y
-       (n_2706));
-  sky130_fd_sc_hd__nand2_1 g441875(.A (n_2269), .B (n_2145), .Y
-       (n_2705));
-  sky130_fd_sc_hd__nand2_1 g441876(.A (n_2140), .B (n_2269), .Y
-       (n_2704));
-  sky130_fd_sc_hd__nand2_1 g441877(.A (n_2273), .B (n_2279), .Y
-       (n_2703));
-  sky130_fd_sc_hd__nand2_1 g441878(.A (n_2273), .B (n_2140), .Y
-       (n_2702));
-  sky130_fd_sc_hd__nand2_1 g441879(.A (n_2273), .B (n_2278), .Y
-       (n_2701));
-  sky130_fd_sc_hd__nand2_1 g441880(.A (n_2273), .B (n_2145), .Y
-       (n_2700));
-  sky130_fd_sc_hd__nand2_1 g441881(.A (n_2273), .B (n_2141), .Y
-       (n_2699));
-  sky130_fd_sc_hd__nand2_1 g441882(.A (n_2273), .B (n_2268), .Y
-       (n_2698));
-  sky130_fd_sc_hd__nand2_1 g441883(.A (n_2273), .B (n_2270), .Y
-       (n_2697));
-  sky130_fd_sc_hd__nand2_1 g441884(.A (n_2273), .B (n_2139), .Y
-       (n_2696));
-  sky130_fd_sc_hd__nand2_1 g441885(.A (n_2261), .B (n_2141), .Y
-       (n_2695));
-  sky130_fd_sc_hd__nand2_1 g441886(.A (n_2261), .B (n_2268), .Y
-       (n_2694));
-  sky130_fd_sc_hd__nand2_1 g441887(.A (n_2261), .B (n_2279), .Y
-       (n_2693));
-  sky130_fd_sc_hd__nor2_1 g441888(.A (n_1861), .B (n_2237), .Y
-       (n_2692));
-  sky130_fd_sc_hd__nor2_1 g441889(.A (n_1862), .B (n_2249), .Y
-       (n_2691));
-  sky130_fd_sc_hd__nand2_1 g441890(.A (n_2258), .B (n_2139), .Y
-       (n_2690));
-  sky130_fd_sc_hd__nand2_1 g441891(.A (n_2140), .B (n_2258), .Y
-       (n_2689));
-  sky130_fd_sc_hd__nand2_1 g441892(.A (n_2258), .B (n_2141), .Y
-       (n_2688));
-  sky130_fd_sc_hd__nand2_1 g441893(.A (n_2268), .B (n_2258), .Y
-       (n_2687));
-  sky130_fd_sc_hd__nand2_1 g441894(.A (n_2279), .B (n_2258), .Y
-       (n_2686));
-  sky130_fd_sc_hd__nand2_1 g441895(.A (n_2278), .B (n_2258), .Y
-       (n_2685));
-  sky130_fd_sc_hd__nand2_1 g441896(.A (n_2258), .B (n_2145), .Y
-       (n_2684));
-  sky130_fd_sc_hd__nor2_1 g441901(.A (n_1776), .B (n_2284), .Y
-       (n_2683));
-  sky130_fd_sc_hd__nand2_1 g441902(.A (n_2169), .B (n_1553), .Y
-       (n_2681));
-  sky130_fd_sc_hd__nand2_1 g441903(.A (n_1223), .B (n_2243), .Y
-       (n_2680));
-  sky130_fd_sc_hd__nand2_1 g441904(.A (n_1223), .B (n_2244), .Y
-       (n_2678));
-  sky130_fd_sc_hd__nand2_1 g441905(.A (n_1223), .B (n_2241), .Y
-       (n_2676));
-  sky130_fd_sc_hd__nand2_1 g441906(.A (n_1223), .B (n_2242), .Y
-       (n_2674));
-  sky130_fd_sc_hd__clkinv_1 g441908(.A (n_2600), .Y (n_2601));
-  sky130_fd_sc_hd__inv_1 g441909(.A (n_2563), .Y (n_2564));
-  sky130_fd_sc_hd__inv_1 g441910(.A (n_2542), .Y (n_2541));
-  sky130_fd_sc_hd__clkinv_1 g441911(.A (n_2540), .Y (n_2539));
-  sky130_fd_sc_hd__inv_2 g441912(.A (n_2538), .Y (n_2537));
-  sky130_fd_sc_hd__inv_2 g441913(.A (n_2420), .Y (n_2419));
-  sky130_fd_sc_hd__inv_2 g441914(.A (n_2418), .Y (n_2417));
-  sky130_fd_sc_hd__inv_2 g441915(.A (n_2414), .Y (n_2413));
-  sky130_fd_sc_hd__a22oi_1 g441916(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[12]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[12]), .Y (n_2410));
-  sky130_fd_sc_hd__a22o_1 g441917(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[9]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[9]), .X (n_2409));
-  sky130_fd_sc_hd__a22oi_1 g441918(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[20]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[20]), .Y (n_2408));
-  sky130_fd_sc_hd__nand2_1 g441919(.A (n_2289), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_2407));
-  sky130_fd_sc_hd__a22oi_1 g441920(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[27]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[27]), .Y (n_2406));
-  sky130_fd_sc_hd__nor3_1 g441921(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_1510), .C
-       (n_2305), .Y (n_2405));
-  sky130_fd_sc_hd__a22oi_1 g441922(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .Y (n_2404));
-  sky130_fd_sc_hd__a22oi_1 g441923(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .Y (n_2403));
-  sky130_fd_sc_hd__a21oi_1 g441924(.A1 (n_1742), .A2 (n_1617), .B1
-       (n_1927), .Y (n_2402));
-  sky130_fd_sc_hd__a22o_1 g441925(.A1 (n_1741), .A2 (n_1479), .B1
-       (n_1742), .B2 (n_1582), .X (n_2401));
-  sky130_fd_sc_hd__a22oi_1 g441926(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[28]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[28]), .Y (n_2400));
-  sky130_fd_sc_hd__a21oi_1 g441927(.A1 (n_1742), .A2 (n_1602), .B1
-       (n_1930), .Y (n_2399));
-  sky130_fd_sc_hd__a22oi_1 g441928(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[12]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[12]), .Y (n_2398));
-  sky130_fd_sc_hd__a21oi_1 g441929(.A1 (n_1759), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B1 (n_522), .Y (n_2397));
-  sky130_fd_sc_hd__o31ai_1 g441930(.A1 (n_1047), .A2 (n_1461), .A3
-       (n_1541), .B1 (n_1729), .Y (n_2396));
-  sky130_fd_sc_hd__a22o_1 g441931(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[11]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[11]), .X (n_2395));
-  sky130_fd_sc_hd__o21ai_1 g441932(.A1 (n_1696), .A2 (n_1541), .B1
-       (n_1713), .Y (n_2394));
-  sky130_fd_sc_hd__o21ai_1 g441933(.A1 (n_1700), .A2 (n_1541), .B1
-       (n_1725), .Y (n_2393));
-  sky130_fd_sc_hd__a22oi_1 g441934(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[17]), .B1 (n_1413), .B2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]), .Y
-       (n_2392));
-  sky130_fd_sc_hd__a21o_1 g441935(.A1 (n_1068), .A2 (n_587), .B1
-       (n_2282), .X (n_2391));
-  sky130_fd_sc_hd__a21oi_1 g441936(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[11]), .B1 (n_1699), .Y (n_2390));
-  sky130_fd_sc_hd__o211ai_1 g441937(.A1 (n_1096), .A2 (n_1424), .B1
-       (n_1064), .C1 (n_1830), .Y (n_2389));
-  sky130_fd_sc_hd__a22o_1 g441938(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[13]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[13]), .X (n_2388));
-  sky130_fd_sc_hd__o21ai_1 g441939(.A1 (n_1730), .A2 (n_1541), .B1
-       (n_1723), .Y (n_2387));
-  sky130_fd_sc_hd__o21a_1 g441940(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2 (n_1921), .B1 (n_2296), .X (n_2386));
-  sky130_fd_sc_hd__o21a_1 g441941(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2 (n_1920), .B1 (n_2299), .X (n_2385));
-  sky130_fd_sc_hd__a22oi_1 g441942(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[22]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[22]), .Y (n_2384));
-  sky130_fd_sc_hd__a22oi_1 g441943(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[17]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[17]), .Y (n_2383));
-  sky130_fd_sc_hd__or4_1 g441944(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C (n_1428), .D
-       (n_13473), .X (n_2382));
-  sky130_fd_sc_hd__a22o_1 g441945(.A1 (n_1875), .A2
-       (u_soc_u_iccm_rdata2[24]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[24]), .X (n_2381));
-  sky130_fd_sc_hd__o311ai_1 g441946(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2 (n_511), .A3 (n_1246), .B1 (n_1062), .C1 (n_1478), .Y
-       (n_2380));
-  sky130_fd_sc_hd__a222oi_1 g441947(.A1
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[4]), .A2 (n_1527),
-       .B1 (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[4]), .C1
-       (n_1513), .C2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]), .Y (n_2379));
-  sky130_fd_sc_hd__nand2_1 g441948(.A (n_2294), .B (n_1474), .Y
-       (n_2378));
-  sky130_fd_sc_hd__o21ai_1 g441949(.A1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2 (n_1082), .B1 (n_2294), .Y (n_2377));
-  sky130_fd_sc_hd__o21ai_1 g441950(.A1 (n_534), .A2 (n_1908), .B1
-       (n_1054), .Y (n_2376));
-  sky130_fd_sc_hd__a22o_1 g441951(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[25]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[25]), .X (n_2375));
-  sky130_fd_sc_hd__a22o_1 g441952(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[10]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[10]), .X (n_2374));
-  sky130_fd_sc_hd__nand2_1 g441953(.A (n_1434), .B (n_1966), .Y
-       (n_2373));
-  sky130_fd_sc_hd__o22ai_1 g441954(.A1
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .A2 (n_1739), .B1
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .B2 (n_1685), .Y
-       (n_2372));
-  sky130_fd_sc_hd__a22oi_1 g441955(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[8]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[8]), .Y (n_2371));
-  sky130_fd_sc_hd__a22oi_1 g441956(.A1 (n_1741), .A2 (n_1582), .B1
-       (n_1742), .B2 (n_1608), .Y (n_2370));
-  sky130_fd_sc_hd__a22oi_1 g441957(.A1 (n_1741), .A2 (n_1616), .B1
-       (n_1742), .B2 (n_1615), .Y (n_2369));
-  sky130_fd_sc_hd__a22oi_1 g441958(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .Y (n_2368));
-  sky130_fd_sc_hd__a22o_1 g441959(.A1 (n_1741), .A2 (n_1602), .B1
-       (n_1742), .B2 (n_1580), .X (n_2367));
-  sky130_fd_sc_hd__a22oi_1 g441960(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .Y (n_2366));
-  sky130_fd_sc_hd__a22o_1 g441961(.A1 (n_1741), .A2 (n_1617), .B1
-       (n_1742), .B2 (n_1595), .X (n_2365));
-  sky130_fd_sc_hd__o221ai_1 g441962(.A1 (n_494), .A2 (n_1427), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B2 (n_1426), .C1
-       (n_1385), .Y (n_2364));
-  sky130_fd_sc_hd__a22o_1 g441963(.A1 (n_1875), .A2
-       (u_soc_u_iccm_rdata2[28]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[28]), .X (n_2363));
-  sky130_fd_sc_hd__a22o_1 g441964(.A1 (n_1883), .A2
-       (u_soc_u_iccm_rdata4[27]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[27]), .X (n_2362));
-  sky130_fd_sc_hd__a22oi_1 g441965(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .Y (n_2361));
-  sky130_fd_sc_hd__a22o_1 g441966(.A1 (n_1875), .A2
-       (u_soc_u_iccm_rdata2[26]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[26]), .X (n_2360));
-  sky130_fd_sc_hd__nor3_1 g441967(.A
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_1491), .C
-       (n_1445), .Y (n_2359));
-  sky130_fd_sc_hd__a2bb2oi_1 g441968(.A1_N
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .A2_N (n_1089),
-       .B1 (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .B2 (n_1089),
-       .Y (n_2358));
-  sky130_fd_sc_hd__a22oi_1 g441969(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[13]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[13]), .Y (n_2357));
-  sky130_fd_sc_hd__a22oi_1 g441970(.A1 (n_1745), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .Y (n_2356));
-  sky130_fd_sc_hd__a22oi_1 g441971(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[21]), .B1 (n_1413), .B2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]), .Y
-       (n_2355));
-  sky130_fd_sc_hd__a22o_1 g441972(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[15]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[15]), .X (n_2354));
-  sky130_fd_sc_hd__a22o_1 g441973(.A1 (n_1875), .A2
-       (u_soc_u_iccm_rdata2[31]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[31]), .X (n_2353));
-  sky130_fd_sc_hd__a22o_1 g441974(.A1 (n_1875), .A2
-       (u_soc_u_iccm_rdata2[29]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[29]), .X (n_2352));
-  sky130_fd_sc_hd__a22oi_1 g441975(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .Y (n_2351));
-  sky130_fd_sc_hd__a22oi_1 g441976(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .Y (n_2350));
-  sky130_fd_sc_hd__a22o_1 g441977(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[12]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[12]), .X (n_2349));
-  sky130_fd_sc_hd__a22o_1 g441978(.A1 (n_1875), .A2
-       (u_soc_u_iccm_rdata2[30]), .B1 (n_1879), .B2
-       (u_soc_u_iccm_rdata1[30]), .X (n_2348));
-  sky130_fd_sc_hd__a22o_1 g441979(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[8]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[8]), .X (n_2347));
-  sky130_fd_sc_hd__a22oi_1 g441980(.A1 (n_1749), .A2
-       (u_soc_u_top_u_core_csr_mtvec[8]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[8]), .Y (n_2346));
-  sky130_fd_sc_hd__a22o_1 g441981(.A1 (n_1881), .A2
-       (u_soc_u_iccm_rdata2[14]), .B1 (n_1877), .B2
-       (u_soc_u_iccm_rdata1[14]), .X (n_2345));
-  sky130_fd_sc_hd__a22oi_1 g441982(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .B1
-       (n_1849), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]), .Y (n_2344));
-  sky130_fd_sc_hd__a22oi_1 g441983(.A1 (n_1852), .A2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]), .B1
-       (n_1753), .B2
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .Y (n_2343));
-  sky130_fd_sc_hd__a22oi_1 g441984(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[5]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[5]), .Y (n_2342));
-  sky130_fd_sc_hd__a22oi_1 g441985(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[6]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[6]), .Y (n_2341));
-  sky130_fd_sc_hd__a22oi_1 g441986(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[9]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[9]), .Y (n_2340));
-  sky130_fd_sc_hd__a22oi_1 g441987(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[10]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[10]), .Y (n_2339));
-  sky130_fd_sc_hd__a22oi_1 g441988(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[11]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[11]), .Y (n_2338));
-  sky130_fd_sc_hd__a22oi_1 g441989(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[13]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[13]), .Y (n_2337));
-  sky130_fd_sc_hd__a22oi_1 g441990(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[14]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[14]), .Y (n_2336));
-  sky130_fd_sc_hd__a22oi_1 g441991(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[15]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[15]), .Y (n_2335));
-  sky130_fd_sc_hd__a22oi_1 g441992(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[16]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[16]), .Y (n_2334));
-  sky130_fd_sc_hd__a22oi_1 g441993(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[18]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[18]), .Y (n_2333));
-  sky130_fd_sc_hd__a22oi_1 g441994(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[19]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[19]), .Y (n_2332));
-  sky130_fd_sc_hd__a22oi_1 g441995(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[21]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[21]), .Y (n_2331));
-  sky130_fd_sc_hd__a22oi_1 g441996(.A1 (n_1746), .A2
-       (\u_soc_xbar_to_dccm[a_address] [7]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .Y (n_2330));
-  sky130_fd_sc_hd__a22oi_1 g441997(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[23]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[23]), .Y (n_2329));
-  sky130_fd_sc_hd__a22oi_1 g441998(.A1 (n_1746), .A2
-       (\u_soc_xbar_to_dccm[a_address] [12]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .Y (n_2328));
-  sky130_fd_sc_hd__a22oi_1 g441999(.A1 (n_1746), .A2
-       (\u_soc_xbar_to_dccm[a_address] [8]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .Y (n_2327));
-  sky130_fd_sc_hd__a22oi_1 g442000(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[24]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[24]), .Y (n_2326));
-  sky130_fd_sc_hd__a22oi_1 g442001(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[25]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[25]), .Y (n_2325));
-  sky130_fd_sc_hd__a22oi_1 g442002(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[26]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[26]), .Y (n_2324));
-  sky130_fd_sc_hd__a22oi_1 g442003(.A1 (n_1746), .A2
-       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .Y (n_2323));
-  sky130_fd_sc_hd__a22oi_1 g442004(.A1 (n_1746), .A2
-       (\u_soc_xbar_to_dccm[a_address] [10]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .Y (n_2322));
-  sky130_fd_sc_hd__a22oi_1 g442005(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[29]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[29]), .Y (n_2321));
-  sky130_fd_sc_hd__a22oi_1 g442006(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[30]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[30]), .Y (n_2320));
-  sky130_fd_sc_hd__a22o_1 g442007(.A1 (n_1760), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]), .B1 (n_1757), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [1]), .X (n_2319));
-  sky130_fd_sc_hd__a22oi_1 g442008(.A1 (n_1746), .A2
-       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .Y (n_2318));
-  sky130_fd_sc_hd__a22o_1 g442009(.A1 (n_1760), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [2]), .B1 (n_1757), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [2]), .X (n_2317));
-  sky130_fd_sc_hd__a22oi_1 g442010(.A1 (n_1746), .A2
-       (\u_soc_lsu_to_xbar[a_address] [25]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .Y (n_2316));
-  sky130_fd_sc_hd__a22oi_1 g442011(.A1 (n_1745), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .Y (n_2315));
-  sky130_fd_sc_hd__a22oi_1 g442012(.A1 (n_1746), .A2
-       (\u_soc_lsu_to_xbar[a_address] [27]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .Y (n_2314));
-  sky130_fd_sc_hd__a22oi_1 g442013(.A1 (n_1746), .A2
-       (\u_soc_lsu_to_xbar[a_address] [28]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .Y (n_2313));
-  sky130_fd_sc_hd__a22oi_1 g442014(.A1 (n_1745), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .Y (n_2312));
-  sky130_fd_sc_hd__a22oi_1 g442015(.A1 (n_1850), .A2
-       (u_soc_u_top_u_core_pc_id[7]), .B1 (n_1851), .B2
-       (u_soc_u_top_u_core_pc_if[7]), .Y (n_2311));
-  sky130_fd_sc_hd__a22o_1 g442016(.A1 (n_1760), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [4]), .B1 (n_1757), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [4]), .X (n_2310));
-  sky130_fd_sc_hd__a22o_1 g442017(.A1 (n_1760), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [3]), .B1 (n_1757), .B2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [3]), .X (n_2309));
-  sky130_fd_sc_hd__a22oi_1 g442018(.A1 (n_1745), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .B1 (n_13380), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .Y (n_2308));
-  sky130_fd_sc_hd__a22oi_1 g442019(.A1 (n_1741), .A2 (n_1570), .B1
-       (n_1742), .B2 (n_1568), .Y (n_2610));
-  sky130_fd_sc_hd__a22oi_1 g442020(.A1 (n_1741), .A2 (n_1587), .B1
-       (n_1742), .B2 (n_1623), .Y (n_2609));
-  sky130_fd_sc_hd__nor3_1 g442021(.A (n_1052), .B (n_1742), .C
-       (n_1744), .Y (n_2608));
-  sky130_fd_sc_hd__nor3b_1 g442023(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]), .B (n_1446),
-       .C_N (n_2160), .Y (n_2605));
-  sky130_fd_sc_hd__o21ai_1 g442024(.A1 (n_13352), .A2 (n_1782), .B1
-       (n_13371), .Y (n_2604));
-  sky130_fd_sc_hd__nand3_1 g442025(.A (n_1743), .B (n_1741), .C
-       (n_1548), .Y (n_2603));
-  sky130_fd_sc_hd__a22oi_1 g442026(.A1 (n_1741), .A2 (n_1572), .B1
-       (n_1742), .B2 (n_1570), .Y (n_2602));
-  sky130_fd_sc_hd__o21ai_1 g442027(.A1 (n_1457), .A2 (n_1742), .B1
-       (n_2167), .Y (n_2600));
-  sky130_fd_sc_hd__a22oi_1 g442028(.A1 (n_1741), .A2 (n_1584), .B1
-       (n_1742), .B2 (n_1586), .Y (n_2599));
-  sky130_fd_sc_hd__a22oi_1 g442029(.A1 (n_1741), .A2 (n_1620), .B1
-       (n_1742), .B2 (n_1574), .Y (n_2598));
-  sky130_fd_sc_hd__a22oi_1 g442030(.A1 (n_1741), .A2 (n_1615), .B1
-       (n_1742), .B2 (n_1622), .Y (n_2597));
-  sky130_fd_sc_hd__a22oi_1 g442031(.A1 (n_1741), .A2 (n_1566), .B1
-       (n_1742), .B2 (n_1583), .Y (n_2596));
-  sky130_fd_sc_hd__a22oi_1 g442032(.A1 (n_1741), .A2 (n_1613), .B1
-       (n_1742), .B2 (n_1591), .Y (n_2595));
-  sky130_fd_sc_hd__a22oi_1 g442033(.A1 (n_1741), .A2 (n_1606), .B1
-       (n_1742), .B2 (n_1611), .Y (n_2594));
-  sky130_fd_sc_hd__a22oi_1 g442034(.A1 (n_1741), .A2 (n_1623), .B1
-       (n_1742), .B2 (n_1601), .Y (n_2593));
-  sky130_fd_sc_hd__a22oi_1 g442035(.A1 (n_1741), .A2 (n_1589), .B1
-       (n_1742), .B2 (n_1610), .Y (n_2592));
-  sky130_fd_sc_hd__a22oi_1 g442036(.A1 (n_1742), .A2 (n_15925), .B1
-       (n_1741), .B2 (n_1609), .Y (n_2591));
-  sky130_fd_sc_hd__a22oi_1 g442037(.A1 (n_1741), .A2 (n_1588), .B1
-       (n_1742), .B2 (n_1587), .Y (n_2590));
-  sky130_fd_sc_hd__a22oi_1 g442038(.A1 (n_1741), .A2 (n_1604), .B1
-       (n_1742), .B2 (n_1607), .Y (n_2589));
-  sky130_fd_sc_hd__a22oi_1 g442039(.A1 (n_1741), .A2 (n_1614), .B1
-       (n_1742), .B2 (n_1579), .Y (n_2588));
-  sky130_fd_sc_hd__a22oi_1 g442040(.A1 (n_1741), .A2 (n_1583), .B1
-       (n_1742), .B2 (n_1618), .Y (n_2587));
-  sky130_fd_sc_hd__a22oi_1 g442041(.A1 (n_1741), .A2 (n_1591), .B1
-       (n_1742), .B2 (n_1566), .Y (n_2586));
-  sky130_fd_sc_hd__a22oi_1 g442042(.A1 (n_1741), .A2 (n_1579), .B1
-       (n_1742), .B2 (n_1456), .Y (n_2585));
-  sky130_fd_sc_hd__a22oi_1 g442043(.A1 (n_1741), .A2 (n_1622), .B1
-       (n_1742), .B2 (n_1620), .Y (n_2584));
-  sky130_fd_sc_hd__nand3_1 g442044(.A (n_1778), .B (n_13325), .C
-       (n_641), .Y (n_2583));
-  sky130_fd_sc_hd__a22oi_1 g442045(.A1 (n_1741), .A2 (n_1574), .B1
-       (n_1742), .B2 (n_1584), .Y (n_2582));
-  sky130_fd_sc_hd__a22o_1 g442046(.A1 (n_1741), .A2 (n_1595), .B1
-       (n_1742), .B2 (n_1600), .X (n_2581));
-  sky130_fd_sc_hd__a22oi_1 g442047(.A1 (n_1741), .A2 (n_1611), .B1
-       (n_1742), .B2 (n_1589), .Y (n_2580));
-  sky130_fd_sc_hd__a22oi_1 g442048(.A1 (n_1741), .A2 (n_1601), .B1
-       (n_1742), .B2 (n_1606), .Y (n_2579));
-  sky130_fd_sc_hd__a22oi_1 g442049(.A1 (n_1741), .A2 (n_1610), .B1
-       (n_1742), .B2 (n_1609), .Y (n_2578));
-  sky130_fd_sc_hd__a22oi_1 g442050(.A1 (n_1741), .A2 (n_1621), .B1
-       (n_1742), .B2 (n_1604), .Y (n_2577));
-  sky130_fd_sc_hd__a22oi_1 g442051(.A1 (n_1741), .A2 (n_1598), .B1
-       (n_1742), .B2 (n_1596), .Y (n_2576));
-  sky130_fd_sc_hd__a22oi_1 g442052(.A1 (n_1741), .A2 (n_1573), .B1
-       (n_1742), .B2 (n_1598), .Y (n_2575));
-  sky130_fd_sc_hd__and2_1 g442053(.A (n_2169), .B (n_1427), .X
-       (n_2307));
-  sky130_fd_sc_hd__a22oi_1 g442054(.A1 (n_1741), .A2 (n_1569), .B1
-       (n_1742), .B2 (n_1573), .Y (n_2574));
-  sky130_fd_sc_hd__a22oi_1 g442055(.A1 (n_1741), .A2 (n_1571), .B1
-       (n_1742), .B2 (n_1569), .Y (n_2573));
-  sky130_fd_sc_hd__a22oi_1 g442056(.A1 (n_1741), .A2 (n_1576), .B1
-       (n_1742), .B2 (n_1575), .Y (n_2572));
-  sky130_fd_sc_hd__a22oi_1 g442057(.A1 (n_1741), .A2 (n_1593), .B1
-       (n_1742), .B2 (n_1605), .Y (n_2571));
-  sky130_fd_sc_hd__a22oi_1 g442058(.A1 (n_1741), .A2 (n_1590), .B1
-       (n_1742), .B2 (n_1592), .Y (n_2570));
-  sky130_fd_sc_hd__a22oi_1 g442059(.A1 (n_1741), .A2 (n_1577), .B1
-       (n_1742), .B2 (n_1593), .Y (n_2569));
-  sky130_fd_sc_hd__a22oi_1 g442060(.A1 (n_1741), .A2 (n_1625), .B1
-       (n_1742), .B2 (n_1578), .Y (n_2568));
-  sky130_fd_sc_hd__a22o_1 g442061(.A1 (n_1741), .A2 (n_1603), .B1
-       (n_1742), .B2 (n_1581), .X (n_2567));
-  sky130_fd_sc_hd__a22o_1 g442062(.A1 (n_1741), .A2 (n_1600), .B1
-       (n_1742), .B2 (n_1625), .X (n_2566));
-  sky130_fd_sc_hd__a22o_1 g442063(.A1 (n_1741), .A2 (n_1580), .B1
-       (n_1742), .B2 (n_1603), .X (n_2565));
-  sky130_fd_sc_hd__nand3b_1 g442064(.A_N (n_1909), .B (n_1432), .C
-       (io_out[37]), .Y (n_2306));
-  sky130_fd_sc_hd__a22oi_1 g442065(.A1 (n_1754), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .B1 (n_1755), .B2
-       (n_16012), .Y (n_2563));
-  sky130_fd_sc_hd__a22oi_1 g442066(.A1 (n_1741), .A2 (n_1599), .B1
-       (n_1742), .B2 (n_1619), .Y (n_2562));
-  sky130_fd_sc_hd__a22o_1 g442067(.A1 (n_1741), .A2 (n_1608), .B1
-       (n_1742), .B2 (n_1621), .X (n_2561));
-  sky130_fd_sc_hd__a22oi_1 g442068(.A1 (n_1741), .A2 (n_1586), .B1
-       (n_1742), .B2 (n_1613), .Y (n_2560));
-  sky130_fd_sc_hd__a22oi_1 g442069(.A1 (n_1741), .A2 (n_1618), .B1
-       (n_1742), .B2 (n_1614), .Y (n_2559));
-  sky130_fd_sc_hd__a22oi_1 g442070(.A1 (n_1741), .A2 (n_1578), .B1
-       (n_1742), .B2 (n_1590), .Y (n_2558));
-  sky130_fd_sc_hd__a22oi_1 g442071(.A1 (n_1741), .A2 (n_1581), .B1
-       (n_1742), .B2 (n_1577), .Y (n_2557));
-  sky130_fd_sc_hd__a22oi_1 g442072(.A1 (n_1741), .A2 (n_1567), .B1
-       (n_1742), .B2 (n_1585), .Y (n_2556));
-  sky130_fd_sc_hd__a22oi_1 g442073(.A1 (n_1741), .A2 (n_1605), .B1
-       (n_1742), .B2 (n_1576), .Y (n_2555));
-  sky130_fd_sc_hd__a22oi_1 g442074(.A1 (n_1741), .A2 (n_1592), .B1
-       (n_1742), .B2 (n_1599), .Y (n_2554));
-  sky130_fd_sc_hd__a22oi_1 g442075(.A1 (n_1741), .A2 (n_1568), .B1
-       (n_1742), .B2 (n_1567), .Y (n_2553));
-  sky130_fd_sc_hd__a22oi_1 g442076(.A1 (n_1741), .A2 (n_1619), .B1
-       (n_1742), .B2 (n_1572), .Y (n_2552));
-  sky130_fd_sc_hd__a22oi_1 g442077(.A1 (n_1741), .A2 (n_1607), .B1
-       (n_1742), .B2 (n_1588), .Y (n_2551));
-  sky130_fd_sc_hd__a22oi_1 g442078(.A1 (n_1741), .A2 (n_1575), .B1
-       (n_1742), .B2 (n_1571), .Y (n_2550));
-  sky130_fd_sc_hd__o22ai_1 g442079(.A1 (n_1597), .A2 (n_1742), .B1
-       (n_1052), .B2 (n_1741), .Y (n_2549));
-  sky130_fd_sc_hd__nand3_1 g442080(.A
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B (n_1778),
-       .C (n_641), .Y (n_2548));
-  sky130_fd_sc_hd__a22oi_1 g442081(.A1 (n_1741), .A2 (n_1585), .B1
-       (n_1742), .B2 (n_1548), .Y (n_2547));
-  sky130_fd_sc_hd__nand3b_1 g442082(.A_N (n_13479), .B (n_1736), .C
-       (n_1166), .Y (n_2546));
-  sky130_fd_sc_hd__nand2_1 g442083(.A (n_2154), .B (io_out[37]), .Y
-       (n_2545));
-  sky130_fd_sc_hd__or3_1 g442084(.A (n_471), .B (u_soc_u_top_data_we),
-       .C (n_1762), .X (n_2544));
-  sky130_fd_sc_hd__or3_1 g442085(.A (n_471), .B (u_soc_u_top_data_we),
-       .C (n_1761), .X (n_2543));
-  sky130_fd_sc_hd__a211oi_1 g442086(.A1 (n_1311), .A2 (n_1018), .B1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .C1 (n_1464), .Y (n_2542));
-  sky130_fd_sc_hd__nand3_1 g442087(.A (n_1787), .B
-       (u_soc_u_tcam_rvalid_o), .C (n_641), .Y (n_2540));
-  sky130_fd_sc_hd__nand3_1 g442088(.A (n_15884), .B
-       (u_soc_dccm_adapter_rvalid_o), .C (n_641), .Y (n_2538));
-  sky130_fd_sc_hd__nand3_1 g442089(.A (n_1710), .B (n_487), .C
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_2536));
-  sky130_fd_sc_hd__nand3_1 g442090(.A (n_1709), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .C
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_2535));
-  sky130_fd_sc_hd__nor4_1 g442091(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .C (n_1477), .D (n_1429), .Y (n_2534));
-  sky130_fd_sc_hd__nor2_1 g442092(.A (n_1857), .B (n_2255), .Y
-       (n_2533));
-  sky130_fd_sc_hd__nor2_1 g442093(.A (n_1859), .B (n_2011), .Y
-       (n_2532));
-  sky130_fd_sc_hd__nor2_1 g442094(.A (n_1859), .B (n_2233), .Y
-       (n_2531));
-  sky130_fd_sc_hd__nor2_1 g442095(.A (n_1859), .B (n_2137), .Y
-       (n_2530));
-  sky130_fd_sc_hd__nor2_1 g442096(.A (n_1865), .B (n_2006), .Y
-       (n_2529));
-  sky130_fd_sc_hd__nor2_1 g442097(.A (n_1865), .B (n_2239), .Y
-       (n_2528));
-  sky130_fd_sc_hd__nor2_1 g442098(.A (n_1865), .B (n_2137), .Y
-       (n_2527));
-  sky130_fd_sc_hd__nand2_1 g442099(.A (n_2263), .B (n_2257), .Y
-       (n_2526));
-  sky130_fd_sc_hd__nand2_1 g442100(.A (n_2143), .B (n_2271), .Y
-       (n_2525));
-  sky130_fd_sc_hd__nand2_1 g442101(.A (n_2271), .B (n_2144), .Y
-       (n_2524));
-  sky130_fd_sc_hd__nand2_1 g442102(.A (n_2263), .B (n_2143), .Y
-       (n_2523));
-  sky130_fd_sc_hd__nand2_1 g442103(.A (n_2257), .B (n_2271), .Y
-       (n_2522));
-  sky130_fd_sc_hd__nand2_1 g442104(.A (n_2263), .B (n_2144), .Y
-       (n_2521));
-  sky130_fd_sc_hd__nor2_1 g442105(.A (n_1857), .B (n_2012), .Y
-       (n_2520));
-  sky130_fd_sc_hd__nand2_1 g442106(.A (n_2278), .B (n_2142), .Y
-       (n_2519));
-  sky130_fd_sc_hd__nand2_1 g442107(.A (n_2140), .B (n_2142), .Y
-       (n_2518));
-  sky130_fd_sc_hd__nand2_1 g442108(.A (n_2142), .B (n_2139), .Y
-       (n_2517));
-  sky130_fd_sc_hd__nand2_1 g442109(.A (n_2142), .B (n_2145), .Y
-       (n_2516));
-  sky130_fd_sc_hd__nand2_1 g442110(.A (n_2261), .B (n_2145), .Y
-       (n_2515));
-  sky130_fd_sc_hd__nand2_1 g442111(.A (n_2261), .B (n_2140), .Y
-       (n_2514));
-  sky130_fd_sc_hd__nand2_1 g442112(.A (n_2140), .B (n_2138), .Y
-       (n_2513));
-  sky130_fd_sc_hd__nand2_1 g442113(.A (n_2138), .B (n_2139), .Y
-       (n_2512));
-  sky130_fd_sc_hd__nand2_1 g442114(.A (n_2138), .B (n_2145), .Y
-       (n_2511));
-  sky130_fd_sc_hd__nand2_1 g442115(.A (n_2278), .B (n_2138), .Y
-       (n_2510));
-  sky130_fd_sc_hd__nor2_1 g442116(.A (n_1862), .B (n_2011), .Y
-       (n_2509));
-  sky130_fd_sc_hd__nand2_1 g442117(.A (n_2260), .B (n_2278), .Y
-       (n_2508));
-  sky130_fd_sc_hd__nand2_1 g442118(.A (n_2278), .B (n_2259), .Y
-       (n_2507));
-  sky130_fd_sc_hd__nand2_1 g442119(.A (n_2261), .B (n_2144), .Y
-       (n_2506));
-  sky130_fd_sc_hd__nand2_1 g442120(.A (n_2260), .B (n_2144), .Y
-       (n_2505));
-  sky130_fd_sc_hd__nand2_1 g442121(.A (n_2259), .B (n_2144), .Y
-       (n_2504));
-  sky130_fd_sc_hd__nor2_1 g442122(.A (n_1857), .B (n_2233), .Y
-       (n_2503));
-  sky130_fd_sc_hd__nor2_1 g442123(.A (n_1857), .B (n_2011), .Y
-       (n_2502));
-  sky130_fd_sc_hd__nor2_1 g442124(.A (n_1857), .B (n_2137), .Y
-       (n_2501));
-  sky130_fd_sc_hd__nor2_1 g442125(.A (n_1862), .B (n_2137), .Y
-       (n_2500));
-  sky130_fd_sc_hd__nand2_1 g442126(.A (n_2260), .B (n_2139), .Y
-       (n_2499));
-  sky130_fd_sc_hd__nand2_1 g442127(.A (n_2259), .B (n_2139), .Y
-       (n_2498));
-  sky130_fd_sc_hd__nand2_1 g442128(.A (n_2261), .B (n_2257), .Y
-       (n_2497));
-  sky130_fd_sc_hd__nand2_1 g442129(.A (n_2260), .B (n_2257), .Y
-       (n_2496));
-  sky130_fd_sc_hd__nand2_1 g442130(.A (n_2257), .B (n_2259), .Y
-       (n_2495));
-  sky130_fd_sc_hd__nand2_1 g442131(.A (n_2261), .B (n_2143), .Y
-       (n_2494));
-  sky130_fd_sc_hd__nor2_1 g442132(.A (n_1861), .B (n_2238), .Y
-       (n_2493));
-  sky130_fd_sc_hd__nand2_1 g442133(.A (n_2259), .B (n_2145), .Y
-       (n_2492));
-  sky130_fd_sc_hd__nand2_1 g442134(.A (n_2260), .B (n_2145), .Y
-       (n_2491));
-  sky130_fd_sc_hd__nand2_1 g442135(.A (n_2143), .B (n_2259), .Y
-       (n_2490));
-  sky130_fd_sc_hd__nand2_1 g442136(.A (n_2260), .B (n_2143), .Y
-       (n_2489));
-  sky130_fd_sc_hd__nand2_1 g442137(.A (n_2260), .B (n_2140), .Y
-       (n_2488));
-  sky130_fd_sc_hd__nand2_1 g442138(.A (n_2140), .B (n_2259), .Y
-       (n_2487));
-  sky130_fd_sc_hd__nor2_1 g442139(.A (n_1862), .B (n_2012), .Y
-       (n_2486));
-  sky130_fd_sc_hd__nor2_1 g442140(.A (n_1861), .B (n_2004), .Y
-       (n_2485));
-  sky130_fd_sc_hd__nor2_1 g442141(.A (n_1861), .B (n_2007), .Y
-       (n_2484));
-  sky130_fd_sc_hd__nand2_1 g442142(.A (n_2263), .B (n_2278), .Y
-       (n_2483));
-  sky130_fd_sc_hd__nand2_1 g442143(.A (n_2278), .B (n_2271), .Y
-       (n_2482));
-  sky130_fd_sc_hd__nand2_1 g442144(.A (n_2275), .B (n_2139), .Y
-       (n_2481));
-  sky130_fd_sc_hd__nand2_1 g442145(.A (n_2265), .B (n_2139), .Y
-       (n_2480));
-  sky130_fd_sc_hd__nor2_1 g442146(.A (n_1861), .B (n_2006), .Y
-       (n_2479));
-  sky130_fd_sc_hd__nand2_1 g442147(.A (n_2260), .B (n_2141), .Y
-       (n_2478));
-  sky130_fd_sc_hd__nand2_1 g442148(.A (n_2138), .B (n_2141), .Y
-       (n_2477));
-  sky130_fd_sc_hd__nand2_1 g442149(.A (n_2142), .B (n_2141), .Y
-       (n_2476));
-  sky130_fd_sc_hd__nand2_1 g442150(.A (n_2259), .B (n_2141), .Y
-       (n_2475));
-  sky130_fd_sc_hd__nand2_1 g442151(.A (n_2142), .B (n_2144), .Y
-       (n_2474));
-  sky130_fd_sc_hd__nand2_1 g442152(.A (n_2275), .B (n_2267), .Y
-       (n_2473));
-  sky130_fd_sc_hd__nand2_1 g442153(.A (n_2263), .B (n_2267), .Y
-       (n_2472));
-  sky130_fd_sc_hd__nand2_1 g442154(.A (n_2261), .B (n_2267), .Y
-       (n_2471));
-  sky130_fd_sc_hd__nand2_1 g442155(.A (n_2267), .B (n_2265), .Y
-       (n_2470));
-  sky130_fd_sc_hd__nand2_1 g442156(.A (n_2267), .B (n_2271), .Y
-       (n_2469));
-  sky130_fd_sc_hd__nand2_1 g442157(.A (n_2260), .B (n_2267), .Y
-       (n_2468));
-  sky130_fd_sc_hd__nand2_1 g442158(.A (n_2267), .B (n_2259), .Y
-       (n_2467));
-  sky130_fd_sc_hd__nand2_1 g442159(.A (n_2263), .B (n_2139), .Y
-       (n_2466));
-  sky130_fd_sc_hd__nand2_1 g442160(.A (n_2271), .B (n_2139), .Y
-       (n_2465));
-  sky130_fd_sc_hd__nand2_1 g442161(.A (n_2268), .B (n_2142), .Y
-       (n_2464));
-  sky130_fd_sc_hd__nand2_1 g442162(.A (n_2268), .B (n_2138), .Y
-       (n_2463));
-  sky130_fd_sc_hd__nand2_1 g442163(.A (n_2260), .B (n_2268), .Y
-       (n_2462));
-  sky130_fd_sc_hd__nand2_1 g442164(.A (n_2268), .B (n_2259), .Y
-       (n_2461));
-  sky130_fd_sc_hd__nor2_1 g442165(.A (n_1862), .B (n_2251), .Y
-       (n_2460));
-  sky130_fd_sc_hd__nor2_1 g442166(.A (n_1859), .B (n_2249), .Y
-       (n_2459));
-  sky130_fd_sc_hd__nor2_1 g442167(.A (n_1857), .B (n_2249), .Y
-       (n_2458));
-  sky130_fd_sc_hd__nand2_1 g442168(.A (n_2138), .B (n_2144), .Y
-       (n_2457));
-  sky130_fd_sc_hd__nand2_1 g442169(.A (n_2257), .B (n_2142), .Y
-       (n_2456));
-  sky130_fd_sc_hd__nand2_1 g442170(.A (n_2279), .B (n_2259), .Y
-       (n_2455));
-  sky130_fd_sc_hd__nand2_1 g442171(.A (n_2279), .B (n_2138), .Y
-       (n_2454));
-  sky130_fd_sc_hd__nand2_1 g442172(.A (n_2279), .B (n_2142), .Y
-       (n_2453));
-  sky130_fd_sc_hd__nand2_1 g442173(.A (n_2260), .B (n_2279), .Y
-       (n_2452));
-  sky130_fd_sc_hd__nand2_1 g442174(.A (n_2257), .B (n_2138), .Y
-       (n_2451));
-  sky130_fd_sc_hd__nor2_1 g442175(.A (n_1865), .B (n_2007), .Y
-       (n_2450));
-  sky130_fd_sc_hd__nand2_1 g442176(.A (n_2275), .B (n_2145), .Y
-       (n_2449));
-  sky130_fd_sc_hd__nand2_1 g442177(.A (n_2265), .B (n_2145), .Y
-       (n_2448));
-  sky130_fd_sc_hd__nand2_1 g442178(.A (n_2275), .B (n_2140), .Y
-       (n_2447));
-  sky130_fd_sc_hd__nand2_1 g442179(.A (n_2140), .B (n_2265), .Y
-       (n_2446));
-  sky130_fd_sc_hd__nor2_1 g442180(.A (n_1862), .B (n_2255), .Y
-       (n_2445));
-  sky130_fd_sc_hd__nand2_1 g442181(.A (n_2263), .B (n_2145), .Y
-       (n_2444));
-  sky130_fd_sc_hd__nand2_1 g442182(.A (n_2271), .B (n_2145), .Y
-       (n_2443));
-  sky130_fd_sc_hd__nand2_1 g442183(.A (n_2270), .B (n_2138), .Y
-       (n_2442));
-  sky130_fd_sc_hd__nand2_1 g442184(.A (n_2270), .B (n_2142), .Y
-       (n_2441));
-  sky130_fd_sc_hd__nand2_1 g442185(.A (n_2270), .B (n_2259), .Y
-       (n_2440));
-  sky130_fd_sc_hd__nand2_1 g442186(.A (n_2260), .B (n_2270), .Y
-       (n_2439));
-  sky130_fd_sc_hd__nand2_1 g442187(.A (n_2263), .B (n_2140), .Y
-       (n_2438));
-  sky130_fd_sc_hd__nand2_1 g442188(.A (n_2140), .B (n_2271), .Y
-       (n_2437));
-  sky130_fd_sc_hd__nand2_1 g442189(.A (n_2143), .B (n_2142), .Y
-       (n_2436));
-  sky130_fd_sc_hd__nor2_1 g442190(.A (n_1859), .B (n_2012), .Y
-       (n_2435));
-  sky130_fd_sc_hd__nor2_1 g442191(.A (n_1859), .B (n_2251), .Y
-       (n_2434));
-  sky130_fd_sc_hd__nor2_1 g442192(.A (n_1865), .B (n_2251), .Y
-       (n_2433));
-  sky130_fd_sc_hd__nand2_1 g442193(.A (n_2261), .B (n_2278), .Y
-       (n_2432));
-  sky130_fd_sc_hd__nand2_1 g442194(.A (n_2143), .B (n_2138), .Y
-       (n_2431));
-  sky130_fd_sc_hd__nand2_1 g442195(.A (n_2261), .B (n_2139), .Y
-       (n_2430));
-  sky130_fd_sc_hd__nand2_1 g442196(.A (n_2275), .B (n_2143), .Y
-       (n_2429));
-  sky130_fd_sc_hd__nand2_1 g442197(.A (n_2275), .B (n_2144), .Y
-       (n_2428));
-  sky130_fd_sc_hd__nand2_1 g442198(.A (n_2275), .B (n_2257), .Y
-       (n_2427));
-  sky130_fd_sc_hd__nand2_1 g442199(.A (n_2265), .B (n_2144), .Y
-       (n_2426));
-  sky130_fd_sc_hd__nand2_1 g442200(.A (n_2257), .B (n_2265), .Y
-       (n_2425));
-  sky130_fd_sc_hd__nand2_1 g442201(.A (n_2143), .B (n_2265), .Y
-       (n_2424));
-  sky130_fd_sc_hd__nor2_1 g442202(.A (n_1859), .B (n_2255), .Y
-       (n_2423));
-  sky130_fd_sc_hd__nor2_1 g442203(.A (n_1865), .B (n_2255), .Y
-       (n_2422));
-  sky130_fd_sc_hd__a211oi_1 g442204(.A1 (n_1494), .A2 (n_13342), .B1
-       (\u_soc_xbar_to_lsu[d_valid] ), .C1 (n_164), .Y (n_2421));
-  sky130_fd_sc_hd__nand3_1 g442205(.A (n_1768), .B (n_1373), .C
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .Y (n_2420));
-  sky130_fd_sc_hd__and3_1 g442206(.A (n_2292), .B (n_1381), .C
-       (n_13459), .X (n_2418));
-  sky130_fd_sc_hd__or2_2 g442207(.A
-       (u_soc_u_top_u_core_load_store_unit_i_data_we_q), .B (n_2213),
-       .X (n_2416));
-  sky130_fd_sc_hd__o21a_1 g442208(.A1 (n_1748), .A2 (n_1222), .B1
-       (n_2253), .X (n_2415));
-  sky130_fd_sc_hd__a2bb2o_1 g442209(.A1_N (n_577), .A2_N (n_1777), .B1
-       (n_577), .B2 (n_1777), .X (n_2414));
-  sky130_fd_sc_hd__nand4_1 g442210(.A (n_1532), .B (n_1432), .C
-       (io_out[37]), .D (\u_soc_xbar_to_dccm[a_address] [3]), .Y
-       (n_2412));
-  sky130_fd_sc_hd__or2_2 g442211(.A (n_1781), .B (n_1972), .X (n_2411));
-  sky130_fd_sc_hd__inv_1 g442212(.A (n_1448), .Y (n_2305));
-  sky130_fd_sc_hd__inv_2 g442213(.A (n_2303), .Y (n_2304));
-  sky130_fd_sc_hd__inv_1 g442214(.A (n_2291), .Y (n_2290));
-  sky130_fd_sc_hd__inv_2 g442215(.A (n_2289), .Y (n_2288));
-  sky130_fd_sc_hd__clkinv_1 g442216(.A (n_2287), .Y (n_2286));
-  sky130_fd_sc_hd__inv_2 g442217(.A (n_2285), .Y (n_2284));
-  sky130_fd_sc_hd__inv_2 g442218(.A (n_2283), .Y (n_2282));
-  sky130_fd_sc_hd__inv_2 g442219(.A (n_2256), .Y (n_2255));
-  sky130_fd_sc_hd__clkinv_1 g442220(.A (n_2254), .Y (n_2253));
-  sky130_fd_sc_hd__inv_2 g442221(.A (n_2252), .Y (n_2251));
-  sky130_fd_sc_hd__inv_2 g442222(.A (n_2250), .Y (n_2249));
-  sky130_fd_sc_hd__inv_2 g442223(.A (n_2248), .Y (n_2247));
-  sky130_fd_sc_hd__inv_2 g442224(.A (n_2240), .Y (n_2239));
-  sky130_fd_sc_hd__inv_2 g442225(.A (n_2237), .Y (n_2236));
-  sky130_fd_sc_hd__inv_2 g442226(.A (n_2235), .Y (n_2234));
-  sky130_fd_sc_hd__inv_2 g442227(.A (n_2233), .Y (n_2232));
-  sky130_fd_sc_hd__inv_2 g442228(.A (n_2229), .Y (n_2228));
-  sky130_fd_sc_hd__inv_2 g442230(.A (n_2222), .Y (n_2221));
-  sky130_fd_sc_hd__inv_2 g442231(.A (n_2215), .Y (n_2214));
-  sky130_fd_sc_hd__nor2_1 g442232(.A (n_13491), .B (n_1781), .Y
-       (n_2213));
-  sky130_fd_sc_hd__nand2_1 g442260(.A (n_1744), .B (n_1548), .Y
-       (n_2212));
-  sky130_fd_sc_hd__nor2_1 g442265(.A (n_1616), .B (n_1741), .Y
-       (n_2211));
-  sky130_fd_sc_hd__nand2_1 g442266(.A (n_1746), .B
-       (\u_soc_lsu_to_xbar[a_address] [16]), .Y (n_2210));
-  sky130_fd_sc_hd__nand2_1 g442267(.A (n_1746), .B
-       (\u_soc_lsu_to_xbar[a_address] [18]), .Y (n_2209));
-  sky130_fd_sc_hd__nor2_1 g442268(.A (n_1773), .B (n_1251), .Y
-       (n_2208));
-  sky130_fd_sc_hd__nor2_1 g442269(.A (n_1764), .B (n_1250), .Y
-       (n_2207));
-  sky130_fd_sc_hd__nor2_1 g442270(.A (n_1765), .B (n_1250), .Y
-       (n_2206));
-  sky130_fd_sc_hd__nor2_1 g442271(.A (n_1770), .B (n_1250), .Y
-       (n_2205));
-  sky130_fd_sc_hd__nor2_1 g442272(.A (n_1774), .B (n_1250), .Y
-       (n_2204));
-  sky130_fd_sc_hd__nor2_1 g442273(.A (n_1772), .B (n_1250), .Y
-       (n_2203));
-  sky130_fd_sc_hd__nor2_1 g442274(.A (n_1763), .B (n_1250), .Y
-       (n_2202));
-  sky130_fd_sc_hd__nor2_1 g442275(.A (n_1766), .B (n_1251), .Y
-       (n_2201));
-  sky130_fd_sc_hd__nor2_1 g442276(.A (n_1769), .B (n_1251), .Y
-       (n_2200));
-  sky130_fd_sc_hd__nor2_1 g442277(.A (n_1775), .B (n_1251), .Y
-       (n_2199));
-  sky130_fd_sc_hd__nor2_1 g442278(.A (n_1767), .B (n_1251), .Y
-       (n_2198));
-  sky130_fd_sc_hd__nor2_1 g442279(.A (n_1771), .B (n_1251), .Y
-       (n_2197));
-  sky130_fd_sc_hd__o21ai_1 g442280(.A1 (n_1380), .A2 (n_164), .B1
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .Y
-       (n_2196));
-  sky130_fd_sc_hd__nor2_1 g442281(.A (n_1376), .B (n_1751), .Y
-       (n_2195));
-  sky130_fd_sc_hd__nand2_1 g442282(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .Y (n_2194));
-  sky130_fd_sc_hd__nand2_1 g442283(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .Y (n_2193));
-  sky130_fd_sc_hd__nand2_1 g442284(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .Y (n_2192));
-  sky130_fd_sc_hd__nand2_1 g442285(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .Y (n_2191));
-  sky130_fd_sc_hd__nand2_1 g442286(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .Y (n_2190));
-  sky130_fd_sc_hd__nand2_1 g442287(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .Y (n_2189));
-  sky130_fd_sc_hd__nand2_1 g442288(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .Y (n_2188));
-  sky130_fd_sc_hd__nand2_1 g442289(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .Y (n_2187));
-  sky130_fd_sc_hd__nand2b_1 g442291(.A_N (n_1272), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .Y (n_2186));
-  sky130_fd_sc_hd__nand2_1 g442292(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .Y (n_2185));
-  sky130_fd_sc_hd__nand2_1 g442293(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .Y (n_2184));
-  sky130_fd_sc_hd__nand2_1 g442294(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .Y (n_2183));
-  sky130_fd_sc_hd__nand2_1 g442295(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .Y (n_2182));
-  sky130_fd_sc_hd__nand2_1 g442296(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .Y (n_2181));
-  sky130_fd_sc_hd__nand2_1 g442297(.A (n_1745), .B
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .Y (n_2180));
-  sky130_fd_sc_hd__nand2_1 g442298(.A (n_1749), .B
-       (u_soc_u_top_u_core_csr_mtvec[15]), .Y (n_2179));
-  sky130_fd_sc_hd__nand2_1 g442299(.A (n_1749), .B
-       (u_soc_u_top_u_core_csr_mtvec[30]), .Y (n_2178));
-  sky130_fd_sc_hd__nand2_1 g442300(.A (n_1749), .B
-       (u_soc_u_top_u_core_csr_mtvec[31]), .Y (n_2177));
-  sky130_fd_sc_hd__o211ai_1 g442302(.A1 (n_1047), .A2 (n_111), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .C1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_2303));
-  sky130_fd_sc_hd__nor2_1 g442303(.A (n_556), .B (n_1754), .Y (n_2302));
-  sky130_fd_sc_hd__nand2_1 g442304(.A (n_1886), .B (n_13315), .Y
-       (n_2301));
-  sky130_fd_sc_hd__nor2_1 g442305(.A (n_1292), .B (n_1885), .Y
-       (n_2300));
-  sky130_fd_sc_hd__nor2_1 g442317(.A (n_13378), .B (n_1909), .Y
-       (n_2176));
-  sky130_fd_sc_hd__nand2_1 g442340(.A (n_1920), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_2299));
-  sky130_fd_sc_hd__nand2b_1 g442341(.A_N
-       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .B (n_1272),
-       .Y (n_2298));
-  sky130_fd_sc_hd__nor2b_1 g442342(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .B_N
-       (n_1907), .Y (n_2297));
-  sky130_fd_sc_hd__nand2_1 g442344(.A (n_1921), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_2296));
-  sky130_fd_sc_hd__nand2_1 g442345(.A (n_1910), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .Y (n_2295));
-  sky130_fd_sc_hd__nor2b_1 g442346(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B_N (n_1759), .Y (n_2294));
-  sky130_fd_sc_hd__nor2b_1 g442349(.A
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .B_N (n_1768), .Y
-       (n_2292));
-  sky130_fd_sc_hd__nor2_1 g442350(.A (n_13321), .B (n_1762), .Y
-       (n_2291));
-  sky130_fd_sc_hd__nor2_1 g442351(.A (n_13344), .B (n_1761), .Y
-       (n_2289));
-  sky130_fd_sc_hd__nor2_1 g442352(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [0]), .B (n_1911), .Y (n_2287));
-  sky130_fd_sc_hd__nand2_1 g442353(.A (n_1223), .B (n_1884), .Y
-       (n_2285));
-  sky130_fd_sc_hd__nor2_1 g442354(.A (n_13875), .B (n_1779), .Y
-       (n_2283));
-  sky130_fd_sc_hd__nor2_1 g442359(.A
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .B
-       (n_1752), .Y (n_2281));
-  sky130_fd_sc_hd__nor2_1 g442360(.A (n_1902), .B (n_1442), .Y
-       (n_2280));
-  sky130_fd_sc_hd__nor2_1 g442361(.A (n_1893), .B (n_1447), .Y
-       (n_2279));
-  sky130_fd_sc_hd__nor2_1 g442362(.A (n_1888), .B (n_1442), .Y
-       (n_2278));
-  sky130_fd_sc_hd__nor2_1 g442363(.A (n_1893), .B (n_1443), .Y
-       (n_2277));
-  sky130_fd_sc_hd__nor2_1 g442364(.A (n_1435), .B (n_1906), .Y
-       (n_2276));
-  sky130_fd_sc_hd__nor2_1 g442365(.A (n_1440), .B (n_1901), .Y
-       (n_2275));
-  sky130_fd_sc_hd__nor2_1 g442366(.A (n_15932), .B (n_1892), .Y
-       (n_2274));
-  sky130_fd_sc_hd__nor2_1 g442367(.A (n_1440), .B (n_1892), .Y
-       (n_2273));
-  sky130_fd_sc_hd__nor2_1 g442368(.A (n_1435), .B (n_1901), .Y
-       (n_2272));
-  sky130_fd_sc_hd__nor2_1 g442369(.A (n_15932), .B (n_1906), .Y
-       (n_2271));
-  sky130_fd_sc_hd__nor2_1 g442370(.A (n_1902), .B (n_1443), .Y
-       (n_2270));
-  sky130_fd_sc_hd__nor2_1 g442371(.A (n_1435), .B (n_1903), .Y
-       (n_2269));
-  sky130_fd_sc_hd__nor2_1 g442372(.A (n_1902), .B (n_1444), .Y
-       (n_2268));
-  sky130_fd_sc_hd__nor2_1 g442373(.A (n_1888), .B (n_1447), .Y
-       (n_2267));
-  sky130_fd_sc_hd__nor2_1 g442374(.A (n_1893), .B (n_1442), .Y
-       (n_2266));
-  sky130_fd_sc_hd__nor2_1 g442375(.A (n_1440), .B (n_1906), .Y
-       (n_2265));
-  sky130_fd_sc_hd__and2_1 g442376(.A (n_1891), .B (n_1538), .X
-       (n_2264));
-  sky130_fd_sc_hd__nor2_1 g442377(.A (n_15932), .B (n_1901), .Y
-       (n_2263));
-  sky130_fd_sc_hd__nor2_1 g442378(.A (n_1893), .B (n_1444), .Y
-       (n_2262));
-  sky130_fd_sc_hd__nor2_1 g442379(.A (n_1435), .B (n_1892), .Y
-       (n_2261));
-  sky130_fd_sc_hd__nor2_1 g442380(.A (n_1539), .B (n_1901), .Y
-       (n_2260));
-  sky130_fd_sc_hd__nor2_1 g442381(.A (n_1539), .B (n_1906), .Y
-       (n_2259));
-  sky130_fd_sc_hd__nor2_1 g442382(.A (n_1539), .B (n_1903), .Y
-       (n_2258));
-  sky130_fd_sc_hd__nor2_1 g442383(.A (n_1888), .B (n_1443), .Y
-       (n_2257));
-  sky130_fd_sc_hd__nor2_1 g442384(.A (n_1235), .B (n_1899), .Y
-       (n_2256));
-  sky130_fd_sc_hd__and2_1 g442385(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_1281), .X (n_2254));
-  sky130_fd_sc_hd__nor2_1 g442386(.A (n_1032), .B (n_1905), .Y
-       (n_2252));
-  sky130_fd_sc_hd__nor2_1 g442387(.A (n_1235), .B (n_1895), .Y
-       (n_2250));
-  sky130_fd_sc_hd__nor2_1 g442388(.A (n_1235), .B (n_1905), .Y
-       (n_2248));
-  sky130_fd_sc_hd__and2_1 g442389(.A (n_1783), .B (n_1553), .X
-       (n_2246));
-  sky130_fd_sc_hd__nor2b_1 g442390(.A (n_13378), .B_N (n_1889), .Y
-       (n_2245));
-  sky130_fd_sc_hd__nor2_1 g442391(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B (n_1916), .Y
-       (n_2244));
-  sky130_fd_sc_hd__nor2_1 g442392(.A (n_494), .B (n_1916), .Y (n_2243));
-  sky130_fd_sc_hd__nor2_1 g442393(.A (n_494), .B (n_1913), .Y (n_2242));
-  sky130_fd_sc_hd__nor2_1 g442394(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B (n_1913), .Y
-       (n_2241));
-  sky130_fd_sc_hd__nor2_2 g442396(.A (n_1033), .B (n_1905), .Y
-       (n_2240));
-  sky130_fd_sc_hd__nand2_1 g442397(.A (n_1887), .B (n_1237), .Y
-       (n_2238));
-  sky130_fd_sc_hd__nand2_1 g442398(.A (n_1904), .B (n_1237), .Y
-       (n_2237));
-  sky130_fd_sc_hd__nor2_2 g442399(.A (n_1033), .B (n_1895), .Y
-       (n_2235));
-  sky130_fd_sc_hd__nand2_1 g442400(.A (n_1894), .B (n_1031), .Y
-       (n_2233));
-  sky130_fd_sc_hd__nand2_1 g442401(.A (n_1897), .B (n_1031), .Y
-       (n_2231));
-  sky130_fd_sc_hd__nand2_1 g442402(.A (n_1898), .B (n_1237), .Y
-       (n_2230));
-  sky130_fd_sc_hd__nand2_1 g442403(.A (n_1896), .B (n_1234), .Y
-       (n_2229));
-  sky130_fd_sc_hd__nand2_1 g442404(.A (n_1896), .B (n_1031), .Y
-       (n_2227));
-  sky130_fd_sc_hd__nand2_1 g442405(.A (n_1894), .B (n_1237), .Y
-       (n_2226));
-  sky130_fd_sc_hd__nand2_1 g442406(.A (n_1896), .B (n_1034), .Y
-       (n_2224));
-  sky130_fd_sc_hd__nand2_1 g442407(.A (n_1890), .B (n_1031), .Y
-       (n_2223));
-  sky130_fd_sc_hd__nand2_1 g442408(.A (n_1890), .B (n_1234), .Y
-       (n_2222));
-  sky130_fd_sc_hd__nand2_1 g442409(.A (n_1897), .B (n_1034), .Y
-       (n_2220));
-  sky130_fd_sc_hd__nand2_1 g442410(.A (n_1890), .B (n_1034), .Y
-       (n_2219));
-  sky130_fd_sc_hd__nand2_1 g442411(.A (n_1896), .B (n_1237), .Y
-       (n_2218));
-  sky130_fd_sc_hd__nand2_1 g442412(.A (n_1890), .B (n_1237), .Y
-       (n_2217));
-  sky130_fd_sc_hd__nand2_1 g442413(.A (n_1897), .B (n_1237), .Y
-       (n_2216));
-  sky130_fd_sc_hd__nand2_1 g442414(.A (n_1897), .B (n_1234), .Y
-       (n_2215));
-  sky130_fd_sc_hd__inv_2 g442417(.A (n_2153), .Y (n_2154));
-  sky130_fd_sc_hd__inv_2 g442418(.A (n_2148), .Y (n_2147));
-  sky130_fd_sc_hd__clkinv_1 g442419(.A (n_2136), .Y (n_2135));
-  sky130_fd_sc_hd__inv_2 g442420(.A (n_2134), .Y (n_2133));
-  sky130_fd_sc_hd__inv_2 g442421(.A (n_2132), .Y (n_2131));
-  sky130_fd_sc_hd__inv_2 g442422(.A (n_2130), .Y (n_2129));
-  sky130_fd_sc_hd__inv_2 g442423(.A (n_2128), .Y (n_2127));
-  sky130_fd_sc_hd__inv_2 g442424(.A (n_2126), .Y (n_2125));
-  sky130_fd_sc_hd__inv_2 g442425(.A (n_2124), .Y (n_2123));
-  sky130_fd_sc_hd__inv_2 g442426(.A (n_2122), .Y (n_2121));
-  sky130_fd_sc_hd__inv_2 g442427(.A (n_2120), .Y (n_2119));
-  sky130_fd_sc_hd__inv_2 g442428(.A (n_2118), .Y (n_2117));
-  sky130_fd_sc_hd__inv_2 g442429(.A (n_2116), .Y (n_2115));
-  sky130_fd_sc_hd__inv_2 g442430(.A (n_2114), .Y (n_2113));
-  sky130_fd_sc_hd__inv_2 g442431(.A (n_2112), .Y (n_2111));
-  sky130_fd_sc_hd__inv_2 g442432(.A (n_2110), .Y (n_2109));
-  sky130_fd_sc_hd__inv_2 g442433(.A (n_2106), .Y (n_2105));
-  sky130_fd_sc_hd__inv_2 g442434(.A (n_2104), .Y (n_2103));
-  sky130_fd_sc_hd__inv_2 g442435(.A (n_2102), .Y (n_2101));
-  sky130_fd_sc_hd__inv_2 g442436(.A (n_2099), .Y (n_2098));
-  sky130_fd_sc_hd__inv_2 g442437(.A (n_2097), .Y (n_2096));
-  sky130_fd_sc_hd__inv_2 g442438(.A (n_2095), .Y (n_2094));
-  sky130_fd_sc_hd__inv_2 g442439(.A (n_2093), .Y (n_2092));
-  sky130_fd_sc_hd__inv_2 g442440(.A (n_2091), .Y (n_2090));
-  sky130_fd_sc_hd__inv_2 g442441(.A (n_2089), .Y (n_2088));
-  sky130_fd_sc_hd__inv_2 g442442(.A (n_2087), .Y (n_2086));
-  sky130_fd_sc_hd__inv_2 g442443(.A (n_2085), .Y (n_2084));
-  sky130_fd_sc_hd__inv_2 g442444(.A (n_2083), .Y (n_2082));
-  sky130_fd_sc_hd__inv_2 g442445(.A (n_2081), .Y (n_2080));
-  sky130_fd_sc_hd__inv_2 g442446(.A (n_2079), .Y (n_2078));
-  sky130_fd_sc_hd__inv_2 g442447(.A (n_2077), .Y (n_2076));
-  sky130_fd_sc_hd__inv_2 g442448(.A (n_2075), .Y (n_2074));
-  sky130_fd_sc_hd__inv_2 g442449(.A (n_2073), .Y (n_2072));
-  sky130_fd_sc_hd__inv_2 g442450(.A (n_2069), .Y (n_2068));
-  sky130_fd_sc_hd__inv_2 g442451(.A (n_2067), .Y (n_2066));
-  sky130_fd_sc_hd__inv_2 g442452(.A (n_2065), .Y (n_2064));
-  sky130_fd_sc_hd__inv_2 g442453(.A (n_2063), .Y (n_2062));
-  sky130_fd_sc_hd__inv_2 g442454(.A (n_2061), .Y (n_2060));
-  sky130_fd_sc_hd__inv_2 g442455(.A (n_2059), .Y (n_2058));
-  sky130_fd_sc_hd__inv_2 g442456(.A (n_2057), .Y (n_2056));
-  sky130_fd_sc_hd__inv_2 g442457(.A (n_2055), .Y (n_2054));
-  sky130_fd_sc_hd__inv_2 g442458(.A (n_2053), .Y (n_2052));
-  sky130_fd_sc_hd__inv_2 g442459(.A (n_2051), .Y (n_2050));
-  sky130_fd_sc_hd__inv_2 g442460(.A (n_2049), .Y (n_2048));
-  sky130_fd_sc_hd__inv_2 g442461(.A (n_2047), .Y (n_2046));
-  sky130_fd_sc_hd__inv_2 g442462(.A (n_2045), .Y (n_2044));
-  sky130_fd_sc_hd__inv_2 g442463(.A (n_2043), .Y (n_2042));
-  sky130_fd_sc_hd__inv_2 g442464(.A (n_2041), .Y (n_2040));
-  sky130_fd_sc_hd__inv_2 g442465(.A (n_2039), .Y (n_2038));
-  sky130_fd_sc_hd__inv_2 g442466(.A (n_2037), .Y (n_2036));
-  sky130_fd_sc_hd__inv_2 g442467(.A (n_2035), .Y (n_2034));
-  sky130_fd_sc_hd__inv_2 g442468(.A (n_2033), .Y (n_2032));
-  sky130_fd_sc_hd__inv_2 g442469(.A (n_2031), .Y (n_2030));
-  sky130_fd_sc_hd__inv_2 g442470(.A (n_2029), .Y (n_2028));
-  sky130_fd_sc_hd__inv_2 g442471(.A (n_2027), .Y (n_2026));
-  sky130_fd_sc_hd__inv_2 g442472(.A (n_2025), .Y (n_2024));
-  sky130_fd_sc_hd__inv_2 g442473(.A (n_2023), .Y (n_2022));
-  sky130_fd_sc_hd__inv_2 g442474(.A (n_2021), .Y (n_2020));
-  sky130_fd_sc_hd__inv_2 g442475(.A (n_2019), .Y (n_2018));
-  sky130_fd_sc_hd__inv_2 g442476(.A (n_2016), .Y (n_2015));
-  sky130_fd_sc_hd__inv_2 g442477(.A (n_2014), .Y (n_2013));
-  sky130_fd_sc_hd__clkinv_1 g442478(.A (n_2011), .Y (n_2010));
-  sky130_fd_sc_hd__clkinv_1 g442479(.A (n_2006), .Y (n_2005));
-  sky130_fd_sc_hd__inv_1 g442480(.A (n_2004), .Y (n_2003));
-  sky130_fd_sc_hd__inv_2 g442481(.A (n_16011), .Y (n_2001));
-  sky130_fd_sc_hd__inv_2 g442482(.A (n_1998), .Y (n_1997));
-  sky130_fd_sc_hd__inv_2 g442483(.A (n_1996), .Y (n_1995));
-  sky130_fd_sc_hd__inv_2 g442484(.A (n_1994), .Y (n_1993));
-  sky130_fd_sc_hd__xor2_1 g442485(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B (n_1470), .X (n_1991));
-  sky130_fd_sc_hd__xor2_1 g442486(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .B (n_1552), .X
-       (n_1990));
-  sky130_fd_sc_hd__xor2_1 g442487(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [2]), .B (n_1469), .X (n_1989));
-  sky130_fd_sc_hd__a22oi_1 g442488(.A1 (n_1409), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[12]), .B1 (n_1233),
-       .B2 (u_soc_u_top_u_core_debug_ebreaku), .Y (n_1988));
-  sky130_fd_sc_hd__a22o_1 g442489(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
-       .X (n_1987));
-  sky130_fd_sc_hd__a22oi_1 g442490(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]), .B1
-       (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[2]), .Y (n_1986));
-  sky130_fd_sc_hd__a22oi_1 g442491(.A1 (n_1527), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[2]), .B1 (n_1518),
-       .B2 (u_soc_u_top_u_core_csr_mepc[2]), .Y (n_1985));
-  sky130_fd_sc_hd__a22oi_1 g442492(.A1 (n_1410), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]), .B1
-       (n_1233), .B2 (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv]
-       [1]), .Y (n_1984));
-  sky130_fd_sc_hd__a22o_1 g442493(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
-       .X (n_1983));
-  sky130_fd_sc_hd__a22oi_1 g442494(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]), .B1
-       (n_1214), .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
-       .Y (n_1982));
-  sky130_fd_sc_hd__a22oi_1 g442495(.A1 (n_1413), .A2
-       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]), .B1
-       (n_1518), .B2 (u_soc_u_top_u_core_csr_mepc[3]), .Y (n_1981));
-  sky130_fd_sc_hd__a22oi_1 g442496(.A1 (n_1527), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[0]), .B1 (n_1214),
-       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]), .Y
-       (n_1980));
-  sky130_fd_sc_hd__a22o_1 g442497(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
-       .X (n_1979));
-  sky130_fd_sc_hd__a22oi_1 g442498(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[14]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[14]), .Y (n_1978));
-  sky130_fd_sc_hd__a22oi_1 g442499(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[9]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[9]), .Y (n_1977));
-  sky130_fd_sc_hd__a22oi_1 g442500(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[4]), .B1 (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]), .Y (n_1976));
-  sky130_fd_sc_hd__a22oi_1 g442501(.A1 (n_1527), .A2
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[1]), .B1 (n_1518),
-       .B2 (u_soc_u_top_u_core_csr_mepc[1]), .Y (n_1975));
-  sky130_fd_sc_hd__a22o_1 g442502(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
-       .X (n_1974));
-  sky130_fd_sc_hd__a22oi_1 g442503(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]), .B1
-       (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]), .Y
-       (n_1973));
-  sky130_fd_sc_hd__o22ai_1 g442504(.A1 (n_1358), .A2 (n_13377), .B1
-       (n_13880), .B2 (n_164), .Y (n_1972));
-  sky130_fd_sc_hd__a22oi_1 g442505(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[14]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[14]), .Y (n_1971));
-  sky130_fd_sc_hd__a22oi_1 g442506(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[13]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[13]), .Y (n_1970));
-  sky130_fd_sc_hd__a22oi_1 g442507(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[12]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[12]), .Y (n_1969));
-  sky130_fd_sc_hd__a22oi_1 g442508(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[10]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[10]), .Y (n_1968));
-  sky130_fd_sc_hd__a22oi_1 g442509(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[9]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[9]), .Y (n_1967));
-  sky130_fd_sc_hd__nand2_1 g442510(.A (n_1054), .B (n_1908), .Y
-       (n_1966));
-  sky130_fd_sc_hd__a22oi_1 g442511(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[10]), .B1 (n_1518), .B2
-       (u_soc_u_top_u_core_csr_mepc[10]), .Y (n_1965));
-  sky130_fd_sc_hd__a22oi_1 g442512(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[6]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[6]), .Y (n_1964));
-  sky130_fd_sc_hd__a22oi_1 g442513(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[5]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[5]), .Y (n_1963));
-  sky130_fd_sc_hd__a22oi_1 g442514(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[4]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[4]), .Y (n_1962));
-  sky130_fd_sc_hd__a22oi_1 g442515(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[6]), .B1 (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]), .Y (n_1961));
-  sky130_fd_sc_hd__a22oi_1 g442516(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[15]), .B1 (n_1409), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[15]), .Y (n_1960));
-  sky130_fd_sc_hd__a22oi_1 g442517(.A1 (n_1518), .A2
-       (u_soc_u_top_u_core_csr_mepc[31]), .B1 (n_1214), .B2
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]), .Y (n_1959));
-  sky130_fd_sc_hd__a22oi_1 g442518(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[30]), .B1 (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]), .Y
-       (n_1958));
-  sky130_fd_sc_hd__a22o_1 g442519(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
-       .X (n_1957));
-  sky130_fd_sc_hd__a22o_1 g442520(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
-       .X (n_1956));
-  sky130_fd_sc_hd__a22o_1 g442521(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
-       .X (n_1955));
-  sky130_fd_sc_hd__a22o_1 g442522(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
-       .X (n_1954));
-  sky130_fd_sc_hd__a22o_1 g442523(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
-       .X (n_1953));
-  sky130_fd_sc_hd__a22o_1 g442524(.A1 (n_1439), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [3]), .B1 (n_1533), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [5]), .X (n_1952));
-  sky130_fd_sc_hd__a22oi_1 g442525(.A1 (n_1562), .A2 (n_1244), .B1
-       (n_16), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
-       ), .Y (n_1951));
-  sky130_fd_sc_hd__a22o_1 g442526(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
-       .X (n_1950));
-  sky130_fd_sc_hd__a22oi_1 g442527(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]), .B1
-       (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[5]), .Y (n_1949));
-  sky130_fd_sc_hd__a22oi_1 g442528(.A1 (n_1412), .A2
-       (u_soc_u_top_u_core_pc_id[2]), .B1 (n_1519), .B2
-       (u_soc_u_top_u_core_pc_if[2]), .Y (n_1948));
-  sky130_fd_sc_hd__a22o_1 g442529(.A1 (n_1513), .A2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]), .B1
-       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
-       .X (n_1947));
-  sky130_fd_sc_hd__a22oi_1 g442530(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[7]), .B1 (n_1233), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]), .Y
-       (n_1946));
-  sky130_fd_sc_hd__a22oi_1 g442531(.A1 (n_1516), .A2
-       (u_soc_u_top_u_core_csr_depc[6]), .B1 (n_1410), .B2
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]), .Y (n_1945));
-  sky130_fd_sc_hd__a21oi_1 g442532(.A1 (n_1460), .A2 (n_53), .B1
-       (n_1900), .Y (n_1944));
-  sky130_fd_sc_hd__a221oi_1 g442533(.A1
-       (u_soc_u_top_u_core_pc_mux_id[2]), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]), .B1
-       (u_soc_u_top_u_core_csr_restore_mret_id), .B2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .C1
-       (n_1360), .Y (n_1943));
-  sky130_fd_sc_hd__a221oi_1 g442534(.A1
-       (u_soc_u_top_u_core_pc_mux_id[2]), .A2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .B1
-       (u_soc_u_top_u_core_csr_restore_mret_id), .B2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .C1
-       (n_1361), .Y (n_1942));
-  sky130_fd_sc_hd__a21o_1 g442535(.A1 (n_15927), .A2 (io_out[37]), .B1
-       (n_1085), .X (n_1941));
-  sky130_fd_sc_hd__o2111ai_1 g442536(.A1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .A2 (n_556), .B1
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .C1 (n_132), .D1 (n_13381), .Y (n_1940));
-  sky130_fd_sc_hd__o21a_1 g442537(.A1 (n_480), .A2 (n_1531), .B1
-       (n_1054), .X (n_1939));
-  sky130_fd_sc_hd__a221o_1 g442538(.A1 (n_690), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]), .B1
-       (n_831), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48]
-       [0]), .C1 (n_1347), .X (n_1938));
-  sky130_fd_sc_hd__nor3_1 g442539(.A (n_13885), .B (n_1509), .C
-       (u_soc_u_top_u_core_illegal_csr_insn_id), .Y (n_1937));
-  sky130_fd_sc_hd__a211o_1 g442540(.A1 (n_1256), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B1 (n_1063), .C1 (n_1480), .X (n_1936));
-  sky130_fd_sc_hd__o21ai_1 g442541(.A1 (n_471), .A2 (n_1564), .B1
-       (n_1077), .Y (n_1935));
-  sky130_fd_sc_hd__a32o_1 g442542(.A1 (n_1049), .A2 (n_13340), .A3
-       (u_soc_u_top_data_we), .B1 (n_1048), .B2
-       (u_soc_main_swith_host_lsu_err_resp_err_opcode[0]), .X (n_1934));
-  sky130_fd_sc_hd__o21ai_1 g442543(.A1 (n_1047), .A2 (n_1424), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .Y (n_1933));
-  sky130_fd_sc_hd__o41ai_1 g442544(.A1
-       (u_soc_u_uart_u_uart_core_rx_sbit), .A2 (n_981), .A3
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]), .A4
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B1 (n_1471),
-       .Y (n_1932));
-  sky130_fd_sc_hd__nor3_1 g442545(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B (n_1387), .C
-       (n_1369), .Y (n_1931));
-  sky130_fd_sc_hd__a21oi_1 g442546(.A1 (n_1128), .A2 (n_1106), .B1
-       (n_1742), .Y (n_1930));
-  sky130_fd_sc_hd__a221oi_1 g442547(.A1 (n_1086), .A2 (n_1062), .B1
-       (n_1211), .B2 (n_522), .C1
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y (n_1929));
-  sky130_fd_sc_hd__a31o_1 g442548(.A1 (n_15900), .A2
-       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .A3
-       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .B1
-       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .X (n_1928));
-  sky130_fd_sc_hd__a21oi_1 g442549(.A1 (n_1122), .A2 (n_1105), .B1
-       (n_1742), .Y (n_1927));
-  sky130_fd_sc_hd__o21ai_1 g442550(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2 (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .B1
-       (n_1886), .Y (n_1926));
-  sky130_fd_sc_hd__nor2_1 g442551(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1343), .Y
-       (n_1925));
-  sky130_fd_sc_hd__a221o_1 g442552(.A1 (n_1192), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B1 (n_1093), .B2 (n_568), .C1 (n_1350), .X (n_1924));
-  sky130_fd_sc_hd__nor2b_1 g442553(.A (n_1531), .B_N (n_1889), .Y
-       (n_1923));
-  sky130_fd_sc_hd__nand2_1 g442554(.A (n_1641), .B (n_1688), .Y
-       (n_2175));
-  sky130_fd_sc_hd__nand2_1 g442555(.A (n_1639), .B (n_1715), .Y
-       (n_2174));
-  sky130_fd_sc_hd__nand2_1 g442556(.A (n_1638), .B (n_1642), .Y
-       (n_2173));
-  sky130_fd_sc_hd__nand2_1 g442557(.A (n_1637), .B (n_1635), .Y
-       (n_2172));
-  sky130_fd_sc_hd__nand2_1 g442558(.A (n_1634), .B (n_1704), .Y
-       (n_2171));
-  sky130_fd_sc_hd__nand2_1 g442559(.A (n_1631), .B (n_1658), .Y
-       (n_2170));
-  sky130_fd_sc_hd__and3b_1 g442560(.A_N (n_13475), .B (n_1462), .C
-       (u_soc_u_top_u_core_debug_mode), .X (n_2169));
-  sky130_fd_sc_hd__nand2_1 g442561(.A (n_1742), .B (n_1431), .Y
-       (n_2167));
-  sky130_fd_sc_hd__nand2_1 g442562(.A (n_1676), .B (n_1628), .Y
-       (n_2166));
-  sky130_fd_sc_hd__nand2_1 g442563(.A (n_1727), .B (n_1722), .Y
-       (n_2165));
-  sky130_fd_sc_hd__nand2_1 g442564(.A (n_1714), .B (n_1677), .Y
-       (n_2164));
-  sky130_fd_sc_hd__nand2_1 g442565(.A (n_1782), .B (n_11130), .Y
-       (n_2163));
-  sky130_fd_sc_hd__nor2_1 g442567(.A (n_1846), .B (n_1754), .Y
-       (n_2162));
-  sky130_fd_sc_hd__nand3_1 g442568(.A (n_1537), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .C (n_94), .Y
-       (n_2161));
-  sky130_fd_sc_hd__nor3_1 g442569(.A (\u_soc_xbar_to_dccm[a_address]
-       [3]), .B (n_13378), .C (n_1434), .Y (n_2160));
-  sky130_fd_sc_hd__nor2b_1 g442570(.A (n_471), .B_N (n_1762), .Y
-       (n_2159));
-  sky130_fd_sc_hd__nor2b_1 g442571(.A (n_471), .B_N (n_1761), .Y
-       (n_2158));
-  sky130_fd_sc_hd__nor3_1 g442572(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .C (n_1475), .Y (n_2157));
-  sky130_fd_sc_hd__nand2_1 g442573(.A (n_1744), .B (n_1431), .Y
-       (n_2156));
-  sky130_fd_sc_hd__o21ai_1 g442574(.A1 (n_471), .A2 (n_1565), .B1
-       (n_636), .Y (n_2153));
-  sky130_fd_sc_hd__a21o_1 g442575(.A1 (n_1449), .A2 (io_out[37]), .B1
-       (n_636), .X (n_2152));
-  sky130_fd_sc_hd__nor2_1 g442576(.A (n_1694), .B (n_1429), .Y
-       (n_2151));
-  sky130_fd_sc_hd__nor2_1 g442577(.A (n_1679), .B (n_1429), .Y
-       (n_2150));
-  sky130_fd_sc_hd__nor3_1 g442578(.A (n_1075), .B (n_15934), .C
-       (n_1429), .Y (n_2149));
-  sky130_fd_sc_hd__nand3_1 g442579(.A (n_1463), .B (n_15936), .C
-       (\u_soc_xbar_to_dccm[a_address] [5]), .Y (n_2148));
-  sky130_fd_sc_hd__a21oi_1 g442580(.A1 (n_1223), .A2 (n_1527), .B1
-       (n_1406), .Y (n_2146));
-  sky130_fd_sc_hd__o21ai_1 g442581(.A1 (n_1033), .A2 (n_1529), .B1
-       (n_478), .Y (n_2145));
-  sky130_fd_sc_hd__o21ai_1 g442582(.A1 (n_1236), .A2 (n_1529), .B1
-       (n_478), .Y (n_2144));
-  sky130_fd_sc_hd__nor2_1 g442583(.A (n_1888), .B (n_1444), .Y
-       (n_2143));
-  sky130_fd_sc_hd__nor2_1 g442584(.A (n_15932), .B (n_1903), .Y
-       (n_2142));
-  sky130_fd_sc_hd__o21ai_1 g442585(.A1 (n_1235), .A2 (n_1529), .B1
-       (n_478), .Y (n_2141));
-  sky130_fd_sc_hd__nor2_1 g442586(.A (n_1902), .B (n_1447), .Y
-       (n_2140));
-  sky130_fd_sc_hd__o21ai_1 g442587(.A1 (n_1032), .A2 (n_1529), .B1
-       (n_478), .Y (n_2139));
-  sky130_fd_sc_hd__nor2_1 g442588(.A (n_1440), .B (n_1903), .Y
-       (n_2138));
-  sky130_fd_sc_hd__or2_2 g442589(.A (n_1032), .B (n_1899), .X (n_2137));
-  sky130_fd_sc_hd__nand3b_1 g442590(.A_N (n_13479), .B (n_1426), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_2136));
-  sky130_fd_sc_hd__nand2_1 g442591(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2134));
-  sky130_fd_sc_hd__nand2_1 g442592(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2132));
-  sky130_fd_sc_hd__nand2_1 g442593(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2130));
-  sky130_fd_sc_hd__nand2_1 g442594(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2128));
-  sky130_fd_sc_hd__nor2_1 g442595(.A (n_1), .B (n_1859), .Y (n_2126));
-  sky130_fd_sc_hd__nand2_1 g442596(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2124));
-  sky130_fd_sc_hd__nand2_1 g442597(.A (n_1858), .B
-       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2122));
-  sky130_fd_sc_hd__nand2_1 g442598(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2120));
-  sky130_fd_sc_hd__nor2_1 g442599(.A (n_473), .B (n_1859), .Y (n_2118));
-  sky130_fd_sc_hd__nand2_1 g442600(.A (n_1858), .B
-       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2116));
-  sky130_fd_sc_hd__nor2_1 g442601(.A (n_474), .B (n_1859), .Y (n_2114));
-  sky130_fd_sc_hd__nand2_1 g442602(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2112));
-  sky130_fd_sc_hd__nand2_1 g442603(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2110));
-  sky130_fd_sc_hd__nand2_1 g442604(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2108));
-  sky130_fd_sc_hd__nand2_1 g442605(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2107));
-  sky130_fd_sc_hd__nand2_1 g442606(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2106));
-  sky130_fd_sc_hd__nand2_1 g442607(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2104));
-  sky130_fd_sc_hd__nand2_1 g442608(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2102));
-  sky130_fd_sc_hd__nand2_1 g442609(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2100));
-  sky130_fd_sc_hd__nand2_1 g442610(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2099));
-  sky130_fd_sc_hd__nand2_1 g442611(.A (n_1858), .B
-       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2097));
-  sky130_fd_sc_hd__nand2_1 g442612(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2095));
-  sky130_fd_sc_hd__nand2_1 g442613(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2093));
-  sky130_fd_sc_hd__nand2_1 g442614(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2091));
-  sky130_fd_sc_hd__nand2_1 g442615(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2089));
-  sky130_fd_sc_hd__nand2_1 g442616(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2087));
-  sky130_fd_sc_hd__nand2_1 g442617(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2085));
-  sky130_fd_sc_hd__nand2_1 g442618(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2083));
-  sky130_fd_sc_hd__nand2_1 g442619(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2081));
-  sky130_fd_sc_hd__nand2_1 g442620(.A (n_1863), .B
-       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2079));
-  sky130_fd_sc_hd__nand2_1 g442621(.A (n_1858), .B
-       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2077));
-  sky130_fd_sc_hd__nand2_1 g442622(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2075));
-  sky130_fd_sc_hd__nand2_1 g442623(.A (n_1867), .B
-       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2073));
-  sky130_fd_sc_hd__nand2_1 g442624(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2071));
-  sky130_fd_sc_hd__nand2_1 g442625(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2070));
-  sky130_fd_sc_hd__nand2_1 g442626(.A (n_1860), .B
-       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2069));
-  sky130_fd_sc_hd__nor2_1 g442627(.A (n_0), .B (n_1861), .Y (n_2067));
-  sky130_fd_sc_hd__nand2_1 g442628(.A (n_1860), .B
-       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2065));
-  sky130_fd_sc_hd__nand2_1 g442629(.A (n_1860), .B
-       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2063));
-  sky130_fd_sc_hd__nand2_1 g442630(.A (n_1860), .B
-       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2061));
-  sky130_fd_sc_hd__nand2_1 g442631(.A (n_1860), .B
-       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2059));
-  sky130_fd_sc_hd__nand2_1 g442632(.A (n_1860), .B
-       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2057));
-  sky130_fd_sc_hd__nor2_1 g442633(.A (n_5), .B (n_1861), .Y (n_2055));
-  sky130_fd_sc_hd__nor2_1 g442634(.A (n_5), .B (n_1870), .Y (n_2053));
-  sky130_fd_sc_hd__nor2_1 g442635(.A (n_1), .B (n_1870), .Y (n_2051));
-  sky130_fd_sc_hd__nor2_1 g442636(.A (n_0), .B (n_1870), .Y (n_2049));
-  sky130_fd_sc_hd__nor2_1 g442637(.A (n_4), .B (n_1870), .Y (n_2047));
-  sky130_fd_sc_hd__nor2_1 g442638(.A (n_3), .B (n_1870), .Y (n_2045));
-  sky130_fd_sc_hd__nor2_1 g442639(.A (n_2), .B (n_1870), .Y (n_2043));
-  sky130_fd_sc_hd__nor2_1 g442640(.A (n_473), .B (n_1870), .Y (n_2041));
-  sky130_fd_sc_hd__nor2_1 g442641(.A (n_474), .B (n_1870), .Y (n_2039));
-  sky130_fd_sc_hd__nand2_1 g442642(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2037));
-  sky130_fd_sc_hd__nand2_1 g442643(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2035));
-  sky130_fd_sc_hd__nor2_1 g442644(.A (n_473), .B (n_1855), .Y (n_2033));
-  sky130_fd_sc_hd__nor2_1 g442645(.A (n_1), .B (n_1855), .Y (n_2031));
-  sky130_fd_sc_hd__nor2_1 g442646(.A (n_0), .B (n_1855), .Y (n_2029));
-  sky130_fd_sc_hd__nor2_1 g442647(.A (n_4), .B (n_1855), .Y (n_2027));
-  sky130_fd_sc_hd__nor2_1 g442648(.A (n_3), .B (n_1855), .Y (n_2025));
-  sky130_fd_sc_hd__nor2_1 g442649(.A (n_5), .B (n_1855), .Y (n_2023));
-  sky130_fd_sc_hd__nor2_1 g442650(.A (n_474), .B (n_1855), .Y (n_2021));
-  sky130_fd_sc_hd__nor2_1 g442651(.A (n_2), .B (n_1855), .Y (n_2019));
-  sky130_fd_sc_hd__nand2_1 g442652(.A (n_1856), .B
-       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2017));
-  sky130_fd_sc_hd__nand2_1 g442653(.A (n_1864), .B
-       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2016));
-  sky130_fd_sc_hd__nor2_1 g442654(.A (n_0), .B (n_1859), .Y (n_2014));
-  sky130_fd_sc_hd__nand2_1 g442655(.A (n_1887), .B (n_1031), .Y
-       (n_2012));
-  sky130_fd_sc_hd__nand2_1 g442656(.A (n_1887), .B (n_1234), .Y
-       (n_2011));
-  sky130_fd_sc_hd__o211a_2 g442657(.A1 (n_615), .A2 (n_1097), .B1
-       (n_1071), .C1 (n_1759), .X (n_2009));
-  sky130_fd_sc_hd__o211a_2 g442658(.A1 (n_522), .A2 (n_1082), .B1
-       (n_1061), .C1 (n_1759), .X (n_2008));
-  sky130_fd_sc_hd__nand2b_1 g442659(.A_N (n_1899), .B (n_1237), .Y
-       (n_2007));
-  sky130_fd_sc_hd__or2_2 g442660(.A (n_1033), .B (n_1899), .X (n_2006));
-  sky130_fd_sc_hd__nand2_1 g442661(.A (n_1887), .B (n_1034), .Y
-       (n_2004));
-  sky130_fd_sc_hd__o21a_1 g442663(.A1 (n_1517), .A2 (n_1222), .B1
-       (n_1405), .X (n_2000));
-  sky130_fd_sc_hd__o21a_1 g442664(.A1 (n_1515), .A2 (n_1222), .B1
-       (n_1213), .X (n_1999));
-  sky130_fd_sc_hd__nand2_1 g442665(.A (n_1898), .B (n_1234), .Y
-       (n_1998));
-  sky130_fd_sc_hd__nand2_1 g442666(.A (n_1898), .B (n_1031), .Y
-       (n_1996));
-  sky130_fd_sc_hd__nand2_1 g442667(.A (n_1898), .B (n_1034), .Y
-       (n_1994));
-  sky130_fd_sc_hd__o21a_1 g442668(.A1 (n_1408), .A2 (n_1222), .B1
-       (n_1405), .X (n_1992));
-  sky130_fd_sc_hd__inv_1 g442669(.A (n_1914), .Y (n_1915));
-  sky130_fd_sc_hd__inv_1 g442670(.A (n_1910), .Y (n_1911));
-  sky130_fd_sc_hd__inv_1 g442671(.A (n_1905), .Y (n_1904));
-  sky130_fd_sc_hd__inv_1 g442672(.A (n_1895), .Y (n_1894));
-  sky130_fd_sc_hd__inv_2 g442673(.A (n_1891), .Y (n_1892));
-  sky130_fd_sc_hd__clkinv_1 g442674(.A (n_1886), .Y (n_1885));
-  sky130_fd_sc_hd__inv_2 g442675(.A (n_1868), .Y (n_1869));
-  sky130_fd_sc_hd__inv_2 g442676(.A (n_1867), .Y (n_1866));
-  sky130_fd_sc_hd__inv_2 g442677(.A (n_1865), .Y (n_1864));
-  sky130_fd_sc_hd__inv_2 g442678(.A (n_1863), .Y (n_1862));
-  sky130_fd_sc_hd__inv_2 g442679(.A (n_1861), .Y (n_1860));
-  sky130_fd_sc_hd__inv_2 g442680(.A (n_1859), .Y (n_1858));
-  sky130_fd_sc_hd__inv_2 g442681(.A (n_1857), .Y (n_1856));
-  sky130_fd_sc_hd__inv_2 g442682(.A (n_1854), .Y (n_1853));
-  sky130_fd_sc_hd__inv_1 g442683(.A (n_1848), .Y (n_1847));
-  sky130_fd_sc_hd__inv_2 g442684(.A (n_1846), .Y (n_1845));
-  sky130_fd_sc_hd__ha_1 g442685(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (\u_soc_tcam_to_xbar[d_valid] ), .COUT (n_1921), .SUM
-       (n_1841));
-  sky130_fd_sc_hd__ha_1 g442686(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (\u_soc_dccm_to_xbar[d_valid] ), .COUT (n_1920), .SUM
-       (n_1840));
-  sky130_fd_sc_hd__ha_1 g442687(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .B (n_13519), .COUT
-       (n_1838), .SUM (n_1839));
-  sky130_fd_sc_hd__nand2b_1 g442688(.A_N
-       (u_soc_u_uart_u_uart_core_rx_timeout[0]), .B (n_1514), .Y
-       (n_1837));
-  sky130_fd_sc_hd__nor2b_1 g442689(.A (n_471), .B_N (n_1624), .Y
-       (n_1836));
-  sky130_fd_sc_hd__o21ai_1 g442690(.A1 (n_670), .A2 (n_1067), .B1
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .Y (n_1835));
-  sky130_fd_sc_hd__nor2_1 g442691(.A (n_471), .B (n_1561), .Y (n_1834));
-  sky130_fd_sc_hd__nor2_1 g442692(.A (n_471), .B (n_1558), .Y (n_1833));
-  sky130_fd_sc_hd__nor2_1 g442693(.A (n_471), .B (n_1557), .Y (n_1832));
-  sky130_fd_sc_hd__nand2_1 g442694(.A (n_1564), .B (n_1077), .Y
-       (n_1831));
-  sky130_fd_sc_hd__nand2_1 g442695(.A (n_1424), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1830));
-  sky130_fd_sc_hd__and2_1 g442696(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[21]), .X (n_1829));
-  sky130_fd_sc_hd__nand2_1 g442697(.A (n_1410), .B
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]), .Y (n_1828));
-  sky130_fd_sc_hd__and2_1 g442698(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[24]), .X (n_1827));
-  sky130_fd_sc_hd__and2_1 g442699(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[14]), .X (n_1826));
-  sky130_fd_sc_hd__and2_1 g442700(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[27]), .X (n_1825));
-  sky130_fd_sc_hd__and2_1 g442701(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[19]), .X (n_1824));
-  sky130_fd_sc_hd__and2_1 g442702(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[17]), .X (n_1823));
-  sky130_fd_sc_hd__and2_1 g442703(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[11]), .X (n_1822));
-  sky130_fd_sc_hd__and2_1 g442704(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[13]), .X (n_1821));
-  sky130_fd_sc_hd__and2_1 g442706(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[22]), .X (n_1820));
-  sky130_fd_sc_hd__nand2b_1 g442707(.A_N
-       (u_soc_u_uart_u_uart_core_rx_timeout[1]), .B (n_1514), .Y
-       (n_1819));
-  sky130_fd_sc_hd__and2_1 g442708(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[5]), .X (n_1818));
-  sky130_fd_sc_hd__nand2b_1 g442709(.A_N
-       (u_soc_u_uart_u_uart_core_rx_timeout[3]), .B (n_1514), .Y
-       (n_1817));
-  sky130_fd_sc_hd__and2_1 g442710(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[7]), .X (n_1816));
-  sky130_fd_sc_hd__and2_1 g442711(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[12]), .X (n_1815));
-  sky130_fd_sc_hd__and2_1 g442712(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[23]), .X (n_1814));
-  sky130_fd_sc_hd__nand2_1 g442713(.A (n_1513), .B
-       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]), .Y (n_1813));
-  sky130_fd_sc_hd__and2_1 g442714(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[8]), .X (n_1812));
-  sky130_fd_sc_hd__nand2_1 g442715(.A (n_1370), .B (n_1022), .Y
-       (n_1811));
-  sky130_fd_sc_hd__and2_1 g442716(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[20]), .X (n_1810));
-  sky130_fd_sc_hd__and2_1 g442717(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[25]), .X (n_1809));
-  sky130_fd_sc_hd__and2_1 g442718(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[15]), .X (n_1808));
-  sky130_fd_sc_hd__nand2_1 g442719(.A (n_1516), .B
-       (u_soc_u_top_u_core_csr_depc[13]), .Y (n_1807));
-  sky130_fd_sc_hd__nand2_1 g442720(.A (n_1516), .B
-       (u_soc_u_top_u_core_csr_depc[1]), .Y (n_1806));
-  sky130_fd_sc_hd__nand2_1 g442721(.A (n_1463), .B
-       (u_soc_u_uart_u_uart_core_rx_status), .Y (n_1805));
-  sky130_fd_sc_hd__and2_1 g442723(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[16]), .X (n_1804));
-  sky130_fd_sc_hd__nand2_1 g442724(.A (n_1409), .B
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[13]), .Y (n_1803));
-  sky130_fd_sc_hd__and2_1 g442725(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[9]), .X (n_1802));
-  sky130_fd_sc_hd__nand2_1 g442726(.A (n_1410), .B
-       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]), .Y (n_1801));
-  sky130_fd_sc_hd__nand2_1 g442727(.A (n_1516), .B
-       (u_soc_u_top_u_core_csr_depc[17]), .Y (n_1800));
-  sky130_fd_sc_hd__nand2_1 g442728(.A (n_1516), .B
-       (u_soc_u_top_u_core_csr_depc[8]), .Y (n_1799));
-  sky130_fd_sc_hd__and2_1 g442729(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[10]), .X (n_1798));
-  sky130_fd_sc_hd__and2_1 g442730(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[30]), .X (n_1797));
-  sky130_fd_sc_hd__nand2_1 g442731(.A (n_1516), .B
-       (u_soc_u_top_u_core_csr_depc[21]), .Y (n_1796));
-  sky130_fd_sc_hd__and2_1 g442732(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[4]), .X (n_1795));
-  sky130_fd_sc_hd__nand2_1 g442733(.A (n_1527), .B
-       (u_soc_u_top_u_core_cs_registers_i_mcause_q[5]), .Y (n_1794));
-  sky130_fd_sc_hd__and2_1 g442734(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[6]), .X (n_1793));
-  sky130_fd_sc_hd__nand2b_1 g442735(.A_N
-       (u_soc_u_uart_u_uart_core_rx_timeout[2]), .B (n_1514), .Y
-       (n_1792));
-  sky130_fd_sc_hd__and2_1 g442736(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[28]), .X (n_1791));
-  sky130_fd_sc_hd__nand2_1 g442737(.A (n_1409), .B
-       (u_soc_u_top_u_core_cs_registers_i_mtval_q[8]), .Y (n_1790));
-  sky130_fd_sc_hd__and2_1 g442738(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[31]), .X (n_1789));
-  sky130_fd_sc_hd__and2_1 g442739(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[18]), .X (n_1788));
-  sky130_fd_sc_hd__nand2_1 g442740(.A (n_13462), .B (n_1337), .Y
-       (n_1787));
-  sky130_fd_sc_hd__and2_1 g442741(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[29]), .X (n_1786));
-  sky130_fd_sc_hd__and2_1 g442743(.A (n_1514), .B
-       (u_soc_u_uart_u_uart_core_rx_timeout[26]), .X (n_1784));
-  sky130_fd_sc_hd__nand2b_1 g442753(.A_N (n_1070), .B (n_1557), .Y
-       (n_1918));
-  sky130_fd_sc_hd__nand2b_1 g442754(.A_N (n_1078), .B (n_1558), .Y
-       (n_1917));
-  sky130_fd_sc_hd__nand2_1 g442763(.A (n_1459), .B (n_1553), .Y
-       (n_1916));
-  sky130_fd_sc_hd__nand2_1 g442774(.A (n_1565), .B (n_636), .Y
-       (n_1914));
-  sky130_fd_sc_hd__nand2_1 g442776(.A (n_1459), .B (n_1426), .Y
-       (n_1913));
-  sky130_fd_sc_hd__nand2_1 g442778(.A (n_1551), .B
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .Y (n_1912));
-  sky130_fd_sc_hd__nor2_1 g442779(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .B (n_1526), .Y (n_1910));
-  sky130_fd_sc_hd__nand2b_1 g442780(.A_N (n_1434), .B
-       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_1909));
-  sky130_fd_sc_hd__nand2_1 g442781(.A (n_1432), .B (n_480), .Y
-       (n_1908));
-  sky130_fd_sc_hd__nor2b_1 g442782(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .B_N
-       (n_1550), .Y (n_1907));
-  sky130_fd_sc_hd__nor2_1 g442783(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1535), .Y
-       (n_1906));
-  sky130_fd_sc_hd__nand2_1 g442784(.A (n_1545), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1905));
-  sky130_fd_sc_hd__nor2_1 g442785(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1537), .Y
-       (n_1903));
-  sky130_fd_sc_hd__nor2_1 g442786(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1547), .Y
-       (n_1902));
-  sky130_fd_sc_hd__nor2_1 g442787(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_15928), .Y
-       (n_1901));
-  sky130_fd_sc_hd__nor2_1 g442788(.A (n_53), .B (n_1460), .Y (n_1900));
-  sky130_fd_sc_hd__nand2_1 g442789(.A (n_1528), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1899));
-  sky130_fd_sc_hd__nor2_1 g442790(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1544), .Y
-       (n_1898));
-  sky130_fd_sc_hd__nor2_1 g442791(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1549), .Y
-       (n_1897));
-  sky130_fd_sc_hd__nor2_1 g442792(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1546), .Y
-       (n_1896));
-  sky130_fd_sc_hd__nand2_1 g442793(.A (n_1547), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1895));
-  sky130_fd_sc_hd__nor2_1 g442795(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1545), .Y
-       (n_1893));
-  sky130_fd_sc_hd__nand2_1 g442797(.A (n_1542), .B (n_478), .Y
-       (n_1891));
-  sky130_fd_sc_hd__nor2_1 g442798(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1529), .Y
-       (n_1890));
-  sky130_fd_sc_hd__and2_1 g442799(.A (n_1532), .B (n_480), .X (n_1889));
-  sky130_fd_sc_hd__nor2b_1 g442800(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B_N (n_1549), .Y
-       (n_1888));
-  sky130_fd_sc_hd__nor2_1 g442801(.A (n_497), .B (n_1549), .Y (n_1887));
-  sky130_fd_sc_hd__nor2_1 g442805(.A (n_13458), .B (n_1464), .Y
-       (n_1886));
-  sky130_fd_sc_hd__nor2_1 g442806(.A (n_1425), .B (n_13478), .Y
-       (n_1884));
-  sky130_fd_sc_hd__nor2_1 g442807(.A (n_13358), .B (n_1438), .Y
-       (n_1883));
-  sky130_fd_sc_hd__nor2_1 g442808(.A (n_13359), .B (n_1433), .Y
-       (n_1882));
-  sky130_fd_sc_hd__nor2_1 g442809(.A (n_13360), .B (n_1433), .Y
-       (n_1881));
-  sky130_fd_sc_hd__nor2_1 g442810(.A (n_13358), .B (n_1433), .Y
-       (n_1880));
-  sky130_fd_sc_hd__nor2_1 g442811(.A (n_13361), .B (n_1438), .Y
-       (n_1879));
-  sky130_fd_sc_hd__nor2_1 g442812(.A (n_1280), .B (n_1556), .Y
-       (n_1878));
-  sky130_fd_sc_hd__nor2_1 g442813(.A (n_13361), .B (n_1433), .Y
-       (n_1877));
-  sky130_fd_sc_hd__nor2_1 g442814(.A (n_13359), .B (n_1438), .Y
-       (n_1876));
-  sky130_fd_sc_hd__nor2_1 g442815(.A (n_13360), .B (n_1438), .Y
-       (n_1875));
-  sky130_fd_sc_hd__nor2_1 g442816(.A (n_1280), .B (n_1543), .Y
-       (n_1874));
-  sky130_fd_sc_hd__nor2_1 g442817(.A (n_1241), .B (n_1543), .Y
-       (n_1873));
-  sky130_fd_sc_hd__nor2_1 g442818(.A
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .B
-       (n_1418), .Y (n_1872));
-  sky130_fd_sc_hd__nor2_1 g442819(.A (n_1556), .B (n_1241), .Y
-       (n_1871));
-  sky130_fd_sc_hd__nand2_1 g442820(.A (n_15928), .B (n_1040), .Y
-       (n_1870));
-  sky130_fd_sc_hd__nand2_1 g442821(.A (n_1419), .B (n_1241), .Y
-       (n_1868));
-  sky130_fd_sc_hd__nor2_1 g442822(.A (n_1044), .B (n_1542), .Y
-       (n_1867));
-  sky130_fd_sc_hd__nand2_1 g442823(.A (n_1535), .B (n_1043), .Y
-       (n_1865));
-  sky130_fd_sc_hd__nor2_1 g442824(.A (n_1039), .B (n_1542), .Y
-       (n_1863));
-  sky130_fd_sc_hd__nand2_1 g442825(.A (n_1537), .B (n_1043), .Y
-       (n_1861));
-  sky130_fd_sc_hd__nand2_1 g442826(.A (n_1537), .B (n_1040), .Y
-       (n_1859));
-  sky130_fd_sc_hd__nand2_1 g442827(.A (n_15928), .B (n_1043), .Y
-       (n_1857));
-  sky130_fd_sc_hd__nand2_1 g442828(.A (n_1040), .B (n_1535), .Y
-       (n_1855));
-  sky130_fd_sc_hd__nand2_1 g442829(.A (n_1415), .B (n_1213), .Y
-       (n_1854));
-  sky130_fd_sc_hd__nor2_1 g442830(.A (n_1240), .B (n_1543), .Y
-       (n_1852));
-  sky130_fd_sc_hd__and2_1 g442831(.A (n_1406), .B
-       (u_soc_u_top_u_core_csr_save_if), .X (n_1851));
-  sky130_fd_sc_hd__nor2_1 g442832(.A (n_1282), .B (n_1405), .Y
-       (n_1850));
-  sky130_fd_sc_hd__and2_0 g442833(.A (n_1555), .B (n_1241), .X
-       (n_1849));
-  sky130_fd_sc_hd__nor2_1 g442834(.A
-       (u_soc_u_top_u_core_csr_restore_mret_id), .B (n_1405), .Y
-       (n_1848));
-  sky130_fd_sc_hd__nand2_1 g442835(.A (n_1551), .B (n_16), .Y (n_1846));
-  sky130_fd_sc_hd__nand2_1 g442836(.A (n_1223), .B (n_1413), .Y
-       (n_1844));
-  sky130_fd_sc_hd__nand2_1 g442837(.A (n_1223), .B (n_1410), .Y
-       (n_1843));
-  sky130_fd_sc_hd__nand2_1 g442838(.A (n_1223), .B (n_1513), .Y
-       (n_1842));
-  sky130_fd_sc_hd__inv_2 g442840(.A (n_1755), .Y (n_1754));
-  sky130_fd_sc_hd__inv_2 g442841(.A (n_1753), .Y (n_1752));
-  sky130_fd_sc_hd__inv_2 g442842(.A (n_1751), .Y (n_1750));
-  sky130_fd_sc_hd__inv_1 g442843(.A (n_1749), .Y (n_1748));
-  sky130_fd_sc_hd__inv_2 g442844(.A (n_1744), .Y (n_1743));
-  sky130_fd_sc_hd__inv_2 g442845(.A (n_1742), .Y (n_1741));
-  sky130_fd_sc_hd__a22oi_1 g442846(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[13]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[13]), .Y (n_1740));
-  sky130_fd_sc_hd__o211ai_1 g442847(.A1 (n_13455), .A2 (n_1208), .B1
-       (n_13872), .C1 (n_13341), .Y (n_1739));
-  sky130_fd_sc_hd__nand2_1 g442848(.A (n_1552), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .Y (n_1738));
-  sky130_fd_sc_hd__nand2_1 g442849(.A (n_1354), .B
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .Y (n_1737));
-  sky130_fd_sc_hd__o31ai_1 g442850(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A2 (n_15943), .A3
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_1425), .Y
-       (n_1736));
-  sky130_fd_sc_hd__o21ai_0 g442851(.A1 (n_1186), .A2 (n_13329), .B1
-       (n_13326), .Y (n_1735));
-  sky130_fd_sc_hd__nand2_1 g442852(.A (n_1514), .B (n_1196), .Y
-       (n_1734));
-  sky130_fd_sc_hd__nor2_1 g442853(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [2]), .B (n_1489), .Y (n_1733));
-  sky130_fd_sc_hd__a22o_1 g442854(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_600), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]), .X (n_1732));
-  sky130_fd_sc_hd__a22o_1 g442855(.A1 (n_1023), .A2
-       (u_soc_u_uart_u_uart_core_rx_time_n_606), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .X (n_1731));
-  sky130_fd_sc_hd__o31ai_1 g442856(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A3 (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B1
-       (n_1163), .Y (n_1730));
-  sky130_fd_sc_hd__o21ai_1 g442857(.A1 (io_out[37]), .A2 (n_1047), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
-       [1]), .Y (n_1729));
-  sky130_fd_sc_hd__a22oi_1 g442858(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[18]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[18]), .Y (n_1728));
-  sky130_fd_sc_hd__a22oi_1 g442859(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[19]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[19]), .Y (n_1727));
-  sky130_fd_sc_hd__o21ai_0 g442860(.A1 (n_43), .A2 (n_1055), .B1
-       (n_13334), .Y (n_1726));
-  sky130_fd_sc_hd__o21ai_1 g442861(.A1 (io_out[37]), .A2 (n_1096), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [1]), .Y (n_1725));
-  sky130_fd_sc_hd__o21ai_1 g442862(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2 (n_1069), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_1724));
-  sky130_fd_sc_hd__o21ai_1 g442863(.A1 (io_out[37]), .A2 (n_1164), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [1]), .Y (n_1723));
-  sky130_fd_sc_hd__a22oi_1 g442864(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[19]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[19]), .Y (n_1722));
-  sky130_fd_sc_hd__a21oi_1 g442865(.A1 (n_1261), .A2 (n_1087), .B1
-       (n_1534), .Y (n_1721));
-  sky130_fd_sc_hd__a22o_1 g442866(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_579), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]), .X (n_1720));
-  sky130_fd_sc_hd__a22o_1 g442867(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_581), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]), .X (n_1719));
-  sky130_fd_sc_hd__a22o_1 g442868(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_591), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]), .X (n_1718));
-  sky130_fd_sc_hd__a22o_1 g442869(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_603), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]), .X (n_1717));
-  sky130_fd_sc_hd__a22o_1 g442870(.A1 (n_1023), .A2
-       (u_soc_u_uart_u_uart_core_rx_time_n_605), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]), .X (n_1716));
-  sky130_fd_sc_hd__a22oi_1 g442871(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[12]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[12]), .Y (n_1715));
-  sky130_fd_sc_hd__a22oi_1 g442872(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[20]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[20]), .Y (n_1714));
-  sky130_fd_sc_hd__o21ai_1 g442873(.A1 (io_out[37]), .A2 (n_1064), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
-       [1]), .Y (n_1713));
-  sky130_fd_sc_hd__a22o_1 g442874(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_601), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]), .X (n_1712));
-  sky130_fd_sc_hd__a22o_1 g442875(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_602), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]), .X (n_1711));
-  sky130_fd_sc_hd__a21oi_1 g442876(.A1 (n_1261), .A2 (n_1083), .B1
-       (n_1534), .Y (n_1710));
-  sky130_fd_sc_hd__a21oi_1 g442877(.A1 (n_1261), .A2 (n_1079), .B1
-       (n_1534), .Y (n_1709));
-  sky130_fd_sc_hd__a22o_1 g442878(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_585), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]), .X (n_1708));
-  sky130_fd_sc_hd__a22o_1 g442879(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_584), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]), .X (n_1707));
-  sky130_fd_sc_hd__a22o_1 g442880(.A1 (n_1023), .A2
-       (u_soc_u_uart_u_uart_core_rx_time_n_604), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]), .X (n_1706));
-  sky130_fd_sc_hd__a22o_1 g442881(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_577), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .X (n_1705));
-  sky130_fd_sc_hd__a22oi_1 g442882(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[23]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[23]), .Y (n_1704));
-  sky130_fd_sc_hd__a22o_1 g442883(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_586), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]), .X (n_1703));
-  sky130_fd_sc_hd__a21boi_1 g442884(.A1 (n_1283), .A2 (n_589), .B1_N
-       (n_1612), .Y (n_1702));
-  sky130_fd_sc_hd__a22oi_1 g442885(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[17]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[17]), .Y (n_1701));
-  sky130_fd_sc_hd__a21o_1 g442886(.A1 (n_111), .A2 (n_1094), .B1
-       (n_1096), .X (n_1700));
-  sky130_fd_sc_hd__nor4b_1 g442887(.A (n_12), .B (n_13484), .C
-       (n_13474), .D_N (u_soc_n_289), .Y (n_1699));
-  sky130_fd_sc_hd__a22oi_1 g442888(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[27]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[27]), .Y (n_1698));
-  sky130_fd_sc_hd__a22o_1 g442889(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_582), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]), .X (n_1697));
-  sky130_fd_sc_hd__a21o_1 g442890(.A1 (n_1090), .A2 (n_111), .B1
-       (n_1064), .X (n_1696));
-  sky130_fd_sc_hd__a22o_1 g442891(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_597), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]), .X (n_1695));
-  sky130_fd_sc_hd__nand2_1 g442892(.A (n_1473), .B (n_1063), .Y
-       (n_1694));
-  sky130_fd_sc_hd__nor3_1 g442893(.A (n_471), .B
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .C (n_1062), .Y
-       (n_1693));
-  sky130_fd_sc_hd__or4_1 g442894(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [1]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [2]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [29]), .D
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [30]), .X (n_1692));
-  sky130_fd_sc_hd__a22o_1 g442895(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_587), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]), .X (n_1691));
-  sky130_fd_sc_hd__or4_1 g442896(.A (n_13386), .B (n_13388), .C
-       (n_13387), .D (n_13389), .X (n_1690));
-  sky130_fd_sc_hd__a221oi_1 g442897(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36]
-       [0]), .C1 (n_1404), .Y (n_1689));
-  sky130_fd_sc_hd__a22oi_1 g442898(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[9]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[9]), .Y (n_1688));
-  sky130_fd_sc_hd__a22o_1 g442899(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_588), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]), .X (n_1687));
-  sky130_fd_sc_hd__nor4_1 g442900(.A
-       (u_soc_u_top_u_core_id_stage_i_ebrk_insn), .B
-       (u_soc_u_top_u_core_id_stage_i_ecall_insn_dec), .C
-       (u_soc_u_top_u_core_id_stage_i_illegal_insn_dec), .D
-       (u_soc_u_top_u_core_illegal_csr_insn_id), .Y (n_1686));
-  sky130_fd_sc_hd__or4_1 g442901(.A
-       (u_soc_u_top_u_core_alu_operator_ex[1]), .B (n_720), .C
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .D
-       (u_soc_u_top_u_core_alu_operator_ex[5]), .X (n_1685));
-  sky130_fd_sc_hd__a22oi_1 g442902(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[27]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[27]), .Y (n_1684));
-  sky130_fd_sc_hd__a221oi_1 g442903(.A1 (n_831), .A2
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]), .B1
-       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
-       [0]), .C1 (n_1348), .Y (n_1683));
-  sky130_fd_sc_hd__a22oi_1 g442904(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[18]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[18]), .Y (n_1682));
-  sky130_fd_sc_hd__and3_1 g442905(.A (n_1261), .B (n_610), .C (n_62),
-       .X (n_1681));
-  sky130_fd_sc_hd__and3_1 g442906(.A (n_1092), .B (n_568), .C (n_579),
-       .X (n_1680));
-  sky130_fd_sc_hd__o21ai_1 g442907(.A1 (n_32), .A2 (n_1093), .B1
-       (n_1091), .Y (n_1679));
-  sky130_fd_sc_hd__a22o_1 g442908(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_580), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]), .X (n_1678));
-  sky130_fd_sc_hd__a22oi_1 g442909(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[20]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[20]), .Y (n_1677));
-  sky130_fd_sc_hd__a22oi_1 g442910(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[21]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[21]), .Y (n_1676));
-  sky130_fd_sc_hd__a22o_1 g442911(.A1 (n_1212), .A2
-       (u_soc_u_top_u_core_debug_cause[1]), .B1 (n_1213), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]), .X
-       (n_1675));
-  sky130_fd_sc_hd__a22o_1 g442912(.A1 (n_1212), .A2
-       (u_soc_u_top_u_core_debug_cause[2]), .B1 (n_1213), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]), .X
-       (n_1674));
-  sky130_fd_sc_hd__a22o_1 g442913(.A1 (n_1212), .A2
-       (u_soc_u_top_u_core_debug_cause[0]), .B1 (n_1213), .B2
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]), .X
-       (n_1673));
-  sky130_fd_sc_hd__o22ai_1 g442914(.A1
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2 (n_1274), .B1 (n_62), .B2 (n_1278), .Y (n_1672));
-  sky130_fd_sc_hd__a32o_1 g442915(.A1 (n_475), .A2
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .A3
-       (u_soc_u_top_data_we), .B1 (n_13380), .B2
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [0]), .X (n_1671));
-  sky130_fd_sc_hd__o21ai_1 g442916(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2 (n_1069), .B1 (n_1352), .Y (n_1670));
-  sky130_fd_sc_hd__a22oi_1 g442917(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[21]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[21]), .Y (n_1669));
-  sky130_fd_sc_hd__a22oi_1 g442918(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[14]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[14]), .Y (n_1668));
-  sky130_fd_sc_hd__a22oi_1 g442919(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[17]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[17]), .Y (n_1667));
-  sky130_fd_sc_hd__a22o_1 g442920(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_593), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]), .X (n_1666));
-  sky130_fd_sc_hd__nor2b_1 g442921(.A (n_471), .B_N (n_1594), .Y
-       (n_1665));
-  sky130_fd_sc_hd__a22o_1 g442922(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_594), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]), .X (n_1664));
-  sky130_fd_sc_hd__a22o_1 g442923(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_598), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]), .X (n_1663));
-  sky130_fd_sc_hd__a22oi_1 g442924(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[15]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[15]), .Y (n_1662));
-  sky130_fd_sc_hd__a22o_1 g442925(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_595), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]), .X (n_1661));
-  sky130_fd_sc_hd__a22o_1 g442926(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_596), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]), .X (n_1660));
-  sky130_fd_sc_hd__a22oi_1 g442927(.A1 (n_1059), .A2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [2]), .B1 (n_1060), .B2
-       (\u_soc_u_top_u_core_imd_val_q_ex[1] [4]), .Y (n_1659));
-  sky130_fd_sc_hd__a22oi_1 g442928(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[22]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[22]), .Y (n_1658));
-  sky130_fd_sc_hd__a22o_1 g442929(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_576), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .X (n_1657));
-  sky130_fd_sc_hd__a22oi_1 g442930(.A1 (n_1218), .A2 (n_13743), .B1
-       (n_1219), .B2 (u_soc_u_top_u_core_csr_mepc[1]), .Y (n_1656));
-  sky130_fd_sc_hd__a22oi_1 g442931(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[12]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[12]), .Y (n_1655));
-  sky130_fd_sc_hd__a22oi_1 g442932(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[16]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[16]), .Y (n_1654));
-  sky130_fd_sc_hd__a22oi_1 g442933(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[18]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[18]), .Y (n_1653));
-  sky130_fd_sc_hd__a22oi_1 g442934(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[19]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[19]), .Y (n_1652));
-  sky130_fd_sc_hd__a22oi_1 g442935(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[20]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[20]), .Y (n_1651));
-  sky130_fd_sc_hd__a22oi_1 g442936(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[22]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[22]), .Y (n_1650));
-  sky130_fd_sc_hd__a22oi_1 g442937(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[24]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[24]), .Y (n_1649));
-  sky130_fd_sc_hd__a22oi_1 g442938(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[26]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[26]), .Y (n_1648));
-  sky130_fd_sc_hd__a22oi_1 g442939(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[28]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[28]), .Y (n_1647));
-  sky130_fd_sc_hd__a22o_1 g442940(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_583), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]), .X (n_1646));
-  sky130_fd_sc_hd__a22oi_1 g442941(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[30]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[30]), .Y (n_1645));
-  sky130_fd_sc_hd__a22oi_1 g442942(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[25]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[25]), .Y (n_1644));
-  sky130_fd_sc_hd__a22o_1 g442943(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_599), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]), .X (n_1643));
-  sky130_fd_sc_hd__a22oi_1 g442944(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[14]), .B1 (n_1230), .B2
-       (u_soc_u_dccm_rdata2[14]), .Y (n_1642));
-  sky130_fd_sc_hd__a22oi_1 g442945(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[9]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[9]), .Y (n_1641));
-  sky130_fd_sc_hd__a22oi_1 g442946(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[23]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[23]), .Y (n_1640));
-  sky130_fd_sc_hd__a22oi_1 g442947(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[12]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[12]), .Y (n_1639));
-  sky130_fd_sc_hd__a22oi_1 g442948(.A1 (n_1228), .A2
-       (u_soc_u_dccm_rdata3[14]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[14]), .Y (n_1638));
-  sky130_fd_sc_hd__a22oi_1 g442949(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[16]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[16]), .Y (n_1637));
-  sky130_fd_sc_hd__a22oi_1 g442950(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[27]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[27]), .Y (n_1636));
-  sky130_fd_sc_hd__a22oi_1 g442951(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[16]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[16]), .Y (n_1635));
-  sky130_fd_sc_hd__a22oi_1 g442952(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[23]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[23]), .Y (n_1634));
-  sky130_fd_sc_hd__a22o_1 g442953(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_592), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]), .X (n_1633));
-  sky130_fd_sc_hd__a22oi_1 g442954(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[17]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[17]), .Y (n_1632));
-  sky130_fd_sc_hd__a22oi_1 g442955(.A1 (n_1230), .A2
-       (u_soc_u_dccm_rdata2[22]), .B1 (n_1228), .B2
-       (u_soc_u_dccm_rdata3[22]), .Y (n_1631));
-  sky130_fd_sc_hd__a22o_1 g442956(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_578), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]), .X (n_1630));
-  sky130_fd_sc_hd__a22oi_1 g442957(.A1 (n_1219), .A2
-       (u_soc_u_top_u_core_csr_mepc[31]), .B1 (n_1221), .B2
-       (u_soc_u_top_u_core_csr_mtvec[31]), .Y (n_1629));
-  sky130_fd_sc_hd__a22oi_1 g442958(.A1 (n_1227), .A2
-       (u_soc_u_dccm_rdata1[21]), .B1 (n_1224), .B2
-       (u_soc_u_dccm_rdata4[21]), .Y (n_1628));
-  sky130_fd_sc_hd__a22o_1 g442959(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_589), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]), .X (n_1627));
-  sky130_fd_sc_hd__a22o_1 g442960(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_n_590), .A2 (n_1023), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]), .X (n_1626));
-  sky130_fd_sc_hd__nor4_1 g442961(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .B (n_30), .C
-       (n_13476), .D (n_13480), .Y (n_1783));
-  sky130_fd_sc_hd__nand2_1 g442962(.A (\u_soc_xbar_to_lsu[d_valid] ),
-       .B (n_1349), .Y (n_1782));
-  sky130_fd_sc_hd__nor3_1 g442963(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .B
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .C
-       (n_1301), .Y (n_1781));
-  sky130_fd_sc_hd__nor4b_1 g442964(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .B (n_587), .C (u_soc_u_top_u_core_ready_wb), .D_N
-       (n_13879), .Y (n_1779));
-  sky130_fd_sc_hd__a22oi_1 g442965(.A1 (n_1297), .A2 (n_636), .B1
-       (n_1304), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1778));
-  sky130_fd_sc_hd__o21ai_1 g442966(.A1
-       (u_soc_u_top_u_core_instr_first_cycle_id), .A2 (n_142), .B1
-       (n_1441), .Y (n_1777));
-  sky130_fd_sc_hd__o21ai_1 g442967(.A1 (n_115), .A2
-       (u_soc_u_top_u_core_csr_save_cause), .B1 (n_1405), .Y (n_1776));
-  sky130_fd_sc_hd__a221oi_1 g442968(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[4]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[4]), .C1 (n_1323), .Y (n_1775));
-  sky130_fd_sc_hd__a221oi_1 g442969(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[20]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[20]), .C1 (n_1329), .Y (n_1774));
-  sky130_fd_sc_hd__a221oi_1 g442970(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[5]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[5]), .C1 (n_1340), .Y (n_1773));
-  sky130_fd_sc_hd__a221oi_1 g442971(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[19]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[19]), .C1 (n_1315), .Y (n_1772));
-  sky130_fd_sc_hd__a221oi_1 g442972(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[2]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[2]), .C1 (n_1325), .Y (n_1771));
-  sky130_fd_sc_hd__a221oi_1 g442973(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[21]), .B1 (n_14), .B2
-       (u_soc_u_iccm_rdata3[21]), .C1 (n_1320), .Y (n_1770));
-  sky130_fd_sc_hd__a221oi_1 g442974(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[6]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[6]), .C1 (n_1330), .Y (n_1769));
-  sky130_fd_sc_hd__nor3_1 g442975(.A (n_13452), .B (n_13477), .C
-       (n_1258), .Y (n_1768));
-  sky130_fd_sc_hd__a221oi_1 g442976(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[3]), .B1 (n_14), .B2
-       (u_soc_u_iccm_rdata3[3]), .C1 (n_1324), .Y (n_1767));
-  sky130_fd_sc_hd__a221oi_1 g442977(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[7]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[7]), .C1 (n_1333), .Y (n_1766));
-  sky130_fd_sc_hd__a221oi_1 g442978(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[22]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[22]), .C1 (n_1326), .Y (n_1765));
-  sky130_fd_sc_hd__a221oi_1 g442979(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[23]), .B1 (n_14), .B2
-       (u_soc_u_iccm_rdata3[23]), .C1 (n_1336), .Y (n_1764));
-  sky130_fd_sc_hd__a221oi_1 g442980(.A1 (n_495), .A2
-       (u_soc_u_iccm_rdata4[18]), .B1 (n_14), .B2
-       (u_soc_u_iccm_rdata3[18]), .C1 (n_1342), .Y (n_1763));
-  sky130_fd_sc_hd__nand4b_1 g442981(.A_N
-       (u_soc_dccm_adapter_data_mem_error_internal), .B (n_15902), .C
-       (n_13882), .D (n_641), .Y (n_1762));
-  sky130_fd_sc_hd__nand4b_1 g442982(.A_N (n_15897), .B (n_15901), .C
-       (n_13884), .D (n_641), .Y (n_1761));
-  sky130_fd_sc_hd__o21a_1 g442983(.A1
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .A2 (n_1278), .B1 (n_1083), .X (n_1760));
-  sky130_fd_sc_hd__nor3_1 g442984(.A
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .B
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .C
-       (n_1246), .Y (n_1759));
-  sky130_fd_sc_hd__a21oi_1 g442985(.A1 (n_1273), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B1 (n_1088), .Y (n_1758));
-  sky130_fd_sc_hd__a21oi_1 g442986(.A1 (n_1277), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B1 (n_1080), .Y (n_1757));
-  sky130_fd_sc_hd__nor3_1 g442987(.A (n_642), .B (n_1249), .C (n_28),
-       .Y (n_1756));
-  sky130_fd_sc_hd__maj3_1 g442988(.A (n_153), .B (n_597), .C
-       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .X (n_1755));
-  sky130_fd_sc_hd__nor3_1 g442989(.A
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .B
-       (n_1249), .C (n_28), .Y (n_1753));
-  sky130_fd_sc_hd__a21boi_1 g442990(.A1 (n_15899), .A2
-       (\u_soc_xbar_to_lsu[d_valid] ), .B1_N (n_1301), .Y (n_1751));
-  sky130_fd_sc_hd__and3b_1 g442991(.A_N (n_13478), .B (n_1065), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .X (n_1749));
-  sky130_fd_sc_hd__or4b_2 g442992(.A (n_657), .B
-       (u_soc_main_swith_host_lsu_err_resp_err_opcode[0]), .C (n_646),
-       .D_N (u_soc_main_swith_host_lsu_err_resp_err_opcode[2]), .X
-       (n_1747));
-  sky130_fd_sc_hd__and3b_1 g442993(.A_N
-       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .B (n_1259), .C
-       (n_13452), .X (n_1746));
-  sky130_fd_sc_hd__and3_1 g442994(.A (n_1259), .B
-       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .C (n_13452), .X
-       (n_1745));
-  sky130_fd_sc_hd__mux2_2 g442995(.A0
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .A1 (n_12), .S
-       (n_15935), .X (n_1744));
-  sky130_fd_sc_hd__mux2_2 g442996(.A0 (n_15943), .A1 (n_17), .S
-       (n_1169), .X (n_1742));
-  sky130_fd_sc_hd__inv_1 g442997(.A (n_1596), .Y (n_1597));
-  sky130_fd_sc_hd__inv_1 g442998(.A (n_1555), .Y (n_1556));
-  sky130_fd_sc_hd__clkinv_1 g442999(.A (n_1546), .Y (n_1547));
-  sky130_fd_sc_hd__clkinv_1 g443000(.A (n_1544), .Y (n_1545));
-  sky130_fd_sc_hd__inv_2 g443001(.A (n_1538), .Y (n_1539));
-  sky130_fd_sc_hd__inv_2 g443003(.A (n_1529), .Y (n_1528));
-  sky130_fd_sc_hd__inv_2 g443004(.A (n_1526), .Y (n_1525));
-  sky130_fd_sc_hd__inv_2 g443005(.A (n_1521), .Y (n_1522));
-  sky130_fd_sc_hd__inv_1 g443006(.A (n_1518), .Y (n_1517));
-  sky130_fd_sc_hd__inv_1 g443007(.A (n_1516), .Y (n_1515));
-  sky130_fd_sc_hd__nand2_1 g443010(.A (n_1214), .B
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]), .Y (n_1512));
-  sky130_fd_sc_hd__nand2_1 g443011(.A (n_1233), .B
-       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ), .Y
-       (n_1511));
-  sky130_fd_sc_hd__nor2_1 g443012(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .B (n_1300), .Y
-       (n_1510));
-  sky130_fd_sc_hd__o21ai_1 g443013(.A1 (n_1019), .A2 (n_13874), .B1
-       (u_soc_u_top_u_core_id_stage_i_rf_we_dec), .Y (n_1509));
-  sky130_fd_sc_hd__nand2_1 g443014(.A (n_1218), .B (n_13755), .Y
-       (n_1508));
-  sky130_fd_sc_hd__nand2_1 g443015(.A (n_1214), .B
-       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]), .Y (n_1507));
-  sky130_fd_sc_hd__nand2_1 g443016(.A (n_1218), .B (n_13769), .Y
-       (n_1506));
-  sky130_fd_sc_hd__nand2_1 g443017(.A (n_1218), .B (n_13764), .Y
-       (n_1505));
-  sky130_fd_sc_hd__nand2_1 g443018(.A (n_1218), .B (n_13763), .Y
-       (n_1504));
-  sky130_fd_sc_hd__nand2_1 g443019(.A (n_1233), .B
-       (u_soc_u_top_u_core_debug_single_step), .Y (n_1503));
-  sky130_fd_sc_hd__nand2_1 g443020(.A (n_1218), .B (n_13756), .Y
-       (n_1502));
-  sky130_fd_sc_hd__nand2_1 g443021(.A (n_1218), .B (n_13761), .Y
-       (n_1501));
-  sky130_fd_sc_hd__nand2_1 g443022(.A (n_1218), .B (n_13765), .Y
-       (n_1500));
-  sky130_fd_sc_hd__nand2_1 g443023(.A (n_1218), .B (n_13766), .Y
-       (n_1499));
-  sky130_fd_sc_hd__nand2_1 g443024(.A (n_1218), .B (n_13767), .Y
-       (n_1498));
-  sky130_fd_sc_hd__nand2_1 g443025(.A (n_1218), .B (n_13770), .Y
-       (n_1497));
-  sky130_fd_sc_hd__nand2_1 g443026(.A (n_1220), .B
-       (u_soc_u_top_u_core_csr_depc[29]), .Y (n_1496));
-  sky130_fd_sc_hd__nand2_1 g443027(.A (n_1218), .B (n_13773), .Y
-       (n_1495));
-  sky130_fd_sc_hd__o21bai_1 g443028(.A1
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .A2
-       (n_1020), .B1_N
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
-       (n_1494));
-  sky130_fd_sc_hd__nand2_1 g443029(.A (n_1218), .B (n_13760), .Y
-       (n_1493));
-  sky130_fd_sc_hd__nand2_1 g443030(.A (n_1218), .B (n_13757), .Y
-       (n_1492));
-  sky130_fd_sc_hd__nor2_1 g443031(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B (n_1299), .Y
-       (n_1491));
-  sky130_fd_sc_hd__nand2_1 g443032(.A (n_1218), .B (n_13759), .Y
-       (n_1490));
-  sky130_fd_sc_hd__nor2_1 g443033(.A (n_1080), .B
-       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .Y (n_1489));
-  sky130_fd_sc_hd__nand2_1 g443034(.A (n_1218), .B (n_13772), .Y
-       (n_1488));
-  sky130_fd_sc_hd__nand2_1 g443035(.A (n_1218), .B (n_13762), .Y
-       (n_1487));
-  sky130_fd_sc_hd__nand2b_1 g443036(.A_N (n_1292), .B (n_13320), .Y
-       (n_1486));
-  sky130_fd_sc_hd__nand2_1 g443037(.A (n_1276), .B (n_1066), .Y
-       (n_1485));
-  sky130_fd_sc_hd__nand2_1 g443038(.A (n_1218), .B (n_13758), .Y
-       (n_1484));
-  sky130_fd_sc_hd__nand2_1 g443039(.A (n_1218), .B (n_13754), .Y
-       (n_1483));
-  sky130_fd_sc_hd__nand2_1 g443040(.A (n_1218), .B (n_13768), .Y
-       (n_1482));
-  sky130_fd_sc_hd__nor2_1 g443041(.A (u_soc_iccm_ctrl_we), .B (n_1269),
-       .Y (n_1481));
-  sky130_fd_sc_hd__nor2b_1 g443042(.A (n_1256), .B_N (n_1091), .Y
-       (n_1480));
-  sky130_fd_sc_hd__nand2_1 g443044(.A (n_1099), .B (n_1121), .Y
-       (n_1479));
-  sky130_fd_sc_hd__nand2_1 g443045(.A (n_1246), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_1478));
-  sky130_fd_sc_hd__nor2_1 g443046(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (n_1093), .Y (n_1477));
-  sky130_fd_sc_hd__nand2b_1 g443047(.A_N (n_1064), .B
-       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .Y (n_1476));
-  sky130_fd_sc_hd__nor2_1 g443048(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B (n_1274), .Y (n_1475));
-  sky130_fd_sc_hd__nand2_1 g443049(.A (n_1057), .B
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y (n_1474));
-  sky130_fd_sc_hd__nand2_1 g443050(.A (n_1058), .B
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .Y (n_1473));
-  sky130_fd_sc_hd__nand2_1 g443052(.A (n_1284), .B (n_1268), .Y
-       (n_1471));
-  sky130_fd_sc_hd__nand2_1 g443053(.A (n_1130), .B (n_1098), .Y
-       (n_1625));
-  sky130_fd_sc_hd__nor2b_1 g443055(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B_N (n_1287), .Y (n_1624));
-  sky130_fd_sc_hd__nand2_1 g443056(.A (n_1138), .B (n_1124), .Y
-       (n_1623));
-  sky130_fd_sc_hd__nand2_1 g443057(.A (n_1142), .B (n_1114), .Y
-       (n_1622));
-  sky130_fd_sc_hd__nand2_1 g443058(.A (n_1151), .B (n_1135), .Y
-       (n_1621));
-  sky130_fd_sc_hd__nand2_1 g443059(.A (n_1154), .B (n_1134), .Y
-       (n_1620));
-  sky130_fd_sc_hd__nand2_1 g443060(.A (n_1165), .B (n_1119), .Y
-       (n_1619));
-  sky130_fd_sc_hd__nand2_1 g443061(.A (n_1133), .B (n_1158), .Y
-       (n_1618));
-  sky130_fd_sc_hd__nand2_1 g443062(.A (n_1115), .B (n_1143), .Y
-       (n_1617));
-  sky130_fd_sc_hd__nand2_1 g443063(.A (n_1144), .B (n_1126), .Y
-       (n_1616));
-  sky130_fd_sc_hd__nand2_1 g443064(.A (n_1101), .B (n_1108), .Y
-       (n_1615));
-  sky130_fd_sc_hd__nand2_1 g443065(.A (n_1137), .B (n_1147), .Y
-       (n_1614));
-  sky130_fd_sc_hd__nand2_1 g443066(.A (n_1149), .B (n_1127), .Y
-       (n_1613));
-  sky130_fd_sc_hd__nand2b_1 g443067(.A_N (n_1283), .B (n_1036), .Y
-       (n_1612));
-  sky130_fd_sc_hd__nand2_1 g443068(.A (n_1110), .B (n_1098), .Y
-       (n_1611));
-  sky130_fd_sc_hd__nand2_1 g443069(.A (n_1115), .B (n_1145), .Y
-       (n_1610));
-  sky130_fd_sc_hd__nand2_1 g443070(.A (n_1122), .B (n_1143), .Y
-       (n_1609));
-  sky130_fd_sc_hd__nand2_1 g443071(.A (n_1159), .B (n_1113), .Y
-       (n_1608));
-  sky130_fd_sc_hd__nand2_1 g443072(.A (n_1100), .B (n_1119), .Y
-       (n_1607));
-  sky130_fd_sc_hd__nand2_1 g443073(.A (n_1130), .B (n_1107), .Y
-       (n_1606));
-  sky130_fd_sc_hd__nand2_1 g443074(.A (n_1131), .B (n_1149), .Y
-       (n_1605));
-  sky130_fd_sc_hd__nand2_1 g443075(.A (n_1140), .B (n_1123), .Y
-       (n_1604));
-  sky130_fd_sc_hd__nand2_1 g443076(.A (n_1111), .B (n_1158), .Y
-       (n_1603));
-  sky130_fd_sc_hd__nand2_1 g443077(.A (n_1137), .B (n_1102), .Y
-       (n_1602));
-  sky130_fd_sc_hd__nand2_1 g443078(.A (n_1125), .B (n_1139), .Y
-       (n_1601));
-  sky130_fd_sc_hd__nand2_1 g443079(.A (n_1150), .B (n_1110), .Y
-       (n_1600));
-  sky130_fd_sc_hd__nand2_1 g443080(.A (n_1155), .B (n_1118), .Y
-       (n_1599));
-  sky130_fd_sc_hd__nand2_1 g443081(.A (n_1101), .B (n_1126), .Y
-       (n_1598));
-  sky130_fd_sc_hd__nand2_1 g443082(.A (n_1144), .B (n_1116), .Y
-       (n_1596));
-  sky130_fd_sc_hd__nand2_1 g443083(.A (n_1120), .B (n_1145), .Y
-       (n_1595));
-  sky130_fd_sc_hd__nor2b_1 g443084(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B_N (n_1293), .Y (n_1594));
-  sky130_fd_sc_hd__nand2_1 g443085(.A (n_1148), .B (n_1127), .Y
-       (n_1593));
-  sky130_fd_sc_hd__nand2_1 g443086(.A (n_1138), .B (n_1117), .Y
-       (n_1592));
-  sky130_fd_sc_hd__nand2_1 g443087(.A (n_1136), .B (n_1148), .Y
-       (n_1591));
-  sky130_fd_sc_hd__nand2_1 g443088(.A (n_1139), .B (n_1124), .Y
-       (n_1590));
-  sky130_fd_sc_hd__nand2_1 g443089(.A (n_1120), .B (n_1150), .Y
-       (n_1589));
-  sky130_fd_sc_hd__nand2_1 g443090(.A (n_1165), .B (n_1118), .Y
-       (n_1588));
-  sky130_fd_sc_hd__nand2_1 g443091(.A (n_1155), .B (n_1117), .Y
-       (n_1587));
-  sky130_fd_sc_hd__nand2_1 g443092(.A (n_1157), .B (n_1131), .Y
-       (n_1586));
-  sky130_fd_sc_hd__nand2_1 g443093(.A (n_1162), .B (n_1121), .Y
-       (n_1585));
-  sky130_fd_sc_hd__nand2_1 g443094(.A (n_1152), .B (n_1129), .Y
-       (n_1584));
-  sky130_fd_sc_hd__nand2_1 g443095(.A (n_1161), .B (n_1111), .Y
-       (n_1583));
-  sky130_fd_sc_hd__nand2_1 g443096(.A (n_1162), .B (n_1109), .Y
-       (n_1582));
-  sky130_fd_sc_hd__nand2_1 g443097(.A (n_1161), .B (n_1132), .Y
-       (n_1581));
-  sky130_fd_sc_hd__nand2_1 g443098(.A (n_1147), .B (n_1133), .Y
-       (n_1580));
-  sky130_fd_sc_hd__nand2_1 g443099(.A (n_1128), .B (n_1102), .Y
-       (n_1579));
-  sky130_fd_sc_hd__nand2_1 g443100(.A (n_1125), .B (n_1107), .Y
-       (n_1578));
-  sky130_fd_sc_hd__nand2_1 g443101(.A (n_1136), .B (n_1156), .Y
-       (n_1577));
-  sky130_fd_sc_hd__nand2_1 g443102(.A (n_1157), .B (n_1129), .Y
-       (n_1576));
-  sky130_fd_sc_hd__nand2_1 g443103(.A (n_1152), .B (n_1112), .Y
-       (n_1575));
-  sky130_fd_sc_hd__nand2_1 g443104(.A (n_1160), .B (n_1112), .Y
-       (n_1574));
-  sky130_fd_sc_hd__nand2_1 g443105(.A (n_1142), .B (n_1108), .Y
-       (n_1573));
-  sky130_fd_sc_hd__nand2_1 g443106(.A (n_1100), .B (n_1123), .Y
-       (n_1572));
-  sky130_fd_sc_hd__nand2_1 g443107(.A (n_1160), .B (n_1134), .Y
-       (n_1571));
-  sky130_fd_sc_hd__nand2_1 g443108(.A (n_1140), .B (n_1135), .Y
-       (n_1570));
-  sky130_fd_sc_hd__nand2_1 g443109(.A (n_1154), .B (n_1114), .Y
-       (n_1569));
-  sky130_fd_sc_hd__nand2_1 g443110(.A (n_1151), .B (n_1113), .Y
-       (n_1568));
-  sky130_fd_sc_hd__nand2_1 g443111(.A (n_1159), .B (n_1109), .Y
-       (n_1567));
-  sky130_fd_sc_hd__nand2_1 g443113(.A (n_1132), .B (n_1156), .Y
-       (n_1566));
-  sky130_fd_sc_hd__nor2_1 g443114(.A (n_1072), .B (n_1246), .Y
-       (n_1470));
-  sky130_fd_sc_hd__nor2_1 g443115(.A (n_1297), .B (n_1269), .Y
-       (n_1565));
-  sky130_fd_sc_hd__nand2_1 g443116(.A (n_1287), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1564));
-  sky130_fd_sc_hd__nand2_1 g443117(.A (n_1244), .B (n_15946), .Y
-       (n_1563));
-  sky130_fd_sc_hd__nor2_1 g443118(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_1263), .Y
-       (n_1562));
-  sky130_fd_sc_hd__nor2_1 g443119(.A (n_1075), .B (n_1256), .Y
-       (n_1469));
-  sky130_fd_sc_hd__nand2_1 g443120(.A (n_1293), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1561));
-  sky130_fd_sc_hd__nand2_1 g443122(.A (n_1288), .B
-       (u_soc_u_uart_u_uart_core_rx_clr), .Y (n_1468));
-  sky130_fd_sc_hd__nand2_1 g443124(.A (n_1302), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1558));
-  sky130_fd_sc_hd__nand2_1 g443125(.A (n_1290), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1557));
-  sky130_fd_sc_hd__nor2_1 g443126(.A
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .B
-       (n_1249), .Y (n_1555));
-  sky130_fd_sc_hd__nor2_1 g443128(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B (n_1095), .Y
-       (n_1553));
-  sky130_fd_sc_hd__nor2_1 g443129(.A (n_1271), .B (n_1067), .Y
-       (n_1552));
-  sky130_fd_sc_hd__nor2_1 g443130(.A (n_1254), .B (n_1074), .Y
-       (n_1551));
-  sky130_fd_sc_hd__nor2b_1 g443131(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .B_N
-       (n_1279), .Y (n_1550));
-  sky130_fd_sc_hd__nand2_1 g443132(.A (n_1286), .B (n_94), .Y (n_1549));
-  sky130_fd_sc_hd__nand2_1 g443133(.A (n_1099), .B (n_1141), .Y
-       (n_1548));
-  sky130_fd_sc_hd__nand2_1 g443134(.A (n_1286), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]), .Y (n_1546));
-  sky130_fd_sc_hd__nand2_1 g443135(.A (n_1146), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]), .Y (n_1544));
-  sky130_fd_sc_hd__nand2_1 g443136(.A (n_1253), .B
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .Y
-       (n_1543));
-  sky130_fd_sc_hd__nand2_1 g443137(.A (n_1276), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .Y (n_1542));
-  sky130_fd_sc_hd__nand2_1 g443138(.A (n_1267), .B (io_out[37]), .Y
-       (n_1541));
-  sky130_fd_sc_hd__nor2_1 g443140(.A (n_1040), .B (n_1103), .Y
-       (n_1538));
-  sky130_fd_sc_hd__nor2_1 g443141(.A (n_653), .B (n_1271), .Y (n_1537));
-  sky130_fd_sc_hd__nor2_1 g443143(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .B (n_1271), .Y
-       (n_1535));
-  sky130_fd_sc_hd__nor2_1 g443144(.A (n_471), .B (n_1270), .Y (n_1534));
-  sky130_fd_sc_hd__nor2_1 g443145(.A (n_479), .B (n_1257), .Y (n_1533));
-  sky130_fd_sc_hd__nor2_1 g443146(.A (\u_soc_xbar_to_dccm[a_address]
-       [2]), .B (n_1053), .Y (n_1532));
-  sky130_fd_sc_hd__nand2_1 g443147(.A (n_15936), .B (n_555), .Y
-       (n_1531));
-  sky130_fd_sc_hd__nand2_1 g443148(.A (n_1146), .B (n_94), .Y (n_1529));
-  sky130_fd_sc_hd__nor2_1 g443149(.A (n_1095), .B (n_13483), .Y
-       (n_1527));
-  sky130_fd_sc_hd__nand2_1 g443150(.A (n_1264), .B (n_11), .Y (n_1526));
-  sky130_fd_sc_hd__nor2_1 g443151(.A (n_1257), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Y (n_1524));
-  sky130_fd_sc_hd__nor2_1 g443152(.A (n_11), .B (n_1257), .Y (n_1523));
-  sky130_fd_sc_hd__nand2_1 g443153(.A (n_1264), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Y (n_1521));
-  sky130_fd_sc_hd__nor2_1 g443154(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_1260), .Y (n_1520));
-  sky130_fd_sc_hd__and2_1 g443155(.A (n_1212), .B
-       (u_soc_u_top_u_core_csr_save_if), .X (n_1519));
-  sky130_fd_sc_hd__nor2b_1 g443156(.A (n_13483), .B_N (n_1065), .Y
-       (n_1518));
-  sky130_fd_sc_hd__nor2b_1 g443157(.A (n_13485), .B_N (n_1065), .Y
-       (n_1516));
-  sky130_fd_sc_hd__nand2_1 g443158(.A (n_1285), .B (n_1268), .Y
-       (n_1514));
-  sky130_fd_sc_hd__nor2_1 g443159(.A (n_1095), .B (n_13485), .Y
-       (n_1513));
-  sky130_fd_sc_hd__inv_1 g443160(.A (n_1456), .Y (n_1457));
-  sky130_fd_sc_hd__inv_1 g443161(.A (n_1454), .Y (n_1455));
-  sky130_fd_sc_hd__inv_2 g443163(.A (n_1428), .Y (n_1427));
-  sky130_fd_sc_hd__inv_1 g443164(.A (n_1426), .Y (n_1425));
-  sky130_fd_sc_hd__inv_2 g443165(.A (n_1420), .Y (n_1421));
-  sky130_fd_sc_hd__inv_2 g443166(.A (n_1418), .Y (n_1419));
-  sky130_fd_sc_hd__inv_2 g443167(.A (n_1416), .Y (n_1417));
-  sky130_fd_sc_hd__inv_1 g443168(.A (n_1409), .Y (n_1408));
-  sky130_fd_sc_hd__inv_2 g443169(.A (n_1406), .Y (n_1405));
-  sky130_fd_sc_hd__o22ai_1 g443170(.A1 (n_1015), .A2 (n_13449), .B1
-       (n_1017), .B2 (n_13327), .Y (n_1404));
-  sky130_fd_sc_hd__o2bb2ai_1 g443171(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .A2_N (n_118), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .B2 (n_118), .Y
-       (n_1403));
-  sky130_fd_sc_hd__xor2_1 g443172(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_13506), .X
-       (n_1402));
-  sky130_fd_sc_hd__nand2b_1 g443173(.A_N (n_1069), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1401));
-  sky130_fd_sc_hd__o2bb2ai_1 g443174(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .A2_N (n_131), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .B2 (n_131), .Y
-       (n_1400));
-  sky130_fd_sc_hd__o2bb2ai_1 g443175(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .A2_N (n_637), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .B2 (n_637), .Y
-       (n_1399));
-  sky130_fd_sc_hd__o2bb2ai_1 g443176(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .A2_N (n_619), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B2 (n_619), .Y
-       (n_1398));
-  sky130_fd_sc_hd__o2bb2ai_1 g443177(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .A2_N (n_623), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .B2 (n_623), .Y
-       (n_1397));
-  sky130_fd_sc_hd__o2bb2ai_1 g443178(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .A2_N (n_638), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B2 (n_638), .Y
-       (n_1396));
-  sky130_fd_sc_hd__o2bb2ai_1 g443179(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .A2_N (n_614), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .B2 (n_614), .Y
-       (n_1395));
-  sky130_fd_sc_hd__o2bb2ai_1 g443180(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .A2_N (n_130), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B2 (n_130), .Y
-       (n_1394));
-  sky130_fd_sc_hd__o2bb2ai_1 g443181(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .A2_N (n_639), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B2 (n_639), .Y
-       (n_1393));
-  sky130_fd_sc_hd__o2bb2ai_1 g443182(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .A2_N (n_128), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B2 (n_128), .Y
-       (n_1392));
-  sky130_fd_sc_hd__o22ai_1 g443183(.A1 (n_109), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B1 (n_13502), .B2
-       (n_494), .Y (n_1391));
-  sky130_fd_sc_hd__o2bb2ai_1 g443184(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .A2_N (n_620), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .B2 (n_620), .Y
-       (n_1390));
-  sky130_fd_sc_hd__o2bb2ai_1 g443185(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .A2_N (n_625), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B2 (n_625), .Y
-       (n_1389));
-  sky130_fd_sc_hd__o2bb2ai_1 g443186(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .A2_N (n_616), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B2 (n_616), .Y
-       (n_1388));
-  sky130_fd_sc_hd__nor2b_1 g443187(.A (n_1063), .B_N (n_1058), .Y
-       (n_1387));
-  sky130_fd_sc_hd__or2_0 g443188(.A (n_1094), .B (n_1090), .X (n_1386));
-  sky130_fd_sc_hd__a2bb2oi_1 g443189(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2_N (n_494), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B2 (n_494), .Y
-       (n_1385));
-  sky130_fd_sc_hd__o22ai_1 g443190(.A1 (n_110), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_13497), .B2
-       (n_12), .Y (n_1384));
-  sky130_fd_sc_hd__a22o_1 g443191(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[27]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [27]), .X (n_1383));
-  sky130_fd_sc_hd__o2bb2ai_1 g443192(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .A2_N (n_135), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .B2 (n_135), .Y
-       (n_1382));
-  sky130_fd_sc_hd__nand2_1 g443193(.A (n_1188), .B
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_1381));
-  sky130_fd_sc_hd__nor2_1 g443194(.A (n_13491), .B (n_1184), .Y
-       (n_1380));
-  sky130_fd_sc_hd__a22o_1 g443195(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[17]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [17]), .X (n_1379));
-  sky130_fd_sc_hd__o2bb2ai_1 g443196(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .A2_N (n_113), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .B2 (n_113), .Y
-       (n_1378));
-  sky130_fd_sc_hd__a21oi_1 g443197(.A1
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .A2
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_511), .Y (n_1377));
-  sky130_fd_sc_hd__a21oi_1 g443198(.A1
-       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .A2
-       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B1
-       (n_1272), .Y (n_1376));
-  sky130_fd_sc_hd__o21a_1 g443199(.A1 (u_soc_u_top_u_core_core_busy_q),
-       .A2 (\u_soc_u_top_u_core_irqs[irq_external] ), .B1
-       (u_soc_u_top_u_core_fetch_enable_q), .X (n_1375));
-  sky130_fd_sc_hd__a21oi_1 g443200(.A1 (n_13472), .A2
-       (u_soc_u_top_u_core_pc_mux_id[2]), .B1 (n_1281), .Y (n_1374));
-  sky130_fd_sc_hd__a21oi_1 g443201(.A1
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .A2
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .B1 (n_13455), .Y
-       (n_1373));
-  sky130_fd_sc_hd__o22ai_1 g443202(.A1 (n_617), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B1 (n_13498), .B2
-       (n_142), .Y (n_1372));
-  sky130_fd_sc_hd__a22o_1 g443203(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[16]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [16]), .X (n_1371));
-  sky130_fd_sc_hd__o21ai_1 g443204(.A1
-       (u_soc_u_uart_u_uart_core_rx_sbit), .A2
-       (u_soc_u_uart_u_uart_core_rx_time_n_217), .B1 (n_1268), .Y
-       (n_1370));
-  sky130_fd_sc_hd__a21oi_1 g443205(.A1 (n_13457), .A2 (n_568), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1369));
-  sky130_fd_sc_hd__o2bb2ai_1 g443206(.A1_N
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [1]), .A2_N (n_13380), .B1
-       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .B2 (n_13380), .Y
-       (n_1368));
-  sky130_fd_sc_hd__o2bb2ai_1 g443207(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .A2_N (n_134), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .B2 (n_134), .Y
-       (n_1367));
-  sky130_fd_sc_hd__o21ai_1 g443208(.A1 (n_13495), .A2 (n_472), .B1
-       (n_1052), .Y (n_1366));
-  sky130_fd_sc_hd__o22ai_1 g443209(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2 (n_133), .B1
-       (n_13505), .B2 (n_114), .Y (n_1365));
-  sky130_fd_sc_hd__a22o_1 g443210(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[10]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [10]), .X (n_1364));
-  sky130_fd_sc_hd__o2bb2ai_1 g443211(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .A2_N (n_622), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B2 (n_622), .Y
-       (n_1363));
-  sky130_fd_sc_hd__o2bb2ai_1 g443212(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .A2_N (n_119), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B2 (n_119), .Y
-       (n_1362));
-  sky130_fd_sc_hd__nor3b_1 g443213(.A
-       (u_soc_u_top_u_core_csr_restore_mret_id), .B
-       (u_soc_u_top_u_core_pc_mux_id[2]), .C_N
-       (u_soc_u_top_u_core_priv_mode_id[0]), .Y (n_1361));
-  sky130_fd_sc_hd__nor3b_1 g443214(.A
-       (u_soc_u_top_u_core_csr_restore_mret_id), .B
-       (u_soc_u_top_u_core_pc_mux_id[2]), .C_N
-       (u_soc_u_top_u_core_priv_mode_id[1]), .Y (n_1360));
-  sky130_fd_sc_hd__a22o_1 g443215(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[23]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [23]), .X (n_1359));
-  sky130_fd_sc_hd__nor3b_1 g443216(.A (n_13383), .B (n_13491), .C_N
-       (n_13466), .Y (n_1358));
-  sky130_fd_sc_hd__o2bb2ai_1 g443217(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .A2_N (n_127), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .B2 (n_127), .Y
-       (n_1357));
-  sky130_fd_sc_hd__o22ai_1 g443218(.A1
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_71), .B2 (n_19), .Y (n_1356));
-  sky130_fd_sc_hd__o2bb2ai_1 g443219(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .A2_N (n_624), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .B2 (n_624), .Y
-       (n_1355));
-  sky130_fd_sc_hd__nor3_1 g443220(.A
-       (u_soc_u_top_u_core_load_store_unit_i_n_937), .B
-       (u_soc_u_top_u_core_load_store_unit_i_n_939), .C
-       (u_soc_u_top_u_core_load_store_unit_i_n_938), .Y (n_1354));
-  sky130_fd_sc_hd__a22o_1 g443221(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[18]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [18]), .X (n_1353));
-  sky130_fd_sc_hd__nand3_1 g443222(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .C
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_1352));
-  sky130_fd_sc_hd__o22ai_1 g443223(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .A2 (n_117), .B1
-       (n_13499), .B2 (n_577), .Y (n_1351));
-  sky130_fd_sc_hd__o22ai_1 g443224(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2 (n_579), .B1
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B2 (n_32), .Y (n_1350));
-  sky130_fd_sc_hd__nor3_1 g443225(.A
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
-       (n_13461), .C (u_soc_u_top_u_core_load_store_unit_i_data_we_q),
-       .Y (n_1349));
-  sky130_fd_sc_hd__o22ai_1 g443226(.A1 (n_1012), .A2 (n_13449), .B1
-       (n_1016), .B2 (n_13327), .Y (n_1348));
-  sky130_fd_sc_hd__o22ai_1 g443227(.A1 (n_467), .A2 (n_13449), .B1
-       (n_1010), .B2 (n_13327), .Y (n_1347));
-  sky130_fd_sc_hd__o2bb2ai_1 g443228(.A1_N
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .A2_N (n_116), .B1
-       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B2 (n_116), .Y
-       (n_1346));
-  sky130_fd_sc_hd__o22ai_1 g443229(.A1 (n_112), .A2
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B1 (n_13500), .B2
-       (n_30), .Y (n_1345));
-  sky130_fd_sc_hd__o22ai_1 g443230(.A1 (n_634), .A2 (n_15943), .B1
-       (n_13496), .B2 (n_17), .Y (n_1344));
-  sky130_fd_sc_hd__o21ai_1 g443231(.A1
-       (u_soc_u_uart_u_uart_core_rx_done), .A2 (n_94), .B1 (n_1089), .Y
-       (n_1343));
-  sky130_fd_sc_hd__a22o_1 g443232(.A1 (n_15), .A2
-       (u_soc_u_iccm_rdata2[18]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[18]), .X (n_1342));
-  sky130_fd_sc_hd__mux2_2 g443233(.A0
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]), .A1
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]), .S
-       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .X (n_1341));
-  sky130_fd_sc_hd__a22o_1 g443234(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[5]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[5]), .X (n_1340));
-  sky130_fd_sc_hd__a22o_1 g443235(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[15]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [15]), .X (n_1339));
-  sky130_fd_sc_hd__a22o_1 g443236(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[13]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [13]), .X (n_1338));
-  sky130_fd_sc_hd__o22ai_1 g443237(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_599), .B2 (n_499), .Y (n_1337));
-  sky130_fd_sc_hd__a22o_1 g443238(.A1 (n_15), .A2
-       (u_soc_u_iccm_rdata2[23]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[23]), .X (n_1336));
-  sky130_fd_sc_hd__o22ai_1 g443239(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B1 (n_536), .B2 (n_547), .Y (n_1335));
-  sky130_fd_sc_hd__a22o_1 g443240(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[26]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [26]), .X (n_1334));
-  sky130_fd_sc_hd__a22o_1 g443241(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[7]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[7]), .X (n_1333));
-  sky130_fd_sc_hd__a22o_1 g443242(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[20]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [20]), .X (n_1332));
-  sky130_fd_sc_hd__a22o_1 g443243(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[11]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [11]), .X (n_1331));
-  sky130_fd_sc_hd__a22o_1 g443244(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[6]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[6]), .X (n_1330));
-  sky130_fd_sc_hd__a22o_1 g443245(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[20]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[20]), .X (n_1329));
-  sky130_fd_sc_hd__a22o_1 g443246(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[31]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [31]), .X (n_1328));
-  sky130_fd_sc_hd__o22ai_1 g443247(.A1
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .A2
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B1 (n_610), .B2 (n_487), .Y (n_1327));
-  sky130_fd_sc_hd__a22o_1 g443248(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[22]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[22]), .X (n_1326));
-  sky130_fd_sc_hd__a22o_1 g443249(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[2]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[2]), .X (n_1325));
-  sky130_fd_sc_hd__a22o_1 g443250(.A1 (n_15), .A2
-       (u_soc_u_iccm_rdata2[3]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[3]), .X (n_1324));
-  sky130_fd_sc_hd__a22o_1 g443251(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[4]), .B1 (n_15), .B2
-       (u_soc_u_iccm_rdata2[4]), .X (n_1323));
-  sky130_fd_sc_hd__a22o_1 g443252(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[28]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [28]), .X (n_1322));
-  sky130_fd_sc_hd__a22o_1 g443253(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[14]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [14]), .X (n_1321));
-  sky130_fd_sc_hd__a22o_1 g443254(.A1 (n_15), .A2
-       (u_soc_u_iccm_rdata2[21]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[21]), .X (n_1320));
-  sky130_fd_sc_hd__a22o_1 g443255(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[12]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [12]), .X (n_1319));
-  sky130_fd_sc_hd__a22o_1 g443256(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[19]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [19]), .X (n_1318));
-  sky130_fd_sc_hd__a22o_1 g443257(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[21]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [21]), .X (n_1317));
-  sky130_fd_sc_hd__a22o_1 g443258(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[25]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [25]), .X (n_1316));
-  sky130_fd_sc_hd__a22o_1 g443259(.A1 (n_14), .A2
-       (u_soc_u_iccm_rdata3[19]), .B1 (n_496), .B2
-       (u_soc_u_iccm_rdata1[19]), .X (n_1315));
-  sky130_fd_sc_hd__a22oi_1 g443260(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[7]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [7]), .Y (n_1314));
-  sky130_fd_sc_hd__a22o_1 g443261(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[9]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [9]), .X (n_1313));
-  sky130_fd_sc_hd__a22o_1 g443262(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[30]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [30]), .X (n_1312));
-  sky130_fd_sc_hd__o22ai_1 g443263(.A1 (n_13312), .A2 (n_13309), .B1
-       (n_13320), .B2 (n_13308), .Y (n_1311));
-  sky130_fd_sc_hd__a22o_1 g443264(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[24]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [24]), .X (n_1310));
-  sky130_fd_sc_hd__a22o_1 g443265(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[29]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [29]), .X (n_1309));
-  sky130_fd_sc_hd__a22o_1 g443266(.A1 (n_13303), .A2
-       (u_soc_u_uart_u_uart_core_rx_val[22]), .B1 (n_13558), .B2
-       (\u_soc_uart_to_xbar[d_data] [22]), .X (n_1308));
-  sky130_fd_sc_hd__o22a_1 g443267(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [2]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13531), .B2 (n_6),
-       .X (n_1307));
-  sky130_fd_sc_hd__o22ai_1 g443268(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [4]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13532), .B2 (n_6),
-       .Y (n_1467));
-  sky130_fd_sc_hd__o22ai_1 g443269(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [10]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13529), .B2
-       (n_6), .Y (n_1306));
-  sky130_fd_sc_hd__o22ai_1 g443270(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [8]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13527), .B2 (n_6),
-       .Y (n_1466));
-  sky130_fd_sc_hd__o22ai_1 g443271(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [6]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13533), .B2 (n_6),
-       .Y (n_1465));
-  sky130_fd_sc_hd__o22ai_1 g443272(.A1
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .A2 (n_13313), .B1 (n_136), .B2 (n_13311), .Y (n_1464));
-  sky130_fd_sc_hd__nor3_1 g443273(.A (n_534), .B
-       (\u_soc_xbar_to_dccm[a_address] [3]), .C (n_13558), .Y (n_1463));
-  sky130_fd_sc_hd__nor3_1 g443274(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .B (n_114), .C
-       (n_1222), .Y (n_1462));
-  sky130_fd_sc_hd__nor3_1 g443275(.A (n_536), .B (n_559), .C
-       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .Y (n_1461));
-  sky130_fd_sc_hd__nand3_1 g443276(.A (n_1270), .B (n_15902), .C
-       (n_13882), .Y (n_1460));
-  sky130_fd_sc_hd__nor3_1 g443277(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B (n_633), .C
-       (n_13481), .Y (n_1459));
-  sky130_fd_sc_hd__nor3_1 g443278(.A (n_471), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .C (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y
-       (n_1458));
-  sky130_fd_sc_hd__o21ai_1 g443279(.A1 (n_472), .A2 (n_141), .B1
-       (n_1106), .Y (n_1456));
-  sky130_fd_sc_hd__a21oi_1 g443280(.A1 (n_1011), .A2
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B1
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .Y (n_1305));
-  sky130_fd_sc_hd__o21ai_1 g443281(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q
-       ), .A2 (u_soc_u_top_u_core_pc_set), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .Y (n_1454));
-  sky130_fd_sc_hd__nand2_1 g443282(.A (n_1298), .B (n_1199), .Y
-       (n_1453));
-  sky130_fd_sc_hd__nand2_1 g443283(.A (n_1187), .B (n_1294), .Y
-       (n_1452));
-  sky130_fd_sc_hd__nand2_1 g443284(.A (n_1183), .B (n_1295), .Y
-       (n_1451));
-  sky130_fd_sc_hd__nand2_1 g443285(.A (n_1198), .B (n_1296), .Y
-       (n_1450));
-  sky130_fd_sc_hd__or2_0 g443286(.A (n_1304), .B (n_1269), .X (n_1449));
-  sky130_fd_sc_hd__nand2_1 g443287(.A (n_1300), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .Y (n_1448));
-  sky130_fd_sc_hd__nor2_1 g443288(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1234), .Y
-       (n_1447));
-  sky130_fd_sc_hd__or3_1 g443289(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]), .C
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]), .X (n_1446));
-  sky130_fd_sc_hd__and2_1 g443290(.A (n_1299), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .X (n_1445));
-  sky130_fd_sc_hd__nor2_1 g443291(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1034), .Y
-       (n_1444));
-  sky130_fd_sc_hd__nor2_1 g443292(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1031), .Y
-       (n_1443));
-  sky130_fd_sc_hd__nor2_1 g443293(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1237), .Y
-       (n_1442));
-  sky130_fd_sc_hd__o21bai_1 g443294(.A1
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .A2 (n_13484), .B1_N
-       (u_soc_u_top_u_core_instr_first_cycle_id), .Y (n_1441));
-  sky130_fd_sc_hd__nand2_1 g443295(.A (n_1153), .B (n_1039), .Y
-       (n_1440));
-  sky130_fd_sc_hd__nor2b_1 g443296(.A (n_479), .B_N (n_1060), .Y
-       (n_1439));
-  sky130_fd_sc_hd__a22oi_1 g443297(.A1 (n_469), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [4]), .B1 (n_955), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [4]), .Y (n_1438));
-  sky130_fd_sc_hd__nand2_1 g443299(.A (n_1045), .B (n_476), .Y
-       (n_1436));
-  sky130_fd_sc_hd__nand2_1 g443300(.A (n_1153), .B (n_1044), .Y
-       (n_1435));
-  sky130_fd_sc_hd__nand2_1 g443301(.A (n_1054), .B
-       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_1434));
-  sky130_fd_sc_hd__a22oi_1 g443302(.A1 (n_469), .A2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
-       [2]), .B1 (n_955), .B2
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
-       [2]), .Y (n_1433));
-  sky130_fd_sc_hd__nor3_1 g443303(.A (\u_soc_xbar_to_dccm[a_address]
-       [4]), .B (n_555), .C (n_13373), .Y (n_1432));
-  sky130_fd_sc_hd__nor3_1 g443304(.A
-       (u_soc_u_top_u_core_alu_operator_ex[0]), .B
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .C (n_141), .Y
-       (n_1431));
-  sky130_fd_sc_hd__nand3_1 g443305(.A (n_13878), .B (n_657), .C
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .Y
-       (n_1429));
-  sky130_fd_sc_hd__nand2_1 g443306(.A (n_1065), .B (n_12), .Y (n_1428));
-  sky130_fd_sc_hd__nor3_1 g443307(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_15943), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_1426));
-  sky130_fd_sc_hd__nand3_1 g443308(.A (n_1267), .B (n_15901), .C
-       (n_13884), .Y (n_1424));
-  sky130_fd_sc_hd__nor2b_1 g443309(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .B_N (n_1060), .Y (n_1423));
-  sky130_fd_sc_hd__nor2b_1 g443310(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .B_N (n_1059), .Y (n_1422));
-  sky130_fd_sc_hd__nand2_1 g443311(.A (n_1060), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Y (n_1420));
-  sky130_fd_sc_hd__nand2_1 g443312(.A (n_1253), .B (n_28), .Y (n_1418));
-  sky130_fd_sc_hd__nand2_1 g443313(.A (n_1059), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Y (n_1416));
-  sky130_fd_sc_hd__nand2_1 g443314(.A (n_1223), .B (n_1233), .Y
-       (n_1415));
-  sky130_fd_sc_hd__a21oi_1 g443315(.A1 (n_13466), .A2 (n_13464), .B1
-       (n_13377), .Y (n_1414));
-  sky130_fd_sc_hd__nor3_1 g443316(.A (n_12), .B (n_13484), .C
-       (n_13478), .Y (n_1413));
-  sky130_fd_sc_hd__nor2_1 g443317(.A (n_1282), .B (n_1213), .Y
-       (n_1412));
-  sky130_fd_sc_hd__and3_1 g443318(.A (n_646), .B
-       (\u_soc_uart_to_xbar[d_opcode] [0]), .C
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .X
-       (n_1411));
-  sky130_fd_sc_hd__and3b_1 g443319(.A_N (n_13485), .B (n_15943), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .X (n_1410));
-  sky130_fd_sc_hd__and3b_1 g443320(.A_N (n_13483), .B (n_15943), .C
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .X (n_1409));
-  sky130_fd_sc_hd__nand2_1 g443321(.A (n_1223), .B (n_1214), .Y
-       (n_1407));
-  sky130_fd_sc_hd__and2_1 g443322(.A
-       (u_soc_u_top_u_core_csr_save_cause), .B (n_1210), .X (n_1406));
-  sky130_fd_sc_hd__inv_1 g443325(.A (n_1288), .Y (n_1289));
-  sky130_fd_sc_hd__clkinv_1 g443326(.A (n_1284), .Y (n_1285));
-  sky130_fd_sc_hd__inv_1 g443327(.A (n_1278), .Y (n_1277));
-  sky130_fd_sc_hd__inv_1 g443329(.A (n_1274), .Y (n_1273));
-  sky130_fd_sc_hd__inv_1 g443330(.A (n_1267), .Y (n_1266));
-  sky130_fd_sc_hd__inv_1 g443331(.A (n_1258), .Y (n_1259));
-  sky130_fd_sc_hd__clkinv_1 g443332(.A (n_1254), .Y (n_1255));
-  sky130_fd_sc_hd__inv_1 g443333(.A (n_1253), .Y (n_1252));
-  sky130_fd_sc_hd__inv_2 g443335(.A (n_1245), .Y (n_1244));
-  sky130_fd_sc_hd__inv_2 g443337(.A (n_1241), .Y (n_1240));
-  sky130_fd_sc_hd__inv_2 g443338(.A (n_1239), .Y (n_1238));
-  sky130_fd_sc_hd__inv_1 g443339(.A (n_1237), .Y (n_1236));
-  sky130_fd_sc_hd__inv_2 g443340(.A (n_1235), .Y (n_1234));
-  sky130_fd_sc_hd__inv_2 g443342(.A (n_1231), .Y (n_1230));
-  sky130_fd_sc_hd__inv_2 g443343(.A (n_1229), .Y (n_1228));
-  sky130_fd_sc_hd__inv_2 g443344(.A (n_1227), .Y (n_1226));
-  sky130_fd_sc_hd__inv_2 g443345(.A (n_1225), .Y (n_1224));
-  sky130_fd_sc_hd__inv_2 g443346(.A (n_1223), .Y (n_1222));
-  sky130_fd_sc_hd__clkinv_1 g443347(.A (n_1217), .Y (n_1216));
-  sky130_fd_sc_hd__inv_2 g443348(.A (n_1213), .Y (n_1212));
-  sky130_fd_sc_hd__nand2_1 g443350(.A (n_13456), .B (n_82), .Y
-       (n_1211));
-  sky130_fd_sc_hd__nor2_1 g443351(.A (u_soc_u_top_u_core_debug_mode),
-       .B (u_soc_u_top_u_core_debug_csr_save), .Y (n_1210));
-  sky130_fd_sc_hd__nor2_1 g443353(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .B (n_13526), .Y
-       (n_1209));
-  sky130_fd_sc_hd__nand2_1 g443354(.A (n_13343), .B
-       (u_soc_u_top_u_core_alu_operator_ex[5]), .Y (n_1208));
-  sky130_fd_sc_hd__nand2_1 g443355(.A (n_13380), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .Y (n_1207));
-  sky130_fd_sc_hd__nor2_1 g443356(.A (n_136), .B
-       (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .Y (n_1206));
-  sky130_fd_sc_hd__nand2_1 g443357(.A (n_13380), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .Y (n_1205));
-  sky130_fd_sc_hd__nor2b_1 g443358(.A (u_soc_u_tcam_n_26), .B_N
-       (u_soc_u_tcam_n_27), .Y (n_1204));
-  sky130_fd_sc_hd__nor2_1 g443359(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B (n_13511), .Y
-       (n_1203));
-  sky130_fd_sc_hd__nor2_1 g443360(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B (n_13514), .Y
-       (n_1202));
-  sky130_fd_sc_hd__nor2_1 g443361(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .Y
-       (n_1201));
-  sky130_fd_sc_hd__nor2_1 g443362(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B (n_13524), .Y
-       (n_1200));
-  sky130_fd_sc_hd__nand2_1 g443363(.A (n_6), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [9]), .Y (n_1199));
-  sky130_fd_sc_hd__nand2_1 g443364(.A (n_6), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [3]), .Y (n_1198));
-  sky130_fd_sc_hd__nor2b_1 g443365(.A
-       (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), .B_N
-       (u_soc_u_uart_u_uart_core_tx_done), .Y (n_1197));
-  sky130_fd_sc_hd__nand2_1 g443366(.A
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B
-       (u_soc_intr_u_rx), .Y (n_1196));
-  sky130_fd_sc_hd__nor2_1 g443367(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .B (n_13516), .Y
-       (n_1195));
-  sky130_fd_sc_hd__nand2_1 g443368(.A (n_13380), .B
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .Y (n_1194));
-  sky130_fd_sc_hd__nand2_1 g443370(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1192));
-  sky130_fd_sc_hd__nand2_1 g443371(.A (n_13380), .B
-       (u_soc_u_top_u_core_ready_wb), .Y (n_1191));
-  sky130_fd_sc_hd__nand2_1 g443373(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       [1]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
-       [0]), .Y (n_1189));
-  sky130_fd_sc_hd__nand2_1 g443374(.A (n_13873), .B
-       (u_soc_u_top_u_core_alu_operator_ex[2]), .Y (n_1188));
-  sky130_fd_sc_hd__nand2_1 g443375(.A (n_6), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [7]), .Y (n_1187));
-  sky130_fd_sc_hd__nor2_1 g443376(.A
-       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .Y (n_1186));
-  sky130_fd_sc_hd__nor2_1 g443377(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B (n_13525), .Y
-       (n_1185));
-  sky130_fd_sc_hd__nand2_1 g443378(.A (n_13466), .B (n_13342), .Y
-       (n_1184));
-  sky130_fd_sc_hd__nand2_1 g443379(.A (n_6), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
-       [5]), .Y (n_1183));
-  sky130_fd_sc_hd__nor2_1 g443380(.A (n_13498), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .Y (n_1182));
-  sky130_fd_sc_hd__nand2_1 g443381(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
-       [11]), .Y (n_1181));
-  sky130_fd_sc_hd__nor2_1 g443382(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .B (n_13513), .Y
-       (n_1180));
-  sky130_fd_sc_hd__nor2_1 g443383(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B (n_13515), .Y
-       (n_1179));
-  sky130_fd_sc_hd__nor2_1 g443384(.A (n_13499), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .Y (n_1178));
-  sky130_fd_sc_hd__nor2_1 g443385(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B (n_13521), .Y
-       (n_1177));
-  sky130_fd_sc_hd__nor2_1 g443386(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .B (n_13522), .Y
-       (n_1176));
-  sky130_fd_sc_hd__nor2_1 g443387(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .B (n_13523), .Y
-       (n_1175));
-  sky130_fd_sc_hd__nor2b_1 g443388(.A (u_soc_dccm_adapter_data_csbD),
-       .B_N (u_soc_dccm_adapter_data_weD), .Y (n_1174));
-  sky130_fd_sc_hd__nor2_1 g443389(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B (n_13520), .Y
-       (n_1173));
-  sky130_fd_sc_hd__nor2_1 g443391(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B (n_13509), .Y
-       (n_1172));
-  sky130_fd_sc_hd__nor2_1 g443392(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B (n_13510), .Y
-       (n_1171));
-  sky130_fd_sc_hd__nor2_1 g443393(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .B (n_13512), .Y
-       (n_1170));
-  sky130_fd_sc_hd__nor2_1 g443394(.A (n_728), .B
-       (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .Y (n_1304));
-  sky130_fd_sc_hd__nor2_1 g443395(.A (n_730), .B
-       (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .Y (n_1302));
-  sky130_fd_sc_hd__nor2_1 g443396(.A
-       (u_soc_u_top_u_core_instr_first_cycle_id), .B (n_472), .Y
-       (n_1169));
-  sky130_fd_sc_hd__nand2_1 g443397(.A (\u_soc_xbar_to_lsu[d_valid] ),
-       .B (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
-       (n_1301));
-  sky130_fd_sc_hd__nor2_1 g443398(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_buffer_empty), .B (n_13324),
-       .Y (n_1300));
-  sky130_fd_sc_hd__nor2b_1 g443399(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_buffer_empty), .B_N
-       (u_soc_u_uart_u_uart_core_tx_fifo_re), .Y (n_1299));
-  sky130_fd_sc_hd__nand2_1 g443400(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_13528), .Y (n_1298));
-  sky130_fd_sc_hd__nor2_1 g443401(.A
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .Y
-       (n_1297));
-  sky130_fd_sc_hd__nand2_1 g443402(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_13348), .Y (n_1296));
-  sky130_fd_sc_hd__nand2_1 g443403(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_13349), .Y (n_1295));
-  sky130_fd_sc_hd__nand2_1 g443404(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_13347), .Y (n_1294));
-  sky130_fd_sc_hd__nor2_1 g443405(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .Y
-       (n_1293));
-  sky130_fd_sc_hd__nor2_1 g443406(.A (n_188), .B
-       (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .Y (n_1292));
-  sky130_fd_sc_hd__nor2_1 g443407(.A (n_198), .B
-       (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .Y (n_1290));
-  sky130_fd_sc_hd__nand2_1 g443408(.A (u_soc_intr_u_rx), .B
-       (io_in[33]), .Y (n_1288));
-  sky130_fd_sc_hd__nor2_1 g443409(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .Y
-       (n_1287));
-  sky130_fd_sc_hd__nor2_1 g443410(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .Y (n_1286));
-  sky130_fd_sc_hd__nor2_1 g443411(.A (\u_soc_uart_to_xbar[d_valid] ),
-       .B (n_7), .Y (n_1168));
-  sky130_fd_sc_hd__nand2b_1 g443412(.A_N
-       (u_soc_u_uart_u_uart_core_rx_sbit), .B
-       (u_soc_u_uart_u_uart_core_rx_time_n_217), .Y (n_1284));
-  sky130_fd_sc_hd__nand2_1 g443413(.A (n_13609), .B (n_13608), .Y
-       (n_1283));
-  sky130_fd_sc_hd__nor2b_1 g443414(.A (u_soc_u_top_u_core_csr_save_id),
-       .B_N (u_soc_u_top_u_core_csr_save_if), .Y (n_1282));
-  sky130_fd_sc_hd__nor2_1 g443415(.A (u_soc_u_top_u_core_pc_mux_id[2]),
-       .B (n_13472), .Y (n_1281));
-  sky130_fd_sc_hd__nand2_1 g443417(.A (n_642), .B
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .Y
-       (n_1280));
-  sky130_fd_sc_hd__nor2_1 g443418(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .Y (n_1279));
-  sky130_fd_sc_hd__nand2_1 g443421(.A
-       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1278));
-  sky130_fd_sc_hd__nor2_1 g443422(.A (n_670), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .Y (n_1276));
-  sky130_fd_sc_hd__nand2_1 g443424(.A
-       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .B (n_53), .Y
-       (n_1274));
-  sky130_fd_sc_hd__nor2_1 g443425(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .Y (n_1272));
-  sky130_fd_sc_hd__nand2_1 g443426(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .B
-       (u_soc_u_uart_u_uart_core_rx_done), .Y (n_1271));
-  sky130_fd_sc_hd__nor2_1 g443427(.A (n_13316), .B (n_13322), .Y
-       (n_1270));
-  sky130_fd_sc_hd__nand2b_1 g443428(.A_N (n_13318), .B
-       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .Y (n_1269));
-  sky130_fd_sc_hd__nor2_1 g443429(.A
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]), .B (n_477), .Y
-       (n_1268));
-  sky130_fd_sc_hd__nor2_1 g443430(.A (n_13319), .B (n_13323), .Y
-       (n_1267));
-  sky130_fd_sc_hd__nor2_1 g443432(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [2]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [1]), .Y (n_1264));
-  sky130_fd_sc_hd__nand2_1 g443435(.A (n_13415), .B
-       (u_soc_u_top_u_core_multdiv_signed_mode_ex[1]), .Y (n_1263));
-  sky130_fd_sc_hd__nor2_1 g443436(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .Y (n_1262));
-  sky130_fd_sc_hd__nor2_1 g443437(.A (n_471), .B
-       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .Y (n_1261));
-  sky130_fd_sc_hd__nor2_1 g443438(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .B (n_13362), .Y (n_1260));
-  sky130_fd_sc_hd__nand2_1 g443439(.A (n_475), .B
-       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .Y (n_1258));
-  sky130_fd_sc_hd__nand2_1 g443440(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [1]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [2]), .Y (n_1257));
-  sky130_fd_sc_hd__nand2_1 g443441(.A (\u_soc_tcam_to_xbar[d_valid] ),
-       .B (n_13451), .Y (n_1256));
-  sky130_fd_sc_hd__nand2_1 g443442(.A (n_43), .B (n_132), .Y (n_1254));
-  sky130_fd_sc_hd__nor2_1 g443443(.A (n_8), .B
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]), .Y
-       (n_1253));
-  sky130_fd_sc_hd__nand2_1 g443444(.A (n_13492), .B (n_13384), .Y
-       (n_1251));
-  sky130_fd_sc_hd__nand2_1 g443445(.A (n_13492), .B (n_13385), .Y
-       (n_1250));
-  sky130_fd_sc_hd__nand2_1 g443446(.A
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]), .B
-       (n_11130), .Y (n_1249));
-  sky130_fd_sc_hd__nand2_1 g443447(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .Y (n_1248));
-  sky130_fd_sc_hd__nand2_1 g443448(.A (\u_soc_dccm_to_xbar[d_valid] ),
-       .B (n_13454), .Y (n_1246));
-  sky130_fd_sc_hd__nand2_1 g443449(.A (n_13448), .B
-       (u_soc_u_top_u_core_multdiv_signed_mode_ex[0]), .Y (n_1245));
-  sky130_fd_sc_hd__nor2_1 g443450(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .Y (n_1243));
-  sky130_fd_sc_hd__nor2_1 g443451(.A
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .B
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .Y
-       (n_1241));
-  sky130_fd_sc_hd__nand2_1 g443452(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .Y (n_1239));
-  sky130_fd_sc_hd__nor2_1 g443453(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .Y (n_1237));
-  sky130_fd_sc_hd__nand2_1 g443454(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .Y (n_1235));
-  sky130_fd_sc_hd__nor2_1 g443455(.A (n_13484), .B (n_13485), .Y
-       (n_1233));
-  sky130_fd_sc_hd__nand2b_1 g443456(.A_N (u_soc_u_dccm_bank_sel[1]), .B
-       (u_soc_u_dccm_bank_sel[0]), .Y (n_1231));
-  sky130_fd_sc_hd__nand2b_1 g443457(.A_N (u_soc_u_dccm_bank_sel[0]), .B
-       (u_soc_u_dccm_bank_sel[1]), .Y (n_1229));
-  sky130_fd_sc_hd__nor2_1 g443458(.A (u_soc_u_dccm_bank_sel[1]), .B
-       (u_soc_u_dccm_bank_sel[0]), .Y (n_1227));
-  sky130_fd_sc_hd__nand2_1 g443459(.A (u_soc_u_dccm_bank_sel[0]), .B
-       (u_soc_u_dccm_bank_sel[1]), .Y (n_1225));
-  sky130_fd_sc_hd__nor2_1 g443460(.A (n_13379), .B
-       (u_soc_u_top_u_core_illegal_csr_insn_id), .Y (n_1223));
-  sky130_fd_sc_hd__and2_1 g443461(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_13489), .X (n_1221));
-  sky130_fd_sc_hd__and2_1 g443462(.A (u_soc_u_top_u_core_pc_set), .B
-       (n_13534), .X (n_1220));
-  sky130_fd_sc_hd__nor2_1 g443463(.A (n_13471), .B (n_6), .Y (n_1219));
-  sky130_fd_sc_hd__nor2_1 g443464(.A (n_13470), .B (n_6), .Y (n_1218));
-  sky130_fd_sc_hd__nor2_1 g443465(.A
-       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .B (n_13380), .Y
-       (n_1217));
-  sky130_fd_sc_hd__nand2_1 g443466(.A (u_soc_u_top_u_core_csr_op[0]),
-       .B (u_soc_u_top_u_core_csr_op[1]), .Y (n_1215));
-  sky130_fd_sc_hd__nor2_1 g443467(.A (n_13484), .B (n_13483), .Y
-       (n_1214));
-  sky130_fd_sc_hd__nand2_1 g443468(.A
-       (u_soc_u_top_u_core_csr_save_cause), .B
-       (u_soc_u_top_u_core_debug_csr_save), .Y (n_1213));
-  sky130_fd_sc_hd__inv_2 g443469(.A (n_1163), .Y (n_1164));
-  sky130_fd_sc_hd__inv_1 g443471(.A (n_1088), .Y (n_1087));
-  sky130_fd_sc_hd__inv_1 g443472(.A (n_1081), .Y (n_1082));
-  sky130_fd_sc_hd__inv_1 g443473(.A (n_1080), .Y (n_1079));
-  sky130_fd_sc_hd__inv_1 g443474(.A (n_1074), .Y (n_1073));
-  sky130_fd_sc_hd__inv_1 g443475(.A (n_1071), .Y (n_1072));
-  sky130_fd_sc_hd__inv_1 g443476(.A (n_1067), .Y (n_1066));
-  sky130_fd_sc_hd__clkinv_1 g443477(.A (n_1061), .Y (n_1062));
-  sky130_fd_sc_hd__clkinv_1 g443478(.A (n_1055), .Y (n_1056));
-  sky130_fd_sc_hd__clkinv_1 g443479(.A (n_1053), .Y (n_1054));
-  sky130_fd_sc_hd__inv_1 g443480(.A (n_1051), .Y (n_1050));
-  sky130_fd_sc_hd__inv_1 g443481(.A (n_1049), .Y (n_1048));
-  sky130_fd_sc_hd__inv_2 g443482(.A (n_1045), .Y (n_1046));
-  sky130_fd_sc_hd__clkinv_1 g443483(.A (n_1044), .Y (n_1043));
-  sky130_fd_sc_hd__inv_1 g443485(.A (n_1040), .Y (n_1039));
-  sky130_fd_sc_hd__inv_2 g443486(.A (n_1038), .Y (n_1037));
-  sky130_fd_sc_hd__inv_2 g443487(.A (n_1036), .Y (n_1035));
-  sky130_fd_sc_hd__inv_2 g443488(.A (n_1034), .Y (n_1033));
-  sky130_fd_sc_hd__inv_2 g443489(.A (n_1032), .Y (n_1031));
-  sky130_fd_sc_hd__inv_2 g443490(.A (n_1028), .Y (n_1029));
-  sky130_fd_sc_hd__inv_1 g443491(.A (n_1027), .Y (n_1026));
-  sky130_fd_sc_hd__inv_2 g443492(.A (n_1025), .Y (n_1024));
-  sky130_fd_sc_hd__inv_2 g443493(.A (n_1022), .Y (n_1023));
-  sky130_fd_sc_hd__nor2_1 g443494(.A (n_13502), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_1021));
-  sky130_fd_sc_hd__nand2_1 g443495(.A (n_472), .B (n_30), .Y (n_1166));
-  sky130_fd_sc_hd__nand2_1 g443496(.A (n_472), .B (n_13508), .Y
-       (n_1165));
-  sky130_fd_sc_hd__nor2_1 g443497(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1163));
-  sky130_fd_sc_hd__nand2_1 g443498(.A (n_472), .B (n_13498), .Y
-       (n_1162));
-  sky130_fd_sc_hd__nand2_1 g443499(.A (n_13517), .B (n_472), .Y
-       (n_1161));
-  sky130_fd_sc_hd__nand2_1 g443500(.A (n_472), .B (n_13505), .Y
-       (n_1160));
-  sky130_fd_sc_hd__nand2_1 g443501(.A (n_472), .B (n_13500), .Y
-       (n_1159));
-  sky130_fd_sc_hd__nand2_1 g443502(.A (n_13519), .B (n_472), .Y
-       (n_1158));
-  sky130_fd_sc_hd__nand2_1 g443503(.A (n_472), .B (n_13509), .Y
-       (n_1157));
-  sky130_fd_sc_hd__nand2_1 g443504(.A (n_13515), .B (n_472), .Y
-       (n_1156));
-  sky130_fd_sc_hd__nand2_1 g443505(.A (n_472), .B (n_13510), .Y
-       (n_1155));
-  sky130_fd_sc_hd__nand2_1 g443506(.A (n_472), .B (n_13503), .Y
-       (n_1154));
-  sky130_fd_sc_hd__nand2_1 g443507(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_478), .Y
-       (n_1153));
-  sky130_fd_sc_hd__nand2_1 g443508(.A (n_472), .B (n_13507), .Y
-       (n_1152));
-  sky130_fd_sc_hd__nand2_1 g443509(.A (n_472), .B (n_13502), .Y
-       (n_1151));
-  sky130_fd_sc_hd__nand2_1 g443510(.A (n_13520), .B (n_472), .Y
-       (n_1150));
-  sky130_fd_sc_hd__nand2_1 g443511(.A (n_472), .B (n_13511), .Y
-       (n_1149));
-  sky130_fd_sc_hd__nand2_1 g443512(.A (n_13513), .B (n_472), .Y
-       (n_1148));
-  sky130_fd_sc_hd__nand2_1 g443513(.A (n_13521), .B (n_472), .Y
-       (n_1147));
-  sky130_fd_sc_hd__nor2_1 g443514(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .B (n_129), .Y
-       (n_1146));
-  sky130_fd_sc_hd__nand2_1 g443515(.A (n_13522), .B (n_472), .Y
-       (n_1145));
-  sky130_fd_sc_hd__nand2_1 g443516(.A (n_472), .B (n_13497), .Y
-       (n_1144));
-  sky130_fd_sc_hd__nand2_1 g443517(.A (n_13524), .B (n_472), .Y
-       (n_1143));
-  sky130_fd_sc_hd__nand2_1 g443518(.A (n_472), .B (n_13501), .Y
-       (n_1142));
-  sky130_fd_sc_hd__nand2_1 g443519(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13495), .Y
-       (n_1141));
-  sky130_fd_sc_hd__nand2_1 g443520(.A (n_472), .B (n_13504), .Y
-       (n_1140));
-  sky130_fd_sc_hd__nand2_1 g443521(.A (n_13514), .B (n_472), .Y
-       (n_1139));
-  sky130_fd_sc_hd__nand2_1 g443522(.A (n_472), .B (n_13512), .Y
-       (n_1138));
-  sky130_fd_sc_hd__nand2_1 g443523(.A (n_13522), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1137));
-  sky130_fd_sc_hd__nand2_1 g443524(.A (n_13514), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1136));
-  sky130_fd_sc_hd__nand2_1 g443525(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13503), .Y
-       (n_1135));
-  sky130_fd_sc_hd__nand2_1 g443526(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13504), .Y
-       (n_1134));
-  sky130_fd_sc_hd__nand2_1 g443527(.A (n_13520), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1133));
-  sky130_fd_sc_hd__nand2_1 g443528(.A (n_13516), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1132));
-  sky130_fd_sc_hd__nand2_1 g443529(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13510), .Y
-       (n_1131));
-  sky130_fd_sc_hd__nand2_1 g443530(.A (n_13517), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1130));
-  sky130_fd_sc_hd__nand2_1 g443531(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13508), .Y
-       (n_1129));
-  sky130_fd_sc_hd__nand2_1 g443532(.A (n_13524), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1128));
-  sky130_fd_sc_hd__nand2_1 g443533(.A (n_13512), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1127));
-  sky130_fd_sc_hd__nand2_1 g443534(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13498), .Y
-       (n_1126));
-  sky130_fd_sc_hd__nand2_1 g443535(.A (n_13515), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1125));
-  sky130_fd_sc_hd__nand2_1 g443536(.A (n_13513), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1124));
-  sky130_fd_sc_hd__nand2_1 g443537(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13505), .Y
-       (n_1123));
-  sky130_fd_sc_hd__nand2_1 g443538(.A (n_13525), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1122));
-  sky130_fd_sc_hd__nand2_1 g443539(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13497), .Y
-       (n_1121));
-  sky130_fd_sc_hd__nand2_1 g443540(.A (n_13521), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1120));
-  sky130_fd_sc_hd__nand2_1 g443541(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13507), .Y
-       (n_1119));
-  sky130_fd_sc_hd__nand2_1 g443542(.A (n_13509), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1118));
-  sky130_fd_sc_hd__nand2_1 g443543(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13511), .Y
-       (n_1117));
-  sky130_fd_sc_hd__nand2_1 g443544(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13496), .Y
-       (n_1116));
-  sky130_fd_sc_hd__nand2_1 g443545(.A (n_13523), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1115));
-  sky130_fd_sc_hd__nand2_1 g443546(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13502), .Y
-       (n_1114));
-  sky130_fd_sc_hd__nand2_1 g443547(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13501), .Y
-       (n_1113));
-  sky130_fd_sc_hd__nand2_1 g443548(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13506), .Y
-       (n_1112));
-  sky130_fd_sc_hd__nand2_1 g443549(.A (n_13518), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1111));
-  sky130_fd_sc_hd__nand2_1 g443550(.A (n_13519), .B
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1110));
-  sky130_fd_sc_hd__nand2_1 g443551(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13499), .Y
-       (n_1109));
-  sky130_fd_sc_hd__nand2_1 g443552(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13500), .Y
-       (n_1108));
-  sky130_fd_sc_hd__nand2_1 g443553(.A (n_13516), .B (n_472), .Y
-       (n_1107));
-  sky130_fd_sc_hd__nand2_1 g443554(.A (n_13525), .B (n_472), .Y
-       (n_1106));
-  sky130_fd_sc_hd__nand2_1 g443555(.A (n_13526), .B (n_472), .Y
-       (n_1105));
-  sky130_fd_sc_hd__nor2_1 g443556(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1103));
-  sky130_fd_sc_hd__nand2_1 g443557(.A (n_13523), .B (n_472), .Y
-       (n_1102));
-  sky130_fd_sc_hd__nand2_1 g443558(.A (n_472), .B (n_13499), .Y
-       (n_1101));
-  sky130_fd_sc_hd__nand2_1 g443559(.A (n_472), .B (n_13506), .Y
-       (n_1100));
-  sky130_fd_sc_hd__nand2_1 g443560(.A (n_472), .B (n_13496), .Y
-       (n_1099));
-  sky130_fd_sc_hd__nand2_1 g443561(.A (n_13518), .B (n_472), .Y
-       (n_1098));
-  sky130_fd_sc_hd__nand2_1 g443562(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1097));
-  sky130_fd_sc_hd__nand2_1 g443563(.A (n_121), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1096));
-  sky130_fd_sc_hd__nand2_1 g443564(.A (n_15943), .B (n_472), .Y
-       (n_1095));
-  sky130_fd_sc_hd__nor2_1 g443565(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B (n_536), .Y (n_1094));
-  sky130_fd_sc_hd__nand2_1 g443566(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B (n_104), .Y
-       (n_1093));
-  sky130_fd_sc_hd__nor2_1 g443567(.A (n_471), .B
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .Y (n_1092));
-  sky130_fd_sc_hd__nor2_1 g443568(.A (n_579), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_1091));
-  sky130_fd_sc_hd__nor2_1 g443569(.A (n_559), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1090));
-  sky130_fd_sc_hd__nand2_1 g443570(.A (n_94), .B
-       (u_soc_u_uart_u_uart_core_rx_done), .Y (n_1089));
-  sky130_fd_sc_hd__nand2_1 g443571(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B (n_62), .Y (n_1088));
-  sky130_fd_sc_hd__nor2_1 g443572(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (n_522), .Y (n_1086));
-  sky130_fd_sc_hd__nand2_1 g443573(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B (n_19), .Y (n_1085));
-  sky130_fd_sc_hd__nor2_1 g443574(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B (n_32), .Y (n_1084));
-  sky130_fd_sc_hd__nor2_1 g443575(.A (n_62), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_1083));
-  sky130_fd_sc_hd__nor2_1 g443576(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B (n_615), .Y (n_1081));
-  sky130_fd_sc_hd__nand2_1 g443577(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1080));
-  sky130_fd_sc_hd__nand2_1 g443578(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1078));
-  sky130_fd_sc_hd__nor2_1 g443579(.A (n_499), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1077));
-  sky130_fd_sc_hd__nand2_1 g443580(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1076));
-  sky130_fd_sc_hd__nand2_1 g443581(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_1075));
-  sky130_fd_sc_hd__nand2_1 g443582(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .Y (n_1074));
-  sky130_fd_sc_hd__nor2_1 g443583(.A (n_82), .B (n_511), .Y (n_1071));
-  sky130_fd_sc_hd__nand2_1 g443584(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1070));
-  sky130_fd_sc_hd__nand2_1 g443585(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B (n_121), .Y
-       (n_1069));
-  sky130_fd_sc_hd__nor2_1 g443586(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .B (n_43), .Y (n_1068));
-  sky130_fd_sc_hd__nand2_1 g443587(.A (n_94), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .Y (n_1067));
-  sky130_fd_sc_hd__nor2_1 g443588(.A (n_472), .B (n_15943), .Y
-       (n_1065));
-  sky130_fd_sc_hd__nand2_1 g443589(.A (n_547), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1064));
-  sky130_fd_sc_hd__nor2_1 g443590(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (n_568), .Y (n_1063));
-  sky130_fd_sc_hd__nor2_1 g443591(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B (n_82), .Y (n_1061));
-  sky130_fd_sc_hd__nor2_1 g443592(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [1]), .B (n_195), .Y (n_1060));
-  sky130_fd_sc_hd__nor2_1 g443593(.A
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [2]), .B (n_654), .Y (n_1059));
-  sky130_fd_sc_hd__nor2_1 g443594(.A (n_104), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1058));
-  sky130_fd_sc_hd__nor2_1 g443595(.A (n_89), .B
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_1057));
-  sky130_fd_sc_hd__nor2_1 g443596(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B
-       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_1055));
-  sky130_fd_sc_hd__nand2_1 g443597(.A (n_7), .B (u_soc_u_top_data_we),
-       .Y (n_1053));
-  sky130_fd_sc_hd__nand2_1 g443598(.A (n_472), .B (n_13495), .Y
-       (n_1052));
-  sky130_fd_sc_hd__nor2_1 g443599(.A (n_132), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .Y (n_1051));
-  sky130_fd_sc_hd__nor2_1 g443600(.A (\u_soc_lsu_to_xbar[a_address]
-       [31]), .B (n_15896), .Y (n_1049));
-  sky130_fd_sc_hd__nand2_1 g443601(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .B
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_1047));
-  sky130_fd_sc_hd__nor2_1 g443602(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .B (n_665), .Y
-       (n_1045));
-  sky130_fd_sc_hd__nand2_1 g443603(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .B (n_478), .Y
-       (n_1044));
-  sky130_fd_sc_hd__nand2_1 g443604(.A (n_665), .B
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .Y (n_1042));
-  sky130_fd_sc_hd__nor2_1 g443605(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .Y (n_1040));
-  sky130_fd_sc_hd__nand2_1 g443606(.A (n_47), .B
-       (u_soc_u_top_u_core_pc_if[1]), .Y (n_1038));
-  sky130_fd_sc_hd__nand2_1 g443607(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .B (u_soc_u_top_u_core_pc_if[1]), .Y (n_1036));
-  sky130_fd_sc_hd__nor2_1 g443608(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .B (n_618), .Y
-       (n_1034));
-  sky130_fd_sc_hd__nand2_1 g443609(.A (n_618), .B
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .Y (n_1032));
-  sky130_fd_sc_hd__nor2_1 g443610(.A (n_13), .B (n_589), .Y (n_1030));
-  sky130_fd_sc_hd__nand2_1 g443611(.A (n_589), .B
-       (u_soc_u_top_u_core_pc_if[1]), .Y (n_1028));
-  sky130_fd_sc_hd__and2_1 g443612(.A (n_589), .B (n_13), .X (n_1027));
-  sky130_fd_sc_hd__nand2_1 g443613(.A
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .B (n_13), .Y (n_1025));
-  sky130_fd_sc_hd__nand2_1 g443614(.A (n_477), .B
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]), .Y (n_1022));
-  sky130_fd_sc_hd__clkinv_1 g443615(.A (n_13877), .Y (n_1020));
-  sky130_fd_sc_hd__inv_1 g443616(.A (n_13486), .Y (n_1019));
-  sky130_fd_sc_hd__inv_1 g443624(.A (n_13324), .Y (n_1011));
-  sky130_fd_sc_hd__inv_1 g443654(.A (u_soc_u_uart_u_uart_core_rx_en),
-       .Y (n_981));
-  sky130_fd_sc_hd__inv_2 g443680(.A (n_13317), .Y (n_955));
-  sky130_fd_sc_hd__inv_1 g443946(.A
-       (u_soc_u_top_u_core_csr_save_cause), .Y (n_689));
-  sky130_fd_sc_hd__inv_2 g443965(.A (u_soc_u_uart_u_uart_core_rx_done),
-       .Y (n_670));
-  sky130_fd_sc_hd__inv_1 g443978(.A
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .Y
-       (n_657));
-  sky130_fd_sc_hd__inv_1 g443989(.A
-       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .Y
-       (n_646));
-  sky130_fd_sc_hd__inv_1 g443993(.A
-       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .Y
-       (n_642));
-  sky130_fd_sc_hd__clkinv_1 g443998(.A (n_13513), .Y (n_637));
-  sky130_fd_sc_hd__inv_1 g444000(.A (n_13519), .Y (n_635));
-  sky130_fd_sc_hd__inv_1 g444001(.A (n_13496), .Y (n_634));
-  sky130_fd_sc_hd__clkinv_1 g444011(.A (n_13517), .Y (n_624));
-  sky130_fd_sc_hd__clkinv_1 g444012(.A (n_13516), .Y (n_623));
-  sky130_fd_sc_hd__clkinv_1 g444013(.A (n_13521), .Y (n_622));
-  sky130_fd_sc_hd__inv_1 g444018(.A (n_13498), .Y (n_617));
-  sky130_fd_sc_hd__inv_2 g444020(.A
-       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y (n_615));
-  sky130_fd_sc_hd__clkinv_1 g444021(.A (n_13522), .Y (n_614));
-  sky130_fd_sc_hd__inv_2 g444038(.A (\u_soc_lsu_to_xbar[a_address]
-       [31]), .Y (n_597));
-  sky130_fd_sc_hd__inv_1 g444056(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_579));
-  sky130_fd_sc_hd__inv_1 g444076(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Y (n_559));
-  sky130_fd_sc_hd__inv_1 g444078(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .Y (n_557));
-  sky130_fd_sc_hd__inv_2 g444080(.A (\u_soc_xbar_to_dccm[a_address]
-       [5]), .Y (n_555));
-  sky130_fd_sc_hd__inv_1 g444088(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_547));
-  sky130_fd_sc_hd__inv_1 g444096(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_536));
-  sky130_fd_sc_hd__clkinv_1 g444101(.A
-       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_534));
-  sky130_fd_sc_hd__inv_1 g444124(.A
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_511));
-  sky130_fd_sc_hd__inv_2 g444140(.A (n_13358), .Y (n_495));
-  sky130_fd_sc_hd__inv_2 g444141(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_494));
-  sky130_fd_sc_hd__clkinv_1 g444142(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Y (n_487));
-  sky130_fd_sc_hd__clkinv_1 g444155(.A
-       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_480));
-  sky130_fd_sc_hd__inv_2 g444157(.A
-       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .Y (n_478));
-  sky130_fd_sc_hd__inv_1 g444160(.A (n_13380), .Y (n_475));
-  sky130_fd_sc_hd__inv_2 g444161(.A (u_soc_u_uart_u_uart_core_rx[7]),
-       .Y (n_474));
-  sky130_fd_sc_hd__inv_2 g444162(.A (u_soc_u_uart_u_uart_core_rx[1]),
-       .Y (n_473));
-  sky130_fd_sc_hd__inv_2 g444163(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_472));
-  sky130_fd_sc_hd__inv_1 g444166(.A (n_13314), .Y (n_469));
-  sky130_fd_sc_hd__inv_1 g444198(.A
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
-       [11]), .Y (n_437));
-  sky130_fd_sc_hd__inv_2 g444471(.A (n_13382), .Y (n_164));
-  sky130_fd_sc_hd__inv_1 g444478(.A (n_13495), .Y (n_157));
-  sky130_fd_sc_hd__clkinv_1 g444501(.A (n_13523), .Y (n_134));
-  sky130_fd_sc_hd__inv_1 g444502(.A (n_13505), .Y (n_133));
-  sky130_fd_sc_hd__clkinv_1 g444504(.A (n_13512), .Y (n_131));
-  sky130_fd_sc_hd__clkinv_1 g444507(.A (n_13520), .Y (n_128));
-  sky130_fd_sc_hd__clkinv_1 g444508(.A (n_13504), .Y (n_127));
-  sky130_fd_sc_hd__clkinv_1 g444517(.A (n_13501), .Y (n_118));
-  sky130_fd_sc_hd__inv_1 g444518(.A (n_13499), .Y (n_117));
-  sky130_fd_sc_hd__clkinv_1 g444520(.A
-       (u_soc_u_top_u_core_csr_restore_mret_id), .Y (n_115));
-  sky130_fd_sc_hd__inv_1 g444521(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_114));
-  sky130_fd_sc_hd__inv_2 g444524(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .Y (n_111));
-  sky130_fd_sc_hd__inv_1 g444525(.A (n_13497), .Y (n_110));
-  sky130_fd_sc_hd__inv_1 g444573(.A
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Y (n_62));
-  sky130_fd_sc_hd__clkinv_1 g444605(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_30));
-  sky130_fd_sc_hd__inv_2 g444607(.A
-       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .Y
-       (n_28));
-  sky130_fd_sc_hd__inv_1 g444616(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Y (n_19));
-  sky130_fd_sc_hd__inv_1 g444618(.A (n_15943), .Y (n_17));
-  sky130_fd_sc_hd__inv_2 g444619(.A
-       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .Y (n_16));
-  sky130_fd_sc_hd__inv_2 g444623(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_12));
-  sky130_fd_sc_hd__inv_1 g444625(.A (\u_soc_xbar_to_dccm[a_data] [0]),
-       .Y (n_10));
-  sky130_fd_sc_hd__inv_2 g444627(.A (n_11130), .Y (n_8));
-  sky130_fd_sc_hd__inv_2 g444628(.A (n_13558), .Y (n_7));
-  sky130_fd_sc_hd__inv_2 g444629(.A (u_soc_u_top_u_core_pc_set), .Y
-       (n_6));
-  sky130_fd_sc_hd__inv_2 g444630(.A (u_soc_u_uart_u_uart_core_rx[2]),
-       .Y (n_5));
-  sky130_fd_sc_hd__inv_2 g444631(.A (u_soc_u_uart_u_uart_core_rx[5]),
-       .Y (n_4));
-  sky130_fd_sc_hd__inv_2 g444632(.A (u_soc_u_uart_u_uart_core_rx[6]),
-       .Y (n_3));
-  sky130_fd_sc_hd__inv_2 g444633(.A (u_soc_u_uart_u_uart_core_rx[0]),
-       .Y (n_2));
-  sky130_fd_sc_hd__inv_2 g444634(.A (u_soc_u_uart_u_uart_core_rx[3]),
-       .Y (n_1));
-  sky130_fd_sc_hd__inv_2 g444635(.A (u_soc_u_uart_u_uart_core_rx[4]),
-       .Y (n_0));
-  sky130_fd_sc_hd__inv_2 hi_fo_buf444648(.A (n_8847), .Y (n_8845));
-  sky130_fd_sc_hd__inv_2 hi_fo_buf444649(.A (n_8844), .Y (n_8847));
-  sky130_fd_sc_hd__inv_2 hi_fo_buf444659(.A (n_8855), .Y (n_8854));
-  sky130_fd_sc_hd__clkinv_1 hi_fo_buf444838(.A (n_2226), .Y (n_2225));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_1368), .Q
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [1]), .Q_N (n_8816));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_n_938), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .Q_N
-       (n_11046));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1841), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Q_N (n_599));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[15] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8721), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .Q_N (n_11040));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[18] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8677), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[18]), .Q_N (n_11038));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[23] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8699), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[23]), .Q_N (n_11037));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[17] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8702), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .Q_N (n_11036));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[19] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8717), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[19]), .Q_N (n_11034));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[16] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8771), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[16]), .Q_N (n_11032));
-  sky130_fd_sc_hd__dfrbp_1
-       u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg(.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_2974), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .Q_N
-       (n_11031));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5933), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Q_N (n_104));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1840), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Q_N (n_71));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[20] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8761), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .Q_N (n_11022));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[24] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8716), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[24]), .Q_N (n_11021));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[22] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8762), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[22]), .Q_N (n_11020));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[21] (.CLK
-       (u_soc_u_top_u_core_clk), .D (n_8778), .Q
-       (u_soc_u_top_u_core_instr_rdata_id[21]), .Q_N (n_11018));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_8662), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .Q_N (n_8824));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0] (.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (u_soc_u_top_u_core_load_store_unit_i_n_939), .Q
-       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Q_N
-       (n_8822));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q_reg
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_3061), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
-       ), .Q_N (n_8819));
-  sky130_fd_sc_hd__dfrbp_1 u_soc_iccm_adapter_rvalid_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1481), .Q
-       (u_soc_iccm_adapter_rvalid), .Q_N (n_1018));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5877), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]), .Q_N
-       (n_1017));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5887), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]), .Q_N
-       (n_1016));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7334), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]), .Q_N
-       (n_1015));
-  sky130_fd_sc_hd__dfrbp_1
-       u_soc_u_top_u_core_if_stage_i_instr_valid_id_q_reg(.RESET_B
-       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_15913), .Q
-       (u_soc_u_top_u_core_instr_valid_id), .Q_N (n_1014));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5891), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]), .Q_N
-       (n_1013));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7333), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]), .Q_N
-       (n_1012));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5881), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]), .Q_N
-       (n_1010));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2386), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Q_N (n_730));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2926), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Q_N (n_728));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5544), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Q_N (n_666));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7158), .Q
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .Q_N (n_665));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7345), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [1]), .Q_N (n_661));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6361), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [1]), .Q_N (n_654));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5587), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .Q_N (n_653));
-  sky130_fd_sc_hd__dfsbp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg
-       (.SET_B (io_out[37]), .CLK (wb_clk_i), .D (logic_0_1_net), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
-       ), .Q_N (n_641));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5532), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Q_N (n_636));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5924), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Q_N (n_626));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3069), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .Q_N (n_618));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5930), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Q_N (n_610));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7821), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
-       [0]), .Q_N (n_589));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8789), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .Q_N (n_587));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1936), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Q_N (n_568));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6906), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [3]), .Q_N (n_533));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5900), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Q_N (n_522));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2975), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Q_N (n_499));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6838), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Q_N (n_497));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[1] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1811), .Q
-       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .Q_N (n_477));
-  sky130_fd_sc_hd__dfrbp_1
-       u_soc_u_uart_u_uart_core_tx_fifo_clear_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5005), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Q_N (n_476));
-  sky130_fd_sc_hd__dfrbp_1 u_soc_reset_manager_rst_fq_reg(.RESET_B
-       (n_470), .CLK (wb_clk_i), .D (u_soc_reset_manager_rst_q), .Q
-       (io_out[37]), .Q_N (n_471));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6841), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]), .Q_N
-       (n_468));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][0] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7335), .Q
-       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]), .Q_N
-       (n_467));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2385), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Q_N (n_198));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_6659), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [2]), .Q_N (n_195));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5525), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Q_N (n_188));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8739), .Q (u_soc_u_top_u_core_csr_mstatus_mie), .Q_N (n_175));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7239), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
-       [31]), .Q_N (n_153));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_6903), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Q_N (n_152));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_7569), .Q
-       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .Q_N (n_143));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5141), .Q
-       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .Q_N (n_136));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8790), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .Q_N (n_132));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[3] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_3054), .Q
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .Q_N (n_129));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2389), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Q_N (n_121));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5527), .Q
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [1]), .Q_N (n_98));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6303), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Q_N (n_89));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2380), .Q
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
-       [1]), .Q_N (n_82));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1944), .Q
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Q_N (n_53));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7652), .Q
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
-       [0]), .Q_N (n_47));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_8788), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [1]), .Q_N (n_43));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]
-       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6307), .Q
-       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .Q_N (n_32));
-  sky130_fd_sc_hd__dfrbp_1
-       u_soc_u_uart_u_uart_core_tx_fifo_reset_reg(.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_5080), .Q
-       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .Q_N (n_29));
-  sky130_fd_sc_hd__dfxbp_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]
-       (.CLK (u_soc_u_top_u_core_clk), .D (n_7736), .Q
-       (u_soc_u_top_u_core_pc_if[1]), .Q_N (n_13));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]
-       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
-       (n_7343), .Q
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
-       [4]), .Q_N (n_11));
-  sky130_fd_sc_hd__and2_1 g445254(.A (n_11631), .B (n_11255), .X
-       (n_15856));
-  sky130_fd_sc_hd__and2_1 g445255(.A (n_11635), .B (n_11281), .X
-       (n_15857));
-  sky130_fd_sc_hd__and2_1 g445256(.A (n_11628), .B (n_11245), .X
-       (n_15858));
-  sky130_fd_sc_hd__and2_1 g445257(.A (n_11632), .B (n_11243), .X
-       (n_15859));
-  sky130_fd_sc_hd__and3_2 g445258(.A (n_11307), .B (n_11467), .C
-       (n_11261), .X (n_15860));
-  sky130_fd_sc_hd__and2_1 g445259(.A (n_11633), .B (n_11249), .X
-       (n_15861));
-  sky130_fd_sc_hd__and2_1 g445260(.A (n_11458), .B
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .X
-       (n_15862));
-  sky130_fd_sc_hd__and4_1 g445261(.A (n_11294), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[1]), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .D (n_11043), .X (n_15863));
-  sky130_fd_sc_hd__and4_1 g445262(.A (n_11294), .B (n_652), .C
-       (u_soc_u_top_u_core_rf_waddr_wb[2]), .D (n_11043), .X (n_15864));
-  sky130_fd_sc_hd__and2_1 g445263(.A (n_11240), .B (n_11399), .X
-       (n_15865));
-  sky130_fd_sc_hd__and2_1 g445264(.A (n_11268), .B (n_11397), .X
-       (n_15866));
-  sky130_fd_sc_hd__and2_1 g445265(.A (n_11287), .B (n_11401), .X
-       (n_15867));
-  sky130_fd_sc_hd__and2_2 g445266(.A (n_11266), .B (n_11395), .X
-       (n_15868));
-  sky130_fd_sc_hd__and2_1 g445267(.A (n_11239), .B (n_11400), .X
-       (n_15869));
-  sky130_fd_sc_hd__and2_2 g445268(.A (n_11399), .B (n_11235), .X
-       (n_15870));
-  sky130_fd_sc_hd__and2_1 g445269(.A (n_11279), .B (n_11398), .X
-       (n_15871));
-  sky130_fd_sc_hd__and2_0 g445270(.A (n_11278), .B (n_11396), .X
-       (n_15872));
-  sky130_fd_sc_hd__and4_1 g445271(.A (n_11294), .B
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .C (n_11094), .D
-       (u_soc_u_top_u_core_instr_rdata_id[17]), .X (n_15873));
-  sky130_fd_sc_hd__and3_1 g445272(.A (n_11294), .B (n_11098), .C
-       (n_652), .X (n_15874));
-  sky130_fd_sc_hd__and2_1 g445273(.A (n_11294), .B (n_11170), .X
-       (n_15875));
-  sky130_fd_sc_hd__and2_0 g445274(.A
-       (u_soc_u_top_u_core_instr_rdata_id[22]), .B
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .X (n_15876));
-  sky130_fd_sc_hd__and2_0 g445275(.A
-       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B
-       (u_soc_u_top_u_core_rf_waddr_wb[3]), .X (n_15877));
-  sky130_fd_sc_hd__and2_0 g445277(.A (n_11036), .B
-       (u_soc_u_top_u_core_instr_rdata_id[15]), .X (n_15879));
-  sky130_fd_sc_hd__and2_0 g445278(.A (n_11020), .B
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .X (n_15880));
-  sky130_fd_sc_hd__nand2b_1 g445279(.A_N
-       (u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec), .B
-       (n_11354), .Y (n_15881));
-  sky130_fd_sc_hd__nor3b_1 g445280(.A (n_11091), .B (n_11212), .C_N
-       (n_16002), .Y (n_15882));
-  sky130_fd_sc_hd__nand4b_1 g445281(.A_N (n_11446), .B (n_15881), .C
-       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), .D
-       (n_11447), .Y (n_15883));
-  sky130_fd_sc_hd__nand2b_1 g445282(.A_N (n_11356), .B (n_1356), .Y
-       (n_15884));
-  sky130_fd_sc_hd__nor2b_1 g445283(.A (n_11143), .B_N (n_11296), .Y
-       (n_15885));
-  sky130_fd_sc_hd__nor2b_1 g445284(.A (n_13468), .B_N (n_11294), .Y
-       (n_15886));
-  sky130_fd_sc_hd__and3b_1 g445285(.A_N (n_11212), .B (n_11463), .C
-       (n_11111), .X (n_15887));
-  sky130_fd_sc_hd__nor4b_1 g445287(.A (n_13339), .B
-       (u_soc_u_top_u_core_instr_rdata_id[27]), .C
-       (u_soc_u_top_u_core_instr_rdata_id[25]), .D_N (n_11135), .Y
-       (n_15889));
-  sky130_fd_sc_hd__nand4b_1 g445288(.A_N (n_13459), .B (n_11122), .C
-       (u_soc_u_top_u_core_alu_operator_ex[3]), .D (n_621), .Y
-       (n_15890));
-  sky130_fd_sc_hd__nand2b_1 g445289(.A_N (n_10956), .B (n_5704), .Y
-       (n_15891));
-  sky130_fd_sc_hd__nand2b_1 g445294(.A_N (n_15898), .B (n_9349), .Y
-       (n_15896));
-  sky130_fd_sc_hd__nand3b_1 g445295(.A_N
-       (\u_soc_lsu_to_xbar[a_address] [31]), .B (n_10330), .C (n_9349),
-       .Y (n_15897));
-  sky130_fd_sc_hd__nand2b_1 g445296(.A_N (n_10148), .B
-       (\u_soc_lsu_to_xbar[a_address] [30]), .Y (n_15898));
-  sky130_fd_sc_hd__nand2b_1 g445297(.A_N (n_9014), .B (n_13382), .Y
-       (n_15899));
-  sky130_fd_sc_hd__nor2b_1 g445298(.A (n_13346), .B_N (n_9004), .Y
-       (n_15900));
-  sky130_fd_sc_hd__or4bb_1 g445299(.A
-       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B (n_8994),
-       .C_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .D_N
-       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .X (n_15901));
-  sky130_fd_sc_hd__or4bb_1 g445300(.A
-       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .B (n_8989),
-       .C_N
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .D_N
-       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .X (n_15902));
-  sky130_fd_sc_hd__or3b_1 g445301(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .B
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .C_N (n_8970), .X
-       (n_15903));
-  sky130_fd_sc_hd__or4b_1 g445302(.A (n_8866), .B (n_13326), .C
-       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .D_N (n_8870), .X
-       (n_15904));
-  sky130_fd_sc_hd__and3b_1 g445303(.A_N
-       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .B (n_8802), .C
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .X (n_15905));
-  sky130_fd_sc_hd__nand3b_1 g445304(.A_N (n_7966), .B (n_8533), .C
-       (n_7382), .Y (n_15906));
-  sky130_fd_sc_hd__nor2b_1 g445305(.A (n_15911), .B_N (n_7624), .Y
-       (n_15907));
-  sky130_fd_sc_hd__nor2b_1 g445306(.A (n_7814), .B_N (n_7622), .Y
-       (n_15908));
-  sky130_fd_sc_hd__and2_0 g445307(.A (n_6927), .B
-       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .X (n_15909));
-  sky130_fd_sc_hd__nor3b_1 g445308(.A (n_7916), .B (n_7396), .C_N
-       (n_7386), .Y (n_15910));
-  sky130_fd_sc_hd__nor2b_1 g445309(.A (n_6923), .B_N (n_7295), .Y
-       (n_15911));
-  sky130_fd_sc_hd__nor2b_1 g445310(.A (n_7256), .B_N (n_7279), .Y
-       (n_15912));
-  sky130_fd_sc_hd__o21bai_1 g445311(.A1 (n_1014), .A2
-       (u_soc_u_top_u_core_instr_valid_clear), .B1_N (n_6915), .Y
-       (n_15913));
-  sky130_fd_sc_hd__a21boi_0 g445312(.A1 (n_1035), .A2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
-       [11]), .B1_N (n_6848), .Y (n_15914));
-  sky130_fd_sc_hd__nor2b_1 g445313(.A
-       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .B_N (n_5696), .Y
-       (n_15915));
-  sky130_fd_sc_hd__nand2b_1 g445314(.A_N (n_5408), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .Y
-       (n_15916));
-  sky130_fd_sc_hd__nand3b_1 g445315(.A_N (n_5699), .B (n_7368), .C
-       (n_5652), .Y (n_15917));
-  sky130_fd_sc_hd__or3b_1 g445316(.A (n_5366), .B (n_5360), .C_N
-       (n_5361), .X (n_15918));
-  sky130_fd_sc_hd__nand2b_1 g445317(.A_N (n_3093), .B (n_1262), .Y
-       (n_15919));
-  sky130_fd_sc_hd__nor2b_1 g445318(.A
-       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .B_N (n_2605),
-       .Y (n_15920));
-  sky130_fd_sc_hd__a21boi_1 g445319(.A1 (n_1741), .A2 (n_15925), .B1_N
-       (n_2167), .Y (n_15921));
-  sky130_fd_sc_hd__a21boi_1 g445320(.A1 (n_2600), .A2 (n_1743), .B1_N
-       (n_2156), .Y (n_15922));
-  sky130_fd_sc_hd__nand2b_1 g445321(.A_N (n_1779), .B (n_13452), .Y
-       (n_15923));
-  sky130_fd_sc_hd__nand3b_1 g445322(.A_N (n_1531), .B (n_1532), .C
-       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_15924));
-  sky130_fd_sc_hd__nand2b_1 g445323(.A_N (n_1431), .B (n_1105), .Y
-       (n_15925));
-  sky130_fd_sc_hd__nor2b_1 g445324(.A
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B_N (n_1302), .Y (n_15926));
-  sky130_fd_sc_hd__nor2b_1 g445325(.A
-       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
-       [0]), .B_N (n_1290), .Y (n_15927));
-  sky130_fd_sc_hd__nor2b_1 g445326(.A
-       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .B_N (n_1276), .Y
-       (n_15928));
-  sky130_fd_sc_hd__nand2b_1 g445327(.A_N (n_1248), .B (n_476), .Y
-       (n_15929));
-  sky130_fd_sc_hd__and2b_1 g445328(.A_N (n_3101), .B (n_1243), .X
-       (n_15930));
-  sky130_fd_sc_hd__nand4b_1 g445329(.A_N (n_1233), .B (n_2956), .C
-       (n_2178), .D (n_1958), .Y (n_15931));
-  sky130_fd_sc_hd__nand2b_1 g445330(.A_N (n_1103), .B (n_1044), .Y
-       (n_15932));
-  sky130_fd_sc_hd__nand2b_1 g445331(.A_N (n_1042), .B (n_476), .Y
-       (n_15933));
-  sky130_fd_sc_hd__nor2b_1 g445332(.A (n_1076), .B_N
-       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .Y (n_15934));
-  sky130_fd_sc_hd__nor2b_1 g445333(.A
-       (u_soc_u_top_u_core_instr_first_cycle_id), .B_N (n_13484), .Y
-       (n_15935));
-  sky130_fd_sc_hd__nor2b_1 g445334(.A (n_13373), .B_N
-       (\u_soc_xbar_to_dccm[a_address] [4]), .Y (n_15936));
-  sky130_fd_sc_hd__nor3b_1 g445335(.A (n_13506), .B (n_7920), .C_N
-       (u_soc_u_top_u_core_csr_op[1]), .Y (n_15937));
-  sky130_fd_sc_hd__nor4b_1 g445336(.A
-       (\u_soc_lsu_to_xbar[a_address] [28]), .B
-       (\u_soc_lsu_to_xbar[a_address] [27]), .C
-       (\u_soc_lsu_to_xbar[a_address] [25]), .D_N (n_15940), .Y
-       (n_15938));
-  sky130_fd_sc_hd__or4b_1 g445337(.A
-       (\u_soc_xbar_to_dccm[a_address] [10]), .B
-       (\u_soc_xbar_to_dccm[a_address] [13]), .C
-       (\u_soc_xbar_to_dccm[a_address] [12]), .D_N (n_13277), .X
-       (n_15939));
-  sky130_fd_sc_hd__nor4b_1 g445338(.A
-       (\u_soc_lsu_to_xbar[a_address] [23]), .B
-       (\u_soc_lsu_to_xbar[a_address] [24]), .C
-       (\u_soc_lsu_to_xbar[a_address] [22]), .D_N (n_13272), .Y
-       (n_15940));
-  sky130_fd_sc_hd__o2111ai_1 g445339(.A1 (n_11208), .A2 (n_13410), .B1
-       (n_13160), .C1 (n_13242), .D1 (n_13267), .Y (n_15941));
-  sky130_fd_sc_hd__o2111ai_1 g445340(.A1 (n_11208), .A2 (n_13409), .B1
-       (n_13157), .C1 (n_13241), .D1 (n_13265), .Y (n_15942));
-  sky130_fd_sc_hd__a211o_1 g445341(.A1 (n_13416), .A2 (n_11443), .B1
-       (n_12664), .C1 (n_12788), .X (n_15943));
-  sky130_fd_sc_hd__nor3b_1 g445343(.A (n_12839), .B (n_12863), .C_N
-       (n_13028), .Y (n_15945));
-  sky130_fd_sc_hd__nand4b_2 g445344(.A_N (n_12919), .B (n_12319), .C
-       (n_12320), .D (n_15947), .Y (n_15946));
-  sky130_fd_sc_hd__and3b_1 g445345(.A_N (n_12981), .B (n_12322), .C
-       (n_12323), .X (n_15947));
-  sky130_fd_sc_hd__a221o_1 g445346(.A1 (n_11754), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [2]), .B1 (n_11765), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [2]), .C1 (n_11934), .X (n_15948));
-  sky130_fd_sc_hd__nand4_1 g445347(.A (n_11730), .B (n_11797), .C
-       (n_11580), .D (n_12673), .Y (n_15949));
-  sky130_fd_sc_hd__a221o_1 g445348(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [1]), .B1 (n_11757), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [1]), .C1 (n_15987), .X (n_15950));
-  sky130_fd_sc_hd__a221o_1 g445349(.A1 (n_15861), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
-       [0]), .B1 (n_11553), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [0]), .C1 (n_15990), .X (n_15951));
-  sky130_fd_sc_hd__a222oi_1 g445350(.A1 (n_11765), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
-       [3]), .B1 (n_15860), .B2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .C1 (n_11515), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [3]), .Y (n_15952));
-  sky130_fd_sc_hd__a222oi_1 g445351(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [3]), .B1 (n_15859), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [3]), .C1 (n_11555), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [3]), .Y (n_15953));
-  sky130_fd_sc_hd__a222oi_1 g445352(.A1 (n_11756), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [1]), .B1 (n_15857), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [1]), .C1 (n_11475), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [1]), .Y (n_15954));
-  sky130_fd_sc_hd__a221o_1 g445353(.A1 (n_11472), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [4]), .B1 (n_16001), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [4]), .C1 (n_11652), .X (n_15955));
-  sky130_fd_sc_hd__a221o_1 g445354(.A1 (n_11563), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [3]), .B1 (n_11476), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [3]), .C1 (n_11653), .X (n_15956));
-  sky130_fd_sc_hd__a221o_1 g445355(.A1 (n_11554), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [2]), .B1 (n_11514), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [2]), .C1 (n_15991), .X (n_15957));
-  sky130_fd_sc_hd__a211oi_1 g445356(.A1 (n_11564), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [0]), .B1 (n_11579), .C1 (n_15992), .Y (n_15958));
-  sky130_fd_sc_hd__a221oi_1 g445357(.A1 (n_11563), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
-       [0]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [0]), .C1 (n_15989), .Y (n_15959));
-  sky130_fd_sc_hd__a222oi_1 g445358(.A1 (n_11751), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [3]), .B1 (n_11478), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [3]), .C1 (n_11564), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [3]), .Y (n_15960));
-  sky130_fd_sc_hd__a222oi_1 g445359(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [2]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [2]), .C1 (n_15999), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [2]), .Y (n_15961));
-  sky130_fd_sc_hd__a222oi_1 g445360(.A1 (n_15860), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .B1 (n_11513), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [2]), .C1 (n_11559), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [2]), .Y (n_15962));
-  sky130_fd_sc_hd__a222oi_1 g445361(.A1 (n_15857), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [2]), .B1 (n_11475), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [2]), .C1 (n_11516), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [2]), .Y (n_15963));
-  sky130_fd_sc_hd__a222oi_1 g445362(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [2]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [2]), .C1 (n_11517), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [2]), .Y (n_15964));
-  sky130_fd_sc_hd__a222oi_1 g445363(.A1 (n_15860), .A2
-       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [1]), .C1 (n_11513), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [1]), .Y (n_15965));
-  sky130_fd_sc_hd__a222oi_1 g445364(.A1 (n_11557), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [1]), .B1 (n_11425), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [1]), .C1 (n_11474), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [1]), .Y (n_15966));
-  sky130_fd_sc_hd__a222oi_1 g445365(.A1 (n_15995), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
-       [1]), .B1 (n_11558), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [1]), .C1 (n_15999), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [1]), .Y (n_15967));
-  sky130_fd_sc_hd__a222oi_1 g445366(.A1 (n_11751), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
-       [1]), .B1 (n_11564), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [1]), .C1 (n_11478), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [1]), .Y (n_15968));
-  sky130_fd_sc_hd__a222oi_1 g445367(.A1 (n_11550), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [1]), .B1 (n_11473), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [1]), .C1 (n_15868), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [1]), .Y (n_15969));
-  sky130_fd_sc_hd__a222oi_1 g445368(.A1 (n_11555), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [1]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [1]), .C1 (n_15887), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [1]), .Y (n_15970));
-  sky130_fd_sc_hd__a222oi_1 g445369(.A1 (n_11473), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [0]), .B1 (n_11514), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [0]), .C1 (n_15865), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [0]), .Y (n_15971));
-  sky130_fd_sc_hd__a222oi_1 g445370(.A1 (n_15856), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
-       [0]), .B1 (n_11516), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [0]), .C1 (n_15887), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
-       [0]), .Y (n_15972));
-  sky130_fd_sc_hd__a222oi_1 g445371(.A1 (n_15859), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
-       [0]), .B1 (n_11475), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
-       [0]), .C1 (n_11555), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
-       [0]), .Y (n_15973));
-  sky130_fd_sc_hd__a222oi_1 g445372(.A1 (n_15858), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
-       [0]), .B1 (n_11517), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [0]), .C1 (n_11479), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [0]), .Y (n_15974));
-  sky130_fd_sc_hd__a221o_1 g445373(.A1 (n_11746), .A2 (n_11175), .B1
-       (n_11393), .B2
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
-       [0]), .C1 (n_11360), .X (n_15975));
-  sky130_fd_sc_hd__a22o_1 g445374(.A1 (n_11761), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
-       [6]), .B1 (n_11559), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
-       [6]), .X (n_15976));
-  sky130_fd_sc_hd__a221oi_1 g445375(.A1 (n_11428), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [0]), .B1 (n_11425), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [0]), .C1 (n_11647), .Y (n_15977));
-  sky130_fd_sc_hd__a222oi_1 g445376(.A1 (n_11471), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [5]), .B1 (n_15869), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [5]), .C1 (n_15866), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [5]), .Y (n_15978));
-  sky130_fd_sc_hd__a222oi_1 g445377(.A1 (n_11514), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [5]), .B1 (n_15865), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [5]), .C1 (n_15871), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [5]), .Y (n_15979));
-  sky130_fd_sc_hd__a222oi_1 g445378(.A1 (n_11480), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [2]), .B1 (n_15870), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [2]), .C1 (n_11415), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [2]), .Y (n_15980));
-  sky130_fd_sc_hd__a222oi_1 g445379(.A1 (n_16000), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [2]), .B1 (n_11418), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [2]), .C1 (n_11428), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [2]), .Y (n_15981));
-  sky130_fd_sc_hd__a222oi_1 g445380(.A1 (n_16001), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [1]), .B1 (n_15872), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [1]), .C1 (n_15867), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
-       [1]), .Y (n_15982));
-  sky130_fd_sc_hd__a222oi_1 g445381(.A1 (n_11514), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [1]), .B1 (n_15865), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [1]), .C1 (n_15871), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [1]), .Y (n_15983));
-  sky130_fd_sc_hd__a222oi_1 g445382(.A1 (n_11471), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
-       [1]), .B1 (n_15869), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [1]), .C1 (n_15866), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [1]), .Y (n_15984));
-  sky130_fd_sc_hd__a222oi_1 g445383(.A1 (n_11550), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
-       [0]), .B1 (n_15868), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
-       [0]), .C1 (n_15871), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [0]), .Y (n_15985));
-  sky130_fd_sc_hd__a222oi_1 g445384(.A1 (n_16001), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
-       [0]), .B1 (n_15872), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [0]), .C1 (n_15866), .C2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [0]), .Y (n_15986));
-  sky130_fd_sc_hd__a22o_1 g445385(.A1 (n_11517), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
-       [1]), .B1 (n_11479), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [1]), .X (n_15987));
-  sky130_fd_sc_hd__a22o_1 g445386(.A1 (n_11474), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
-       [0]), .B1 (n_11480), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
-       [0]), .X (n_15988));
-  sky130_fd_sc_hd__a22o_1 g445387(.A1 (n_11556), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
-       [0]), .B1 (n_16000), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
-       [0]), .X (n_15989));
-  sky130_fd_sc_hd__a22o_1 g445388(.A1 (n_15882), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
-       [0]), .B1 (n_15869), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [0]), .X (n_15990));
-  sky130_fd_sc_hd__a22o_1 g445389(.A1 (n_15871), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
-       [2]), .B1 (n_15865), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
-       [2]), .X (n_15991));
-  sky130_fd_sc_hd__a22o_1 g445390(.A1 (n_11418), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [0]), .B1 (n_11423), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
-       [0]), .X (n_15992));
-  sky130_fd_sc_hd__a22o_1 g445391(.A1 (n_15866), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
-       [2]), .B1 (n_15869), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
-       [2]), .X (n_15993));
-  sky130_fd_sc_hd__nand3b_1 g445392(.A_N
-       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), .B
-       (n_15881), .C (n_11447), .Y (n_15994));
-  sky130_fd_sc_hd__and3_2 g445393(.A (n_11636), .B (n_11154), .C
-       (n_11092), .X (n_15995));
-  sky130_fd_sc_hd__or3b_2 g445394(.A (n_13338), .B (n_11153), .C_N
-       (n_11637), .X (n_15996));
-  sky130_fd_sc_hd__or3b_2 g445395(.A (n_11114), .B (n_11153), .C_N
-       (n_11629), .X (n_15997));
-  sky130_fd_sc_hd__or3b_2 g445396(.A (n_11114), .B (n_11219), .C_N
-       (n_11633), .X (n_15998));
-  sky130_fd_sc_hd__and3b_2 g445397(.A_N (n_11093), .B (n_11434), .C
-       (n_11217), .X (n_15999));
-  sky130_fd_sc_hd__and3b_4 g445398(.A_N (n_13338), .B (n_11450), .C
-       (n_11221), .X (n_16000));
-  sky130_fd_sc_hd__and3b_1 g445399(.A_N (n_11091), .B (n_11464), .C
-       (n_11155), .X (n_16001));
-  sky130_fd_sc_hd__nand4_1 g445400(.A (n_11294), .B (n_652), .C
-       (n_11094), .D (n_15877), .Y (n_16002));
-  sky130_fd_sc_hd__a21bo_2 g445401(.A1 (n_11028), .A2
-       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), .B1_N
-       (n_11354), .X (n_16003));
-  sky130_fd_sc_hd__nand3b_1 g445402(.A_N
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
-       [0]), .B
-       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-       .C (n_8816), .Y (n_16004));
-  sky130_fd_sc_hd__nand3b_2 g445403(.A_N (n_13879), .B
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [2]), .C
-       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
-       [0]), .Y (n_16005));
-  sky130_fd_sc_hd__o41ai_1 g445404(.A1
-       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
-       [0]), .A2 (n_626), .A3 (n_15926), .A4 (n_2543), .B1 (n_5610), .Y
-       (n_16006));
-  sky130_fd_sc_hd__a2111o_1 g445405(.A1 (n_1458), .A2 (n_511), .B1
-       (n_3124), .C1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [0]), .D1
-       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
-       [1]), .X (n_16007));
-  sky130_fd_sc_hd__a22oi_2 g445406(.A1 (n_12263), .A2
-       (u_soc_u_top_u_core_instr_rdata_id[7]), .B1 (n_12261), .B2
-       (u_soc_u_top_u_core_instr_rdata_id[20]), .Y (n_16008));
-  sky130_fd_sc_hd__a21o_1 g2(.A1 (n_12668), .A2 (n_11413), .B1
-       (n_16004), .X (n_16009));
-  sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP_g850(.A1_N
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .A2_N
-       (inc_ADD_UNS_OP_n_124), .B1
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .B2
-       (inc_ADD_UNS_OP_n_124), .Y (n_13740));
-  sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP_g851(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .A2
-       (inc_ADD_UNS_OP_n_123), .B1 (inc_ADD_UNS_OP_n_124), .X
-       (n_13739));
-  sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP_g852(.A
-       (inc_ADD_UNS_OP_n_123), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .Y
-       (inc_ADD_UNS_OP_n_124));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g853(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]), .B
-       (inc_ADD_UNS_OP_n_120), .COUT (inc_ADD_UNS_OP_n_123), .SUM
-       (n_13738));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g854(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]), .B
-       (inc_ADD_UNS_OP_n_118), .COUT (inc_ADD_UNS_OP_n_120), .SUM
-       (n_13737));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g855(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]), .B
-       (inc_ADD_UNS_OP_n_116), .COUT (inc_ADD_UNS_OP_n_118), .SUM
-       (n_13736));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g856(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]), .B
-       (inc_ADD_UNS_OP_n_114), .COUT (inc_ADD_UNS_OP_n_116), .SUM
-       (n_13735));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g857(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]), .B
-       (inc_ADD_UNS_OP_n_112), .COUT (inc_ADD_UNS_OP_n_114), .SUM
-       (n_13734));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g858(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]), .B
-       (inc_ADD_UNS_OP_n_110), .COUT (inc_ADD_UNS_OP_n_112), .SUM
-       (n_13733));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g859(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]), .B
-       (inc_ADD_UNS_OP_n_108), .COUT (inc_ADD_UNS_OP_n_110), .SUM
-       (n_13732));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g860(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]), .B
-       (inc_ADD_UNS_OP_n_106), .COUT (inc_ADD_UNS_OP_n_108), .SUM
-       (n_13731));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g861(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]), .B
-       (inc_ADD_UNS_OP_n_104), .COUT (inc_ADD_UNS_OP_n_106), .SUM
-       (n_13730));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g862(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]), .B
-       (inc_ADD_UNS_OP_n_102), .COUT (inc_ADD_UNS_OP_n_104), .SUM
-       (n_13729));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g863(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]), .B
-       (inc_ADD_UNS_OP_n_100), .COUT (inc_ADD_UNS_OP_n_102), .SUM
-       (n_13728));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g864(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]), .B
-       (inc_ADD_UNS_OP_n_98), .COUT (inc_ADD_UNS_OP_n_100), .SUM
-       (n_13727));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g865(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]), .B
-       (inc_ADD_UNS_OP_n_96), .COUT (inc_ADD_UNS_OP_n_98), .SUM
-       (n_13726));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g866(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]), .B
-       (inc_ADD_UNS_OP_n_94), .COUT (inc_ADD_UNS_OP_n_96), .SUM
-       (n_13725));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g867(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]), .B
-       (inc_ADD_UNS_OP_n_92), .COUT (inc_ADD_UNS_OP_n_94), .SUM
-       (n_13724));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g868(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]), .B
-       (inc_ADD_UNS_OP_n_90), .COUT (inc_ADD_UNS_OP_n_92), .SUM
-       (n_13723));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g869(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]), .B
-       (inc_ADD_UNS_OP_n_88), .COUT (inc_ADD_UNS_OP_n_90), .SUM
-       (n_13722));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g870(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]), .B
-       (inc_ADD_UNS_OP_n_86), .COUT (inc_ADD_UNS_OP_n_88), .SUM
-       (n_13721));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g871(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]), .B
-       (inc_ADD_UNS_OP_n_84), .COUT (inc_ADD_UNS_OP_n_86), .SUM
-       (n_13720));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g872(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]), .B
-       (inc_ADD_UNS_OP_n_82), .COUT (inc_ADD_UNS_OP_n_84), .SUM
-       (n_13719));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g873(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]), .B
-       (inc_ADD_UNS_OP_n_80), .COUT (inc_ADD_UNS_OP_n_82), .SUM
-       (n_13718));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g874(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]), .B
-       (inc_ADD_UNS_OP_n_78), .COUT (inc_ADD_UNS_OP_n_80), .SUM
-       (n_13717));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g875(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]), .B
-       (inc_ADD_UNS_OP_n_76), .COUT (inc_ADD_UNS_OP_n_78), .SUM
-       (n_13716));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g876(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]), .B
-       (inc_ADD_UNS_OP_n_74), .COUT (inc_ADD_UNS_OP_n_76), .SUM
-       (n_13715));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g877(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]), .B
-       (inc_ADD_UNS_OP_n_72), .COUT (inc_ADD_UNS_OP_n_74), .SUM
-       (n_13714));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g878(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]), .B
-       (inc_ADD_UNS_OP_n_70), .COUT (inc_ADD_UNS_OP_n_72), .SUM
-       (n_13713));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g879(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]), .B
-       (inc_ADD_UNS_OP_n_68), .COUT (inc_ADD_UNS_OP_n_70), .SUM
-       (n_13712));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g880(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]), .B
-       (inc_ADD_UNS_OP_n_66), .COUT (inc_ADD_UNS_OP_n_68), .SUM
-       (n_13711));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g881(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]), .B
-       (inc_ADD_UNS_OP_n_64), .COUT (inc_ADD_UNS_OP_n_66), .SUM
-       (n_13710));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g882(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]), .B
-       (inc_ADD_UNS_OP_n_62), .COUT (inc_ADD_UNS_OP_n_64), .SUM
-       (n_13709));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g883(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]), .B
-       (inc_ADD_UNS_OP_n_60), .COUT (inc_ADD_UNS_OP_n_62), .SUM
-       (n_13708));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g884(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]), .B
-       (inc_ADD_UNS_OP_n_58), .COUT (inc_ADD_UNS_OP_n_60), .SUM
-       (n_13707));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g885(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]), .B
-       (inc_ADD_UNS_OP_n_56), .COUT (inc_ADD_UNS_OP_n_58), .SUM
-       (n_13706));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g886(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]), .B
-       (inc_ADD_UNS_OP_n_54), .COUT (inc_ADD_UNS_OP_n_56), .SUM
-       (n_13705));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g887(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]), .B
-       (inc_ADD_UNS_OP_n_52), .COUT (inc_ADD_UNS_OP_n_54), .SUM
-       (n_13704));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g888(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]), .B
-       (inc_ADD_UNS_OP_n_50), .COUT (inc_ADD_UNS_OP_n_52), .SUM
-       (n_13703));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g889(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]), .B
-       (inc_ADD_UNS_OP_n_48), .COUT (inc_ADD_UNS_OP_n_50), .SUM
-       (n_13702));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g890(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]), .B
-       (inc_ADD_UNS_OP_n_46), .COUT (inc_ADD_UNS_OP_n_48), .SUM
-       (n_13701));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g891(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]), .B
-       (inc_ADD_UNS_OP_n_44), .COUT (inc_ADD_UNS_OP_n_46), .SUM
-       (n_13700));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g892(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]), .B
-       (inc_ADD_UNS_OP_n_42), .COUT (inc_ADD_UNS_OP_n_44), .SUM
-       (n_13699));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g893(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]), .B
-       (inc_ADD_UNS_OP_n_40), .COUT (inc_ADD_UNS_OP_n_42), .SUM
-       (n_13698));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g894(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]), .B
-       (inc_ADD_UNS_OP_n_38), .COUT (inc_ADD_UNS_OP_n_40), .SUM
-       (n_13697));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g895(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]), .B
-       (inc_ADD_UNS_OP_n_36), .COUT (inc_ADD_UNS_OP_n_38), .SUM
-       (n_13696));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g896(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]), .B
-       (inc_ADD_UNS_OP_n_34), .COUT (inc_ADD_UNS_OP_n_36), .SUM
-       (n_13695));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g897(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]), .B
-       (inc_ADD_UNS_OP_n_32), .COUT (inc_ADD_UNS_OP_n_34), .SUM
-       (n_13694));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g898(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]), .B
-       (inc_ADD_UNS_OP_n_30), .COUT (inc_ADD_UNS_OP_n_32), .SUM
-       (n_13693));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g899(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]), .B
-       (inc_ADD_UNS_OP_n_28), .COUT (inc_ADD_UNS_OP_n_30), .SUM
-       (n_13692));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g900(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]), .B
-       (inc_ADD_UNS_OP_n_26), .COUT (inc_ADD_UNS_OP_n_28), .SUM
-       (n_13691));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g901(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]), .B
-       (inc_ADD_UNS_OP_n_24), .COUT (inc_ADD_UNS_OP_n_26), .SUM
-       (n_13690));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g902(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]), .B
-       (inc_ADD_UNS_OP_n_22), .COUT (inc_ADD_UNS_OP_n_24), .SUM
-       (n_13689));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g903(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]), .B
-       (inc_ADD_UNS_OP_n_20), .COUT (inc_ADD_UNS_OP_n_22), .SUM
-       (n_13688));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g904(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]), .B
-       (inc_ADD_UNS_OP_n_18), .COUT (inc_ADD_UNS_OP_n_20), .SUM
-       (n_13687));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g905(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]), .B
-       (inc_ADD_UNS_OP_n_16), .COUT (inc_ADD_UNS_OP_n_18), .SUM
-       (n_13686));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g906(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]), .B
-       (inc_ADD_UNS_OP_n_14), .COUT (inc_ADD_UNS_OP_n_16), .SUM
-       (n_13685));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g907(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]), .B
-       (inc_ADD_UNS_OP_n_12), .COUT (inc_ADD_UNS_OP_n_14), .SUM
-       (n_13684));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g908(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]), .B
-       (inc_ADD_UNS_OP_n_10), .COUT (inc_ADD_UNS_OP_n_12), .SUM
-       (n_13683));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g909(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]), .B
-       (inc_ADD_UNS_OP_n_8), .COUT (inc_ADD_UNS_OP_n_10), .SUM
-       (n_13682));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g910(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]), .B
-       (inc_ADD_UNS_OP_n_6), .COUT (inc_ADD_UNS_OP_n_8), .SUM
-       (n_13681));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g911(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]), .B
-       (inc_ADD_UNS_OP_n_4), .COUT (inc_ADD_UNS_OP_n_6), .SUM
-       (n_13680));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g912(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]), .B
-       (inc_ADD_UNS_OP_n_2), .COUT (inc_ADD_UNS_OP_n_4), .SUM
-       (n_13679));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g913(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]), .B
-       (inc_ADD_UNS_OP_n_0), .COUT (inc_ADD_UNS_OP_n_2), .SUM
-       (n_13678));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g914(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]), .B
-       (n_13741), .COUT (inc_ADD_UNS_OP_n_0), .SUM (n_13677));
-  sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP2_g850(.A1_N
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .A2_N
-       (inc_ADD_UNS_OP2_n_124), .B1
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .B2
-       (inc_ADD_UNS_OP2_n_124), .Y (n_13675));
-  sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP2_g851(.A1
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .A2
-       (inc_ADD_UNS_OP2_n_123), .B1 (inc_ADD_UNS_OP2_n_124), .X
-       (n_13674));
-  sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP2_g852(.A
-       (inc_ADD_UNS_OP2_n_123), .B
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .Y
-       (inc_ADD_UNS_OP2_n_124));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g853(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]), .B
-       (inc_ADD_UNS_OP2_n_120), .COUT (inc_ADD_UNS_OP2_n_123), .SUM
-       (n_13673));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g854(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]), .B
-       (inc_ADD_UNS_OP2_n_118), .COUT (inc_ADD_UNS_OP2_n_120), .SUM
-       (n_13672));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g855(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]), .B
-       (inc_ADD_UNS_OP2_n_116), .COUT (inc_ADD_UNS_OP2_n_118), .SUM
-       (n_13671));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g856(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]), .B
-       (inc_ADD_UNS_OP2_n_114), .COUT (inc_ADD_UNS_OP2_n_116), .SUM
-       (n_13670));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g857(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]), .B
-       (inc_ADD_UNS_OP2_n_112), .COUT (inc_ADD_UNS_OP2_n_114), .SUM
-       (n_13669));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g858(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]), .B
-       (inc_ADD_UNS_OP2_n_110), .COUT (inc_ADD_UNS_OP2_n_112), .SUM
-       (n_13668));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g859(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]), .B
-       (inc_ADD_UNS_OP2_n_108), .COUT (inc_ADD_UNS_OP2_n_110), .SUM
-       (n_13667));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g860(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]), .B
-       (inc_ADD_UNS_OP2_n_106), .COUT (inc_ADD_UNS_OP2_n_108), .SUM
-       (n_13666));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g861(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]), .B
-       (inc_ADD_UNS_OP2_n_104), .COUT (inc_ADD_UNS_OP2_n_106), .SUM
-       (n_13665));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g862(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]), .B
-       (inc_ADD_UNS_OP2_n_102), .COUT (inc_ADD_UNS_OP2_n_104), .SUM
-       (n_13664));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g863(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]), .B
-       (inc_ADD_UNS_OP2_n_100), .COUT (inc_ADD_UNS_OP2_n_102), .SUM
-       (n_13663));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g864(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]), .B
-       (inc_ADD_UNS_OP2_n_98), .COUT (inc_ADD_UNS_OP2_n_100), .SUM
-       (n_13662));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g865(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]), .B
-       (inc_ADD_UNS_OP2_n_96), .COUT (inc_ADD_UNS_OP2_n_98), .SUM
-       (n_13661));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g866(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]), .B
-       (inc_ADD_UNS_OP2_n_94), .COUT (inc_ADD_UNS_OP2_n_96), .SUM
-       (n_13660));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g867(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]), .B
-       (inc_ADD_UNS_OP2_n_92), .COUT (inc_ADD_UNS_OP2_n_94), .SUM
-       (n_13659));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g868(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]), .B
-       (inc_ADD_UNS_OP2_n_90), .COUT (inc_ADD_UNS_OP2_n_92), .SUM
-       (n_13658));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g869(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]), .B
-       (inc_ADD_UNS_OP2_n_88), .COUT (inc_ADD_UNS_OP2_n_90), .SUM
-       (n_13657));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g870(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]), .B
-       (inc_ADD_UNS_OP2_n_86), .COUT (inc_ADD_UNS_OP2_n_88), .SUM
-       (n_13656));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g871(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]), .B
-       (inc_ADD_UNS_OP2_n_84), .COUT (inc_ADD_UNS_OP2_n_86), .SUM
-       (n_13655));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g872(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]), .B
-       (inc_ADD_UNS_OP2_n_82), .COUT (inc_ADD_UNS_OP2_n_84), .SUM
-       (n_13654));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g873(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]), .B
-       (inc_ADD_UNS_OP2_n_80), .COUT (inc_ADD_UNS_OP2_n_82), .SUM
-       (n_13653));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g874(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]), .B
-       (inc_ADD_UNS_OP2_n_78), .COUT (inc_ADD_UNS_OP2_n_80), .SUM
-       (n_13652));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g875(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]), .B
-       (inc_ADD_UNS_OP2_n_76), .COUT (inc_ADD_UNS_OP2_n_78), .SUM
-       (n_13651));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g876(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]), .B
-       (inc_ADD_UNS_OP2_n_74), .COUT (inc_ADD_UNS_OP2_n_76), .SUM
-       (n_13650));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g877(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]), .B
-       (inc_ADD_UNS_OP2_n_72), .COUT (inc_ADD_UNS_OP2_n_74), .SUM
-       (n_13649));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g878(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]), .B
-       (inc_ADD_UNS_OP2_n_70), .COUT (inc_ADD_UNS_OP2_n_72), .SUM
-       (n_13648));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g879(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]), .B
-       (inc_ADD_UNS_OP2_n_68), .COUT (inc_ADD_UNS_OP2_n_70), .SUM
-       (n_13647));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g880(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]), .B
-       (inc_ADD_UNS_OP2_n_66), .COUT (inc_ADD_UNS_OP2_n_68), .SUM
-       (n_13646));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g881(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]), .B
-       (inc_ADD_UNS_OP2_n_64), .COUT (inc_ADD_UNS_OP2_n_66), .SUM
-       (n_13645));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g882(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]), .B
-       (inc_ADD_UNS_OP2_n_62), .COUT (inc_ADD_UNS_OP2_n_64), .SUM
-       (n_13644));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g883(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]), .B
-       (inc_ADD_UNS_OP2_n_60), .COUT (inc_ADD_UNS_OP2_n_62), .SUM
-       (n_13643));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g884(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]), .B
-       (inc_ADD_UNS_OP2_n_58), .COUT (inc_ADD_UNS_OP2_n_60), .SUM
-       (n_13642));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g885(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]), .B
-       (inc_ADD_UNS_OP2_n_56), .COUT (inc_ADD_UNS_OP2_n_58), .SUM
-       (n_13641));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g886(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]), .B
-       (inc_ADD_UNS_OP2_n_54), .COUT (inc_ADD_UNS_OP2_n_56), .SUM
-       (n_13640));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g887(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]), .B
-       (inc_ADD_UNS_OP2_n_52), .COUT (inc_ADD_UNS_OP2_n_54), .SUM
-       (n_13639));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g888(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]), .B
-       (inc_ADD_UNS_OP2_n_50), .COUT (inc_ADD_UNS_OP2_n_52), .SUM
-       (n_13638));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g889(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]), .B
-       (inc_ADD_UNS_OP2_n_48), .COUT (inc_ADD_UNS_OP2_n_50), .SUM
-       (n_13637));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g890(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]), .B
-       (inc_ADD_UNS_OP2_n_46), .COUT (inc_ADD_UNS_OP2_n_48), .SUM
-       (n_13636));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g891(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]), .B
-       (inc_ADD_UNS_OP2_n_44), .COUT (inc_ADD_UNS_OP2_n_46), .SUM
-       (n_13635));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g892(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]), .B
-       (inc_ADD_UNS_OP2_n_42), .COUT (inc_ADD_UNS_OP2_n_44), .SUM
-       (n_13634));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g893(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]), .B
-       (inc_ADD_UNS_OP2_n_40), .COUT (inc_ADD_UNS_OP2_n_42), .SUM
-       (n_13633));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g894(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]), .B
-       (inc_ADD_UNS_OP2_n_38), .COUT (inc_ADD_UNS_OP2_n_40), .SUM
-       (n_13632));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g895(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]), .B
-       (inc_ADD_UNS_OP2_n_36), .COUT (inc_ADD_UNS_OP2_n_38), .SUM
-       (n_13631));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g896(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]), .B
-       (inc_ADD_UNS_OP2_n_34), .COUT (inc_ADD_UNS_OP2_n_36), .SUM
-       (n_13630));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g897(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]), .B
-       (inc_ADD_UNS_OP2_n_32), .COUT (inc_ADD_UNS_OP2_n_34), .SUM
-       (n_13629));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g898(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]), .B
-       (inc_ADD_UNS_OP2_n_30), .COUT (inc_ADD_UNS_OP2_n_32), .SUM
-       (n_13628));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g899(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]), .B
-       (inc_ADD_UNS_OP2_n_28), .COUT (inc_ADD_UNS_OP2_n_30), .SUM
-       (n_13627));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g900(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]), .B
-       (inc_ADD_UNS_OP2_n_26), .COUT (inc_ADD_UNS_OP2_n_28), .SUM
-       (n_13626));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g901(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]), .B
-       (inc_ADD_UNS_OP2_n_24), .COUT (inc_ADD_UNS_OP2_n_26), .SUM
-       (n_13625));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g902(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]), .B
-       (inc_ADD_UNS_OP2_n_22), .COUT (inc_ADD_UNS_OP2_n_24), .SUM
-       (n_13624));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g903(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]), .B
-       (inc_ADD_UNS_OP2_n_20), .COUT (inc_ADD_UNS_OP2_n_22), .SUM
-       (n_13623));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g904(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]), .B
-       (inc_ADD_UNS_OP2_n_18), .COUT (inc_ADD_UNS_OP2_n_20), .SUM
-       (n_13622));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g905(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]), .B
-       (inc_ADD_UNS_OP2_n_16), .COUT (inc_ADD_UNS_OP2_n_18), .SUM
-       (n_13621));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g906(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]), .B
-       (inc_ADD_UNS_OP2_n_14), .COUT (inc_ADD_UNS_OP2_n_16), .SUM
-       (n_13620));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g907(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]), .B
-       (inc_ADD_UNS_OP2_n_12), .COUT (inc_ADD_UNS_OP2_n_14), .SUM
-       (n_13619));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g908(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]), .B
-       (inc_ADD_UNS_OP2_n_10), .COUT (inc_ADD_UNS_OP2_n_12), .SUM
-       (n_13618));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g909(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]), .B
-       (inc_ADD_UNS_OP2_n_8), .COUT (inc_ADD_UNS_OP2_n_10), .SUM
-       (n_13617));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g910(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]), .B
-       (inc_ADD_UNS_OP2_n_6), .COUT (inc_ADD_UNS_OP2_n_8), .SUM
-       (n_13616));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g911(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]), .B
-       (inc_ADD_UNS_OP2_n_4), .COUT (inc_ADD_UNS_OP2_n_6), .SUM
-       (n_13615));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g912(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]), .B
-       (inc_ADD_UNS_OP2_n_2), .COUT (inc_ADD_UNS_OP2_n_4), .SUM
-       (n_13614));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g913(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]), .B
-       (inc_ADD_UNS_OP2_n_0), .COUT (inc_ADD_UNS_OP2_n_2), .SUM
-       (n_13613));
-  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g914(.A
-       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]), .B
-       (n_13676), .COUT (inc_ADD_UNS_OP2_n_0), .SUM (n_13612));
-  sky130_fd_sc_hd__o2bb2ai_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g212(.A1_N
-       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .A2_N
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28), .B1
-       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .B2
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28), .Y (n_13574));
-  sky130_fd_sc_hd__o21a_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g213(.A1
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .A2
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_27), .B1
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28), .X (n_13573));
-  sky130_fd_sc_hd__nand2_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g214(.A
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_27), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .Y
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g215(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_24), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_27), .SUM (n_13572));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g216(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_22), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_24), .SUM (n_13571));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g217(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_20), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_22), .SUM (n_13570));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g218(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_18), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_20), .SUM (n_13569));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g219(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_16), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_18), .SUM (n_13568));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g220(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_14), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_16), .SUM (n_13567));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g221(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_12), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_14), .SUM (n_13566));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g222(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_10), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_12), .SUM (n_13565));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g223(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_8), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_10), .SUM (n_13564));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g224(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_6), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_8), .SUM (n_13563));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g225(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_4), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_6), .SUM (n_13562));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g226(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_2), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_4), .SUM (n_13561));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g227(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .B
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_0), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_2), .SUM (n_13560));
-  sky130_fd_sc_hd__ha_1
-       inc_u_soc_main_swith_host_lsu_add_105_52_g228(.A
-       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B
-       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .COUT
-       (inc_u_soc_main_swith_host_lsu_add_105_52_n_0), .SUM (n_13559));
-  sky130_fd_sc_hd__o2bb2ai_1
-       u_soc_u_top_u_core_ex_block_i_add_98_45_g743(.A1_N
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_1), .A2_N
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_61), .B1
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_1), .B2
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_61), .Y (n_13773));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g744(.A
-       (n_13557), .B (n_13804), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_58), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_61), .SUM (n_13772));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g745(.A
-       (n_13557), .B (n_13803), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_56), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_58), .SUM (n_13771));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g746(.A
-       (n_13557), .B (n_13802), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_54), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_56), .SUM (n_13770));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g747(.A
-       (n_13557), .B (n_13801), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_52), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_54), .SUM (n_13769));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g748(.A
-       (n_13557), .B (n_13800), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_50), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_52), .SUM (n_13768));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g749(.A
-       (n_13557), .B (n_13799), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_48), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_50), .SUM (n_13767));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g750(.A
-       (n_13557), .B (n_13798), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_46), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_48), .SUM (n_13766));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g751(.A
-       (n_13557), .B (n_13797), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_44), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_46), .SUM (n_13765));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g752(.A
-       (n_13557), .B (n_13796), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_42), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_44), .SUM (n_13764));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g753(.A
-       (n_13557), .B (n_13795), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_40), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_42), .SUM (n_13763));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g754(.A
-       (n_13557), .B (n_13794), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_38), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_40), .SUM (n_13762));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g755(.A
-       (n_13554), .B (n_13793), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_36), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_38), .SUM (n_13761));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g756(.A
-       (n_13553), .B (n_13792), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_34), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_36), .SUM (n_13760));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g757(.A
-       (n_13552), .B (n_13791), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_32), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_34), .SUM (n_13759));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g758(.A
-       (n_13551), .B (n_13790), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_30), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_32), .SUM (n_13758));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g759(.A
-       (n_13550), .B (n_13789), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_28), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_30), .SUM (n_13757));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g760(.A
-       (n_13549), .B (n_13788), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_26), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_28), .SUM (n_13756));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g761(.A
-       (n_13548), .B (n_13787), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_24), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_26), .SUM (n_13755));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g762(.A
-       (n_13547), .B (n_13786), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_22), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_24), .SUM (n_13754));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g763(.A
-       (n_13546), .B (n_13785), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_20), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_22), .SUM (n_13753));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g764(.A
-       (n_13545), .B (n_13784), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_18), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_20), .SUM (n_13752));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g765(.A
-       (n_13544), .B (n_13783), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_16), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_18), .SUM (n_13751));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g766(.A
-       (n_13543), .B (n_13782), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_14), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_16), .SUM (n_13750));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g767(.A
-       (n_13542), .B (n_13781), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_12), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_14), .SUM (n_13749));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g768(.A
-       (n_13541), .B (n_13780), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_10), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_12), .SUM (n_13748));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g769(.A
-       (n_13540), .B (n_13779), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_8), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_10), .SUM (n_13747));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g770(.A
-       (n_13539), .B (n_13778), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_6), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_8), .SUM (n_13746));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g771(.A
-       (n_13538), .B (n_13777), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_4), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_6), .SUM (n_13745));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g772(.A
-       (n_13536), .B (n_13776), .CIN
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_2), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_4), .SUM (n_13744));
-  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g773(.A
-       (n_13535), .B (n_13775), .CIN (n_17399), .COUT
-       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_2), .SUM (n_13743));
-  sky130_fd_sc_hd__xnor2_1
-       u_soc_u_top_u_core_ex_block_i_add_98_45_g774(.A (n_13557), .B
-       (n_13805), .Y (u_soc_u_top_u_core_ex_block_i_add_98_45_n_1));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2366(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23), .Y
-       (n_13575));
-  sky130_fd_sc_hd__o21ai_2
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2367(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215), .Y
-       (\u_soc_lsu_to_xbar[a_address] [31]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2368(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215));
-  sky130_fd_sc_hd__inv_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2369(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2371(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210), .Y
-       (\u_soc_lsu_to_xbar[a_address] [30]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2373(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2375(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2376(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205), .Y
-       (\u_soc_lsu_to_xbar[a_address] [29]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2377(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2378(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2379(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201), .X
-       (\u_soc_lsu_to_xbar[a_address] [28]));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2381(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196), .X
-       (\u_soc_lsu_to_xbar[a_address] [26]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2382(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2384(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), .X
-       (\u_soc_lsu_to_xbar[a_address] [27]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2386(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2387(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2388(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), .X
-       (\u_soc_lsu_to_xbar[a_address] [25]));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2389(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191), .X
-       (\u_soc_lsu_to_xbar[a_address] [24]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2390(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2391(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2392(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2393(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2394(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), .X
-       (\u_soc_lsu_to_xbar[a_address] [23]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2395(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2398(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), .X
-       (\u_soc_lsu_to_xbar[a_address] [22]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2400(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2401(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), .X
-       (\u_soc_lsu_to_xbar[a_address] [21]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2402(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2403(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177), .X
-       (\u_soc_lsu_to_xbar[a_address] [20]));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2405(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172), .X
-       (\u_soc_lsu_to_xbar[a_address] [18]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2406(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177));
-  sky130_fd_sc_hd__o2bb2ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2408(.A1_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106), .A2_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106), .B2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), .Y
-       (\u_soc_lsu_to_xbar[a_address] [19]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2410(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2411(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2412(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), .X
-       (\u_soc_lsu_to_xbar[a_address] [17]));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2413(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167), .X
-       (\u_soc_lsu_to_xbar[a_address] [16]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2414(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2415(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2416(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2417(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2418(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), .X
-       (\u_soc_lsu_to_xbar[a_address] [15]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2419(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2420(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2421(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162));
-  sky130_fd_sc_hd__o2bb2ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2422(.A1_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105), .A2_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105), .B2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), .Y
-       (\u_soc_lsu_to_xbar[a_address] [14]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2423(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2424(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2425(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), .X
-       (\u_soc_xbar_to_dccm[a_address] [13]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2426(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2427(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2428(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), .X
-       (\u_soc_xbar_to_dccm[a_address] [12]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2429(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2430(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149), .X
-       (\u_soc_xbar_to_dccm[a_address] [10]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2431(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2432(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146), .X
-       (\u_soc_xbar_to_dccm[a_address] [11]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2433(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2434(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2435(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), .X
-       (\u_soc_xbar_to_dccm[a_address] [9]));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2436(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144), .X
-       (\u_soc_xbar_to_dccm[a_address] [8]));
-  sky130_fd_sc_hd__nand3_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2437(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119), .C
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2438(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2439(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2440(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2441(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), .X
-       (\u_soc_xbar_to_dccm[a_address] [7]));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2442(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141));
-  sky130_fd_sc_hd__inv_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2443(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2444(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2445(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), .X
-       (\u_soc_xbar_to_dccm[a_address] [6]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2446(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2447(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2448(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), .X
-       (\u_soc_xbar_to_dccm[a_address] [5]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2449(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2450(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2451(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), .X
-       (\u_soc_xbar_to_dccm[a_address] [4]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2452(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2453(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2454(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), .X
-       (\u_soc_xbar_to_dccm[a_address] [3]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2455(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2456(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2457(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), .X
-       (\u_soc_xbar_to_dccm[a_address] [2]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2458(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2459(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124));
-  sky130_fd_sc_hd__a2bb2o_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2460(.A1_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), .A2_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), .B2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), .X
-       (u_soc_u_top_u_core_alu_adder_result_ex[1]));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2461(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2462(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2463(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120));
-  sky130_fd_sc_hd__a21o_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2464(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119));
-  sky130_fd_sc_hd__a21oi_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2465(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118));
-  sky130_fd_sc_hd__a21oi_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2466(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2467(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2469(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2470(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2471(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2472(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111));
-  sky130_fd_sc_hd__o21a_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2473(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2474(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2475(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108));
-  sky130_fd_sc_hd__o21a_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2476(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2477(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2478(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2479(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2480(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2481(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2482(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2483(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2484(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2485(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2486(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2487(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2488(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2489(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2490(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2491(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2492(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2493(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2494(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2495(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103));
-  sky130_fd_sc_hd__xor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2496(.A
-       (n_13839), .B (n_15975), .X (n_13576));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2497(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2498(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2499(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2500(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2501(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2502(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2503(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2504(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2505(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2506(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2507(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2508(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75));
-  sky130_fd_sc_hd__nor2b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2509(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), .B_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2510(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2511(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2512(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2513(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70));
-  sky130_fd_sc_hd__and2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2514(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69));
-  sky130_fd_sc_hd__inv_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2516(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2518(.A
-       (n_13837), .B (n_13870), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2519(.A
-       (n_13812), .B (n_13845), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2520(.A
-       (n_13852), .B (n_13819), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2521(.A
-       (n_13840), .B (n_13807), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2522(.A
-       (n_13815), .B (n_13848), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2523(.A
-       (n_13844), .B (n_13811), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2524(.A
-       (n_13828), .B (n_13861), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2525(.A
-       (n_13871), .B (n_13838), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2526(.A
-       (n_13867), .B (n_13834), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2527(.A
-       (n_15941), .B (n_13866), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2528(.A
-       (n_13817), .B (n_13850), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2529(.A
-       (n_13869), .B (n_13836), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2530(.A
-       (n_13821), .B (n_13854), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2531(.A
-       (n_13851), .B (n_13818), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2532(.A
-       (n_13835), .B (n_13868), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2533(.A
-       (n_13818), .B (n_13851), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2534(.A
-       (n_13846), .B (n_13813), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2535(.A
-       (n_13825), .B (n_13858), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2536(.A
-       (n_13853), .B (n_13820), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2537(.A
-       (n_13870), .B (n_13837), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2538(.A
-       (n_13861), .B (n_13828), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2539(.A
-       (n_13836), .B (n_13869), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2540(.A
-       (n_13820), .B (n_13853), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2541(.A
-       (n_13814), .B (n_13847), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2542(.A
-       (n_13860), .B (n_13827), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2543(.A
-       (n_13855), .B (n_13822), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41));
-  sky130_fd_sc_hd__or2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2544(.A
-       (n_13863), .B (n_13830), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2545(.A
-       (n_13866), .B (n_15941), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2546(.A
-       (n_13868), .B (n_13835), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2547(.A
-       (n_13850), .B (n_13817), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2548(.A
-       (n_13816), .B (n_13849), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2549(.A
-       (n_13849), .B (n_13816), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2551(.A
-       (n_13807), .B (n_13840), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2552(.A
-       (n_13841), .B (n_13808), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2553(.A
-       (n_13843), .B (n_13810), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2554(.A
-       (n_13845), .B (n_13812), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2555(.A
-       (n_13831), .B (n_13864), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2556(.A
-       (n_13842), .B (n_13809), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2557(.A
-       (n_13808), .B (n_13841), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2558(.A
-       (n_13854), .B (n_13821), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2559(.A
-       (n_13823), .B (n_13856), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2560(.A
-       (n_13810), .B (n_13843), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2561(.A
-       (n_13838), .B (n_13871), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2562(.A
-       (n_13809), .B (n_13842), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2563(.A
-       (n_13811), .B (n_13844), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2564(.A
-       (n_13859), .B (n_13826), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2565(.A
-       (n_13827), .B (n_13860), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2566(.A
-       (n_13813), .B (n_13846), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2567(.A
-       (n_13829), .B (n_13862), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17));
-  sky130_fd_sc_hd__or2_0
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2568(.A
-       (n_13862), .B (n_13829), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2569(.A
-       (n_13819), .B (n_13852), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2570(.A
-       (n_13856), .B (n_13823), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2571(.A
-       (n_15975), .B (n_13839), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2572(.A
-       (n_13864), .B (n_13831), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2573(.A
-       (n_13858), .B (n_13825), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2574(.A
-       (n_13847), .B (n_13814), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2575(.A
-       (n_13824), .B (n_13857), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2576(.A
-       (n_13834), .B (n_13867), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2577(.A
-       (n_13848), .B (n_13815), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2578(.A
-       (n_13830), .B (n_13863), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2579(.A
-       (n_15942), .B (n_13865), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2580(.A
-       (n_13822), .B (n_13855), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2581(.A
-       (n_13826), .B (n_13859), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2582(.A
-       (n_13857), .B (n_13824), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2583(.A
-       (n_13865), .B (n_15942), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0));
-  sky130_fd_sc_hd__and3b_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2(.A_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), .C
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284));
-  sky130_fd_sc_hd__a21bo_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2584(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), .B1_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285));
-  sky130_fd_sc_hd__o21ai_2
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2585(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286));
-  sky130_fd_sc_hd__a21bo_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2586(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), .B1_N
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17), .X
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287));
-  sky130_fd_sc_hd__o21ai_1
-       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2587(.A1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), .A2
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), .B1
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110), .Y
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288));
-  sky130_fd_sc_hd__xor2_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g401
-       (.A (u_soc_u_top_u_core_pc_if[31]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60
-       ), .X (n_13607));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g402
-       (.A (u_soc_u_top_u_core_pc_if[30]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60
-       ), .SUM (n_13606));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g403
-       (.A (u_soc_u_top_u_core_pc_if[29]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58
-       ), .SUM (n_13605));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g404
-       (.A (u_soc_u_top_u_core_pc_if[28]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56
-       ), .SUM (n_13604));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g405
-       (.A (u_soc_u_top_u_core_pc_if[27]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54
-       ), .SUM (n_13603));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g406
-       (.A (u_soc_u_top_u_core_pc_if[26]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52
-       ), .SUM (n_13602));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g407
-       (.A (u_soc_u_top_u_core_pc_if[25]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50
-       ), .SUM (n_13601));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g408
-       (.A (u_soc_u_top_u_core_pc_if[24]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48
-       ), .SUM (n_13600));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g409
-       (.A (u_soc_u_top_u_core_pc_if[23]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46
-       ), .SUM (n_13599));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g410
-       (.A (u_soc_u_top_u_core_pc_if[22]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44
-       ), .SUM (n_13598));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g411
-       (.A (u_soc_u_top_u_core_pc_if[21]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42
-       ), .SUM (n_13597));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g412
-       (.A (u_soc_u_top_u_core_pc_if[20]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40
-       ), .SUM (n_13596));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g413
-       (.A (u_soc_u_top_u_core_pc_if[19]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38
-       ), .SUM (n_13595));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g414
-       (.A (u_soc_u_top_u_core_pc_if[18]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36
-       ), .SUM (n_13594));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g415
-       (.A (u_soc_u_top_u_core_pc_if[17]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34
-       ), .SUM (n_13593));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g416
-       (.A (u_soc_u_top_u_core_pc_if[16]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32
-       ), .SUM (n_13592));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g417
-       (.A (u_soc_u_top_u_core_pc_if[15]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30
-       ), .SUM (n_13591));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g418
-       (.A (u_soc_u_top_u_core_pc_if[14]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28
-       ), .SUM (n_13590));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g419
-       (.A (u_soc_u_top_u_core_pc_if[13]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26
-       ), .SUM (n_13589));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g420
-       (.A (u_soc_u_top_u_core_pc_if[12]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24
-       ), .SUM (n_13588));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g421
-       (.A (u_soc_u_top_u_core_pc_if[11]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22
-       ), .SUM (n_13587));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g422
-       (.A (u_soc_u_top_u_core_pc_if[10]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20
-       ), .SUM (n_13586));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g423
-       (.A (u_soc_u_top_u_core_pc_if[9]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18
-       ), .SUM (n_13585));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g424
-       (.A (u_soc_u_top_u_core_pc_if[8]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16
-       ), .SUM (n_13584));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g425
-       (.A (u_soc_u_top_u_core_pc_if[7]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14
-       ), .SUM (n_13583));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g426
-       (.A (u_soc_u_top_u_core_pc_if[6]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12
-       ), .SUM (n_13582));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g427
-       (.A (u_soc_u_top_u_core_pc_if[5]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10
-       ), .SUM (n_13581));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g428
-       (.A (u_soc_u_top_u_core_pc_if[4]), .B
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6
-       ), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8
-       ), .SUM (n_13580));
-  sky130_fd_sc_hd__ha_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g429
-       (.A (u_soc_u_top_u_core_pc_if[3]), .B (n_17397), .COUT
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6
-       ), .SUM (n_13579));
-  sky130_fd_sc_hd__o2bb2ai_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g430
-       (.A1_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
-       ), .A2_N
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
-       ), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
-       ), .B2
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
-       ), .Y (n_13578));
-  sky130_fd_sc_hd__o21a_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g432
-       (.A1 (u_soc_u_top_u_core_pc_if[1]), .A2
-       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .B1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
-       ), .X (n_13577));
-  sky130_fd_sc_hd__o2bb2ai_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g433
-       (.A1_N (u_soc_u_top_u_core_pc_if[2]), .A2_N
-       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .B1
-       (u_soc_u_top_u_core_pc_if[2]), .B2
-       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .Y
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
-       ));
-  sky130_fd_sc_hd__nand2_1
-       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g434
-       (.A (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .B
-       (u_soc_u_top_u_core_pc_if[1]), .Y
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
-       ));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g706(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_n_217));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g707(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g708(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g709(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g710(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g711(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g712(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g713(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g714(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g715(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g716(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g717(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g718(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g719(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g720(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g721(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g722(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g723(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g724(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g725(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g726(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g727(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g728(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g729(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g730(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g731(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g732(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g733(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g734(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34));
-  sky130_fd_sc_hd__maj3_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g735(.A
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32), .C
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]), .X
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33));
-  sky130_fd_sc_hd__o2bb2ai_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g736(.A1_N
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .A2_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31), .Y
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32));
-  sky130_fd_sc_hd__nor2_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g737(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30), .Y
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31));
-  sky130_fd_sc_hd__nand2b_1
-       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g738(.A_N
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]), .Y
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30));
-  sky130_fd_sc_hd__o2bb2ai_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g407(.A1_N
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .A2_N
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .B2
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), .Y
-       (u_soc_u_uart_u_uart_core_rx_time_n_576));
-  sky130_fd_sc_hd__o21a_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g408(.A1
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .A2
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57), .B1
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), .X
-       (u_soc_u_uart_u_uart_core_rx_time_n_577));
-  sky130_fd_sc_hd__nand2_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g409(.A
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57), .B
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .Y
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g410(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_578));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g411(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_579));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g412(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_580));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g413(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_581));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g414(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_582));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g415(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_583));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g416(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_584));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g417(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_585));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g418(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_586));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g419(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_587));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g420(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_588));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g421(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_589));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g422(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_590));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g423(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_591));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g424(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_592));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g425(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_593));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g426(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_594));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g427(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_595));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g428(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_596));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g429(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_597));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g430(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_598));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g431(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_599));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g432(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_600));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g433(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_601));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g434(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_602));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g435(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_603));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g436(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_604));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g437(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_605));
-  sky130_fd_sc_hd__ha_1
-       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g438(.A
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), .B
-       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .COUT
-       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0), .SUM
-       (u_soc_u_uart_u_uart_core_rx_time_n_606));
-  sky130_fd_sc_hd__a22oi_1 g445408(.A1 (n_8543), .A2 (n_5857), .B1
-       (u_soc_u_top_u_core_csr_restore_mret_id), .B2
-       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .Y
-       (n_16010));
-  sky130_fd_sc_hd__xnor2_1 g445409(.A
-       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B (n_1441), .Y
-       (n_16011));
-  sky130_fd_sc_hd__xnor2_1 g445410(.A
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), .B
-       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87), .Y
-       (n_16012));
-  sky130_fd_sc_hd__inv_2 drc_bufs445499(.A (n_16153), .Y (n_16152));
-  sky130_fd_sc_hd__inv_1 drc_bufs445500(.A (u_soc_u_iccm_addr2[3]), .Y
-       (n_16153));
-  sky130_fd_sc_hd__inv_2 drc_bufs445502(.A (n_16158), .Y (n_16157));
-  sky130_fd_sc_hd__inv_1 drc_bufs445503(.A (u_soc_u_iccm_addr2[2]), .Y
-       (n_16158));
-  sky130_fd_sc_hd__inv_2 drc_bufs445505(.A (n_16163), .Y (n_16162));
-  sky130_fd_sc_hd__inv_1 drc_bufs445506(.A (u_soc_u_iccm_addr2[1]), .Y
-       (n_16163));
-  sky130_fd_sc_hd__inv_2 drc_bufs445508(.A (n_16168), .Y (n_16167));
-  sky130_fd_sc_hd__inv_1 drc_bufs445509(.A (u_soc_u_iccm_addr2[0]), .Y
-       (n_16168));
-  sky130_fd_sc_hd__inv_2 drc_bufs445511(.A (n_16173), .Y (n_16172));
-  sky130_fd_sc_hd__inv_1 drc_bufs445512(.A (u_soc_u_iccm_addr1[7]), .Y
-       (n_16173));
-  sky130_fd_sc_hd__inv_2 drc_bufs445514(.A (n_16178), .Y (n_16177));
-  sky130_fd_sc_hd__inv_1 drc_bufs445515(.A (u_soc_u_iccm_addr1[6]), .Y
-       (n_16178));
-  sky130_fd_sc_hd__inv_2 drc_bufs445517(.A (n_16183), .Y (n_16182));
-  sky130_fd_sc_hd__inv_1 drc_bufs445518(.A (u_soc_u_iccm_addr1[5]), .Y
-       (n_16183));
-  sky130_fd_sc_hd__inv_2 drc_bufs445520(.A (n_16188), .Y (n_16187));
-  sky130_fd_sc_hd__inv_1 drc_bufs445521(.A (u_soc_u_iccm_addr1[4]), .Y
-       (n_16188));
-  sky130_fd_sc_hd__inv_2 drc_bufs445523(.A (n_16193), .Y (n_16192));
-  sky130_fd_sc_hd__inv_1 drc_bufs445524(.A (u_soc_u_iccm_addr1[3]), .Y
-       (n_16193));
-  sky130_fd_sc_hd__inv_2 drc_bufs445526(.A (n_16198), .Y (n_16197));
-  sky130_fd_sc_hd__inv_1 drc_bufs445527(.A (u_soc_u_iccm_addr1[2]), .Y
-       (n_16198));
-  sky130_fd_sc_hd__inv_2 drc_bufs445529(.A (n_16203), .Y (n_16202));
-  sky130_fd_sc_hd__inv_1 drc_bufs445530(.A (u_soc_u_iccm_addr1[1]), .Y
-       (n_16203));
-  sky130_fd_sc_hd__inv_2 drc_bufs445532(.A (n_16208), .Y (n_16207));
-  sky130_fd_sc_hd__inv_1 drc_bufs445533(.A (u_soc_u_iccm_addr1[0]), .Y
-       (n_16208));
-  sky130_fd_sc_hd__inv_2 drc_bufs445535(.A (n_16213), .Y (n_16212));
-  sky130_fd_sc_hd__inv_1 drc_bufs445536(.A (u_soc_u_dccm_addr1[3]), .Y
-       (n_16213));
-  sky130_fd_sc_hd__inv_2 drc_bufs445538(.A (n_16218), .Y (n_16217));
-  sky130_fd_sc_hd__inv_1 drc_bufs445539(.A (u_soc_u_dccm_addr2[4]), .Y
-       (n_16218));
-  sky130_fd_sc_hd__inv_2 drc_bufs445541(.A (n_16223), .Y (n_16222));
-  sky130_fd_sc_hd__inv_1 drc_bufs445542(.A (u_soc_u_iccm_addr3[6]), .Y
-       (n_16223));
-  sky130_fd_sc_hd__inv_2 drc_bufs445544(.A (n_16228), .Y (n_16227));
-  sky130_fd_sc_hd__inv_1 drc_bufs445545(.A (u_soc_u_iccm_addr3[7]), .Y
-       (n_16228));
-  sky130_fd_sc_hd__inv_2 drc_bufs445547(.A (n_16233), .Y (n_16232));
-  sky130_fd_sc_hd__inv_1 drc_bufs445548(.A (u_soc_u_dccm_addr1[2]), .Y
-       (n_16233));
-  sky130_fd_sc_hd__inv_2 drc_bufs445550(.A (n_16238), .Y (n_16237));
-  sky130_fd_sc_hd__inv_1 drc_bufs445551(.A (u_soc_u_dccm_addr2[2]), .Y
-       (n_16238));
-  sky130_fd_sc_hd__inv_2 drc_bufs445553(.A (n_16243), .Y (n_16242));
-  sky130_fd_sc_hd__inv_1 drc_bufs445554(.A (u_soc_u_iccm_addr2[7]), .Y
-       (n_16243));
-  sky130_fd_sc_hd__inv_2 drc_bufs445556(.A (n_16248), .Y (n_16247));
-  sky130_fd_sc_hd__inv_1 drc_bufs445557(.A (u_soc_u_dccm_addr2[1]), .Y
-       (n_16248));
-  sky130_fd_sc_hd__inv_2 drc_bufs445559(.A (n_16253), .Y (n_16252));
-  sky130_fd_sc_hd__inv_1 drc_bufs445560(.A (u_soc_u_dccm_addr3[7]), .Y
-       (n_16253));
-  sky130_fd_sc_hd__inv_2 drc_bufs445562(.A (n_16258), .Y (n_16257));
-  sky130_fd_sc_hd__inv_1 drc_bufs445563(.A (u_soc_u_dccm_addr3[6]), .Y
-       (n_16258));
-  sky130_fd_sc_hd__inv_2 drc_bufs445565(.A (n_16263), .Y (n_16262));
-  sky130_fd_sc_hd__inv_1 drc_bufs445566(.A (u_soc_u_dccm_addr3[5]), .Y
-       (n_16263));
-  sky130_fd_sc_hd__inv_2 drc_bufs445568(.A (n_16268), .Y (n_16267));
-  sky130_fd_sc_hd__inv_1 drc_bufs445569(.A (u_soc_u_dccm_addr3[4]), .Y
-       (n_16268));
-  sky130_fd_sc_hd__inv_2 drc_bufs445571(.A (n_16273), .Y (n_16272));
-  sky130_fd_sc_hd__inv_1 drc_bufs445572(.A (u_soc_u_dccm_addr3[3]), .Y
-       (n_16273));
-  sky130_fd_sc_hd__inv_2 drc_bufs445574(.A (n_16278), .Y (n_16277));
-  sky130_fd_sc_hd__inv_1 drc_bufs445575(.A (u_soc_u_dccm_addr3[2]), .Y
-       (n_16278));
-  sky130_fd_sc_hd__inv_2 drc_bufs445577(.A (n_16283), .Y (n_16282));
-  sky130_fd_sc_hd__inv_1 drc_bufs445578(.A (u_soc_u_dccm_addr3[1]), .Y
-       (n_16283));
-  sky130_fd_sc_hd__inv_2 drc_bufs445580(.A (n_16288), .Y (n_16287));
-  sky130_fd_sc_hd__inv_1 drc_bufs445581(.A (u_soc_u_dccm_addr3[0]), .Y
-       (n_16288));
-  sky130_fd_sc_hd__inv_2 drc_bufs445583(.A (n_16293), .Y (n_16292));
-  sky130_fd_sc_hd__inv_1 drc_bufs445584(.A (u_soc_u_dccm_addr2[7]), .Y
-       (n_16293));
-  sky130_fd_sc_hd__inv_2 drc_bufs445586(.A (n_16298), .Y (n_16297));
-  sky130_fd_sc_hd__inv_1 drc_bufs445587(.A (u_soc_u_dccm_addr2[6]), .Y
-       (n_16298));
-  sky130_fd_sc_hd__inv_2 drc_bufs445589(.A (n_16303), .Y (n_16302));
-  sky130_fd_sc_hd__inv_1 drc_bufs445590(.A (u_soc_u_dccm_addr2[5]), .Y
-       (n_16303));
-  sky130_fd_sc_hd__inv_2 drc_bufs445592(.A (n_16308), .Y (n_16307));
-  sky130_fd_sc_hd__inv_1 drc_bufs445593(.A (u_soc_u_iccm_addr3[3]), .Y
-       (n_16308));
-  sky130_fd_sc_hd__inv_2 drc_bufs445595(.A (n_16313), .Y (n_16312));
-  sky130_fd_sc_hd__inv_1 drc_bufs445596(.A (u_soc_u_iccm_addr3[5]), .Y
-       (n_16313));
-  sky130_fd_sc_hd__inv_2 drc_bufs445598(.A (n_16318), .Y (n_16317));
-  sky130_fd_sc_hd__inv_1 drc_bufs445599(.A (u_soc_u_dccm_addr1[1]), .Y
-       (n_16318));
-  sky130_fd_sc_hd__inv_2 drc_bufs445601(.A (n_16323), .Y (n_16322));
-  sky130_fd_sc_hd__inv_1 drc_bufs445602(.A (u_soc_u_iccm_addr2[6]), .Y
-       (n_16323));
-  sky130_fd_sc_hd__inv_2 drc_bufs445604(.A (n_16328), .Y (n_16327));
-  sky130_fd_sc_hd__inv_1 drc_bufs445605(.A (u_soc_u_dccm_addr2[0]), .Y
-       (n_16328));
-  sky130_fd_sc_hd__inv_2 drc_bufs445607(.A (n_16333), .Y (n_16332));
-  sky130_fd_sc_hd__inv_1 drc_bufs445608(.A (u_soc_u_dccm_addr1[7]), .Y
-       (n_16333));
-  sky130_fd_sc_hd__inv_2 drc_bufs445610(.A (n_16338), .Y (n_16337));
-  sky130_fd_sc_hd__inv_1 drc_bufs445611(.A (u_soc_u_dccm_addr1[6]), .Y
-       (n_16338));
-  sky130_fd_sc_hd__inv_2 drc_bufs445613(.A (n_16343), .Y (n_16342));
-  sky130_fd_sc_hd__inv_1 drc_bufs445614(.A (u_soc_u_dccm_addr1[5]), .Y
-       (n_16343));
-  sky130_fd_sc_hd__inv_2 drc_bufs445616(.A (n_16348), .Y (n_16347));
-  sky130_fd_sc_hd__inv_1 drc_bufs445617(.A (u_soc_u_dccm_addr1[4]), .Y
-       (n_16348));
-  sky130_fd_sc_hd__inv_2 drc_bufs445619(.A (n_16353), .Y (n_16352));
-  sky130_fd_sc_hd__inv_1 drc_bufs445620(.A (u_soc_u_iccm_addr3[2]), .Y
-       (n_16353));
-  sky130_fd_sc_hd__inv_2 drc_bufs445622(.A (n_16358), .Y (n_16357));
-  sky130_fd_sc_hd__inv_1 drc_bufs445623(.A (u_soc_u_iccm_addr3[4]), .Y
-       (n_16358));
-  sky130_fd_sc_hd__inv_2 drc_bufs445625(.A (n_16363), .Y (n_16362));
-  sky130_fd_sc_hd__inv_1 drc_bufs445626(.A (u_soc_u_iccm_addr2[5]), .Y
-       (n_16363));
-  sky130_fd_sc_hd__inv_2 drc_bufs445628(.A (n_16368), .Y (n_16367));
-  sky130_fd_sc_hd__inv_1 drc_bufs445629(.A (u_soc_u_dccm_addr1[0]), .Y
-       (n_16368));
-  sky130_fd_sc_hd__inv_2 drc_bufs445631(.A (n_16373), .Y (n_16372));
-  sky130_fd_sc_hd__inv_1 drc_bufs445632(.A (u_soc_u_dccm_addr2[3]), .Y
-       (n_16373));
-  sky130_fd_sc_hd__inv_2 drc_bufs445634(.A (n_16378), .Y (n_16377));
-  sky130_fd_sc_hd__inv_1 drc_bufs445635(.A (u_soc_u_iccm_addr3[1]), .Y
-       (n_16378));
-  sky130_fd_sc_hd__inv_2 drc_bufs445637(.A (n_16383), .Y (n_16382));
-  sky130_fd_sc_hd__inv_1 drc_bufs445638(.A (u_soc_u_iccm_addr2[4]), .Y
-       (n_16383));
-  sky130_fd_sc_hd__inv_2 drc_bufs445640(.A (n_16388), .Y (n_16387));
-  sky130_fd_sc_hd__inv_1 drc_bufs445641(.A (u_soc_u_iccm_addr3[0]), .Y
-       (n_16388));
-  sky130_fd_sc_hd__inv_2 drc_bufs445709(.A (n_16483), .Y (n_16482));
-  sky130_fd_sc_hd__inv_1 drc_bufs445710(.A (u_soc_u_iccm_addr4[2]), .Y
-       (n_16483));
-  sky130_fd_sc_hd__inv_2 drc_bufs445712(.A (n_16488), .Y (n_16487));
-  sky130_fd_sc_hd__inv_1 drc_bufs445713(.A (u_soc_u_iccm_addr4[4]), .Y
-       (n_16488));
-  sky130_fd_sc_hd__inv_2 drc_bufs445715(.A (n_16493), .Y (n_16492));
-  sky130_fd_sc_hd__inv_1 drc_bufs445716(.A (u_soc_u_dccm_addr4[4]), .Y
-       (n_16493));
-  sky130_fd_sc_hd__inv_2 drc_bufs445718(.A (n_16498), .Y (n_16497));
-  sky130_fd_sc_hd__inv_1 drc_bufs445719(.A (u_soc_u_iccm_addr4[6]), .Y
-       (n_16498));
-  sky130_fd_sc_hd__inv_2 drc_bufs445721(.A (n_16503), .Y (n_16502));
-  sky130_fd_sc_hd__inv_1 drc_bufs445722(.A (u_soc_u_dccm_addr4[5]), .Y
-       (n_16503));
-  sky130_fd_sc_hd__inv_2 drc_bufs445724(.A (n_16508), .Y (n_16507));
-  sky130_fd_sc_hd__inv_1 drc_bufs445725(.A (u_soc_u_dccm_addr4[6]), .Y
-       (n_16508));
-  sky130_fd_sc_hd__inv_2 drc_bufs445727(.A (n_16513), .Y (n_16512));
-  sky130_fd_sc_hd__inv_1 drc_bufs445728(.A (u_soc_u_iccm_addr4[3]), .Y
-       (n_16513));
-  sky130_fd_sc_hd__inv_2 drc_bufs445730(.A (n_16518), .Y (n_16517));
-  sky130_fd_sc_hd__inv_1 drc_bufs445731(.A (u_soc_u_dccm_addr4[7]), .Y
-       (n_16518));
-  sky130_fd_sc_hd__inv_2 drc_bufs445733(.A (n_16523), .Y (n_16522));
-  sky130_fd_sc_hd__inv_1 drc_bufs445734(.A (u_soc_u_dccm_addr4[0]), .Y
-       (n_16523));
-  sky130_fd_sc_hd__inv_2 drc_bufs445736(.A (n_16528), .Y (n_16527));
-  sky130_fd_sc_hd__inv_1 drc_bufs445737(.A (u_soc_u_iccm_addr4[5]), .Y
-       (n_16528));
-  sky130_fd_sc_hd__inv_2 drc_bufs445739(.A (n_16533), .Y (n_16532));
-  sky130_fd_sc_hd__inv_1 drc_bufs445740(.A (u_soc_u_dccm_addr4[1]), .Y
-       (n_16533));
-  sky130_fd_sc_hd__inv_2 drc_bufs445742(.A (n_16538), .Y (n_16537));
-  sky130_fd_sc_hd__inv_1 drc_bufs445743(.A (u_soc_u_iccm_addr4[0]), .Y
-       (n_16538));
-  sky130_fd_sc_hd__inv_2 drc_bufs445745(.A (n_16543), .Y (n_16542));
-  sky130_fd_sc_hd__inv_1 drc_bufs445746(.A (u_soc_u_iccm_addr4[7]), .Y
-       (n_16543));
-  sky130_fd_sc_hd__inv_2 drc_bufs445748(.A (n_16548), .Y (n_16547));
-  sky130_fd_sc_hd__inv_1 drc_bufs445749(.A (u_soc_u_iccm_addr4[1]), .Y
-       (n_16548));
-  sky130_fd_sc_hd__inv_2 drc_bufs445751(.A (n_16553), .Y (n_16552));
-  sky130_fd_sc_hd__inv_1 drc_bufs445752(.A (u_soc_u_dccm_addr4[2]), .Y
-       (n_16553));
-  sky130_fd_sc_hd__inv_2 drc_bufs445754(.A (n_16558), .Y (n_16557));
-  sky130_fd_sc_hd__inv_1 drc_bufs445755(.A (u_soc_u_dccm_addr4[3]), .Y
-       (n_16558));
-  sky130_fd_sc_hd__inv_8 drc_bufs445947(.A (n_16849), .Y (n_16847));
-  sky130_fd_sc_hd__inv_4 drc_bufs445949(.A (u_soc_instr_wmask[0]), .Y
-       (n_16849));
-  sky130_fd_sc_hd__inv_8 drc_bufs445953(.A (n_16855), .Y (n_16853));
-  sky130_fd_sc_hd__inv_4 drc_bufs445955(.A (u_soc_instr_wmask[0]), .Y
-       (n_16855));
-  sky130_fd_sc_hd__inv_4 drc_bufs445963(.A (n_16849), .Y (n_16865));
-  sky130_fd_sc_hd__inv_4 drc_bufs445969(.A (n_16855), .Y (n_16873));
-  sky130_fd_sc_hd__inv_2 drc_bufs446156(.A (n_16849), .Y (n_16400));
-  sky130_fd_sc_hd__inv_2 drc_bufs446162(.A (n_16855), .Y (n_16413));
-  sky130_fd_sc_hd__buf_8 drc446198(.A (u_soc_data_wmask[1]), .X
-       (n_16477));
-  sky130_fd_sc_hd__buf_8 drc446210(.A (u_soc_data_wmask[3]), .X
-       (n_16445));
-  sky130_fd_sc_hd__buf_8 drc446222(.A (u_soc_data_wmask[0]), .X
-       (n_16461));
-  sky130_fd_sc_hd__buf_8 drc446234(.A (u_soc_data_wmask[3]), .X
-       (n_16469));
-  sky130_fd_sc_hd__buf_8 drc446246(.A (u_soc_data_wmask[2]), .X
-       (n_16437));
-  sky130_fd_sc_hd__buf_8 drc446258(.A (u_soc_data_wmask[2]), .X
-       (n_16453));
-  sky130_fd_sc_hd__buf_8 drc446270(.A (u_soc_data_wmask[0]), .X
-       (n_16421));
-  sky130_fd_sc_hd__buf_8 drc446282(.A (u_soc_data_wmask[1]), .X
-       (n_16429));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[24] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1827), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29));
-  sky130_fd_sc_hd__dfsbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[2] (.SET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1792), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[30] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1797), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[8] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1812), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[31] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1789), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25));
-  sky130_fd_sc_hd__dfsbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[3] (.SET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1817), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[26] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1784), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[4] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1795), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[21] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1829), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[16] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1804), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[10] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1798), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[13] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1821), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[22] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1820), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[20] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1810), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[7] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1816), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[27] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1825), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[25] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1809), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[9] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1802), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[18] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1788), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[6] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1793), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[23] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1814), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[11] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1822), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[19] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1824), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[17] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1823), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[15] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1808), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[12] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1815), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[5] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1818), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[29] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1786), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[14] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1826), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1));
-  sky130_fd_sc_hd__dfrbp_1
-       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[28] (.RESET_B
-       (io_out[37]), .CLK (wb_clk_i), .D (n_1791), .Q_N
-       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0));
-  sky130_fd_sc_hd__nor2b_1 g446493(.A (u_soc_instr_addr[9]), .B_N
-       (u_soc_instr_addr[8]), .Y (n_17393));
-  sky130_fd_sc_hd__nor2b_1 g446494(.A (u_soc_instr_addr[8]), .B_N
-       (u_soc_instr_addr[9]), .Y (n_17394));
-  sky130_fd_sc_hd__nor2b_1 g446495(.A (u_soc_data_addr[9]), .B_N
-       (u_soc_data_addr[8]), .Y (n_17395));
-  sky130_fd_sc_hd__nor2b_1 g446496(.A (u_soc_data_addr[8]), .B_N
-       (u_soc_data_addr[9]), .Y (n_17396));
-  sky130_fd_sc_hd__a21boi_0 g446497(.A1
-       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
-       ), .A2 (u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
-       .B1_N (u_soc_u_top_u_core_pc_if[2]), .Y (n_17397));
-  sky130_fd_sc_hd__a22o_1 g446498(.A1 (n_15999), .A2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
-       [0]), .B1 (n_11518), .B2
-       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
-       [0]), .X (n_17398));
-  sky130_fd_sc_hd__and3_1 g446499(.A (n_13417), .B (n_8791), .C
-       (n_15905), .X (n_17399));
-  sky130_fd_sc_hd__buf_8 drc(.A (n_16400), .X (n_17413));
-  sky130_fd_sc_hd__buf_8 drc446520(.A (n_16413), .X (n_17427));
-  sky130_fd_sc_hd__buf_8 drc446559(.A (n_17481), .X (n_17482));
-  sky130_fd_sc_hd__inv_2 drc_bufs446560(.A (n_16849), .Y (n_17481));
-  sky130_fd_sc_hd__buf_4 drc446531_dup(.A (n_17481), .X (n_17483));
-  sky130_fd_sc_hd__buf_8 drc446571(.A (n_17497), .X (n_17498));
-  sky130_fd_sc_hd__inv_2 drc_bufs446572(.A (n_16855), .Y (n_17497));
-  sky130_fd_sc_hd__buf_4 drc446542_dup(.A (n_17497), .X (n_17499));
-  sky130_fd_sc_hd__conb_1 tie_0_cell(.HI (n_17933), .LO
-       (logic_0_1_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell1(.HI (n_17934), .LO
-       (logic_0_2_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell2(.HI (n_17935), .LO
-       (logic_0_3_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell3(.HI (n_17936), .LO
-       (logic_0_4_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell4(.HI (n_17937), .LO
-       (logic_0_5_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell5(.HI (n_17938), .LO
-       (logic_0_6_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell6(.HI (n_17939), .LO
-       (logic_0_7_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell7(.HI (n_17940), .LO
-       (logic_0_8_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell8(.HI (n_17941), .LO
-       (logic_0_9_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell9(.HI (n_17942), .LO
-       (logic_0_10_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell10(.HI (n_17943), .LO
-       (logic_0_11_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell11(.HI (n_17944), .LO
-       (logic_0_12_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell12(.HI (n_17945), .LO
-       (logic_0_13_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell13(.HI (n_17946), .LO
-       (logic_0_14_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell14(.HI (n_17947), .LO
-       (logic_0_15_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell15(.HI (n_17948), .LO
-       (logic_0_16_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell16(.HI (n_17949), .LO
-       (logic_0_17_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell17(.HI (n_17950), .LO
-       (logic_0_18_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell18(.HI (n_17951), .LO
-       (logic_0_19_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell19(.HI (n_17952), .LO
-       (logic_0_20_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell20(.HI (n_17953), .LO
-       (logic_0_21_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell21(.HI (n_17954), .LO
-       (logic_0_22_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell22(.HI (n_17955), .LO
-       (logic_0_23_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell23(.HI (n_17956), .LO
-       (logic_0_24_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell24(.HI (n_17957), .LO
-       (logic_0_25_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell25(.HI (n_17958), .LO
-       (logic_0_26_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell26(.HI (n_17959), .LO
-       (logic_0_27_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell27(.HI (n_17960), .LO
-       (logic_0_28_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell28(.HI (n_17961), .LO
-       (logic_0_29_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell29(.HI (n_17962), .LO
-       (logic_0_30_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell30(.HI (n_17963), .LO
-       (logic_0_31_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell31(.HI (n_17964), .LO
-       (logic_0_32_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell32(.HI (n_17965), .LO
-       (logic_0_33_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell33(.HI (n_17966), .LO
-       (logic_0_34_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell34(.HI (n_17967), .LO
-       (logic_0_35_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell35(.HI (n_17968), .LO
-       (logic_0_36_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell36(.HI (n_17969), .LO
-       (logic_0_37_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell37(.HI (n_17970), .LO
-       (logic_0_38_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell38(.HI (n_17971), .LO
-       (logic_0_39_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell39(.HI (n_17972), .LO
-       (logic_0_40_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell40(.HI (n_17973), .LO
-       (logic_0_41_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell41(.HI (n_17974), .LO
-       (logic_0_42_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell42(.HI (n_17975), .LO
-       (logic_0_43_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell43(.HI (n_17976), .LO
-       (logic_0_44_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell44(.HI (n_17977), .LO
-       (logic_0_45_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell45(.HI (n_17978), .LO
-       (logic_0_46_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell46(.HI (n_17979), .LO
-       (logic_0_47_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell47(.HI (n_17980), .LO
-       (logic_0_48_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell48(.HI (n_17981), .LO
-       (logic_0_49_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell49(.HI (n_17982), .LO
-       (logic_0_50_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell50(.HI (n_17983), .LO
-       (logic_0_51_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell51(.HI (n_17984), .LO
-       (logic_0_52_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell52(.HI (n_17985), .LO
-       (logic_0_53_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell53(.HI (n_17986), .LO
-       (logic_0_54_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell54(.HI (n_17987), .LO
-       (logic_0_55_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell55(.HI (io_oeb[24]), .LO
-       (logic_0_56_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell56(.HI (io_oeb[35]), .LO
-       (logic_0_57_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell57(.HI (io_oeb[36]), .LO
-       (logic_0_58_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell58(.HI (io_oeb[5]), .LO
-       (logic_0_59_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell59(.HI (io_oeb[8]), .LO
-       (logic_0_60_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell60(.LO (logic_0_61_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell61(.LO (logic_0_62_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell62(.LO (logic_0_63_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell63(.LO (logic_0_64_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell64(.LO (logic_0_65_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell65(.LO (logic_0_66_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell66(.LO (logic_0_67_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell67(.LO (logic_0_68_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell68(.LO (logic_0_69_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell69(.LO (logic_0_70_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell70(.LO (logic_0_71_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell71(.LO (logic_0_72_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell72(.LO (logic_0_73_net));
-  sky130_fd_sc_hd__conb_1 tie_0_cell73(.LO (io_oeb[0]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell74(.LO (io_oeb[10]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell75(.LO (io_oeb[11]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell76(.LO (io_oeb[12]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell77(.LO (io_oeb[13]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell78(.LO (io_oeb[14]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell79(.LO (io_oeb[15]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell80(.LO (io_oeb[16]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell81(.LO (io_oeb[17]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell82(.LO (io_oeb[18]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell83(.LO (io_oeb[19]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell84(.LO (io_oeb[1]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell85(.LO (io_oeb[20]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell86(.LO (io_oeb[21]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell87(.LO (io_oeb[22]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell88(.LO (io_oeb[23]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell89(.LO (io_oeb[25]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell90(.LO (io_oeb[26]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell91(.LO (io_oeb[27]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell92(.LO (io_oeb[28]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell93(.LO (io_oeb[29]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell94(.LO (io_oeb[2]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell95(.LO (io_oeb[30]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell96(.LO (io_oeb[31]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell97(.LO (io_oeb[32]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell98(.LO (io_oeb[33]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell99(.LO (io_oeb[34]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell100(.LO (io_oeb[37]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell101(.LO (io_oeb[3]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell102(.LO (io_oeb[4]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell103(.LO (io_oeb[6]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell104(.LO (io_oeb[7]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell105(.LO (io_oeb[9]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell106(.LO (io_out[0]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell107(.LO (io_out[10]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell108(.LO (io_out[11]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell109(.LO (io_out[12]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell110(.LO (io_out[13]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell111(.LO (io_out[14]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell112(.LO (io_out[15]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell113(.LO (io_out[16]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell114(.LO (io_out[17]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell115(.LO (io_out[18]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell116(.LO (io_out[19]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell117(.LO (io_out[1]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell118(.LO (io_out[20]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell119(.LO (io_out[21]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell120(.LO (io_out[22]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell121(.LO (io_out[23]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell122(.LO (io_out[24]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell123(.LO (io_out[25]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell124(.LO (io_out[26]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell125(.LO (io_out[27]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell126(.LO (io_out[28]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell127(.LO (io_out[29]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell128(.LO (io_out[2]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell129(.LO (io_out[30]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell130(.LO (io_out[31]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell131(.LO (io_out[32]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell132(.LO (io_out[33]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell133(.LO (io_out[35]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell134(.LO (io_out[36]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell135(.LO (io_out[3]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell136(.LO (io_out[4]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell137(.LO (io_out[5]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell138(.LO (io_out[7]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell139(.LO (io_out[8]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell140(.LO (io_out[9]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell141(.LO (la_data_out[0]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell142(.LO (la_data_out[100]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell143(.LO (la_data_out[101]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell144(.LO (la_data_out[102]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell145(.LO (la_data_out[103]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell146(.LO (la_data_out[104]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell147(.LO (la_data_out[105]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell148(.LO (la_data_out[106]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell149(.LO (la_data_out[107]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell150(.LO (la_data_out[108]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell151(.LO (la_data_out[109]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell152(.LO (la_data_out[10]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell153(.LO (la_data_out[110]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell154(.LO (la_data_out[111]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell155(.LO (la_data_out[112]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell156(.LO (la_data_out[113]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell157(.LO (la_data_out[114]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell158(.LO (la_data_out[115]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell159(.LO (la_data_out[116]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell160(.LO (la_data_out[117]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell161(.LO (la_data_out[118]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell162(.LO (la_data_out[119]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell163(.LO (la_data_out[11]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell164(.LO (la_data_out[120]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell165(.LO (la_data_out[121]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell166(.LO (la_data_out[122]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell167(.LO (la_data_out[123]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell168(.LO (la_data_out[124]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell169(.LO (la_data_out[125]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell170(.LO (la_data_out[126]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell171(.LO (la_data_out[127]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell172(.LO (la_data_out[12]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell173(.LO (la_data_out[13]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell174(.LO (la_data_out[14]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell175(.LO (la_data_out[15]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell176(.LO (la_data_out[16]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell177(.LO (la_data_out[17]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell178(.LO (la_data_out[18]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell179(.LO (la_data_out[19]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell180(.LO (la_data_out[1]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell181(.LO (la_data_out[20]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell182(.LO (la_data_out[21]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell183(.LO (la_data_out[22]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell184(.LO (la_data_out[23]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell185(.LO (la_data_out[24]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell186(.LO (la_data_out[25]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell187(.LO (la_data_out[26]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell188(.LO (la_data_out[27]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell189(.LO (la_data_out[28]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell190(.LO (la_data_out[29]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell191(.LO (la_data_out[2]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell192(.LO (la_data_out[30]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell193(.LO (la_data_out[31]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell194(.LO (la_data_out[32]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell195(.LO (la_data_out[33]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell196(.LO (la_data_out[34]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell197(.LO (la_data_out[35]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell198(.LO (la_data_out[36]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell199(.LO (la_data_out[37]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell200(.LO (la_data_out[38]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell201(.LO (la_data_out[39]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell202(.LO (la_data_out[3]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell203(.LO (la_data_out[40]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell204(.LO (la_data_out[41]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell205(.LO (la_data_out[42]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell206(.LO (la_data_out[43]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell207(.LO (la_data_out[44]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell208(.LO (la_data_out[45]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell209(.LO (la_data_out[46]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell210(.LO (la_data_out[47]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell211(.LO (la_data_out[48]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell212(.LO (la_data_out[49]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell213(.LO (la_data_out[4]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell214(.LO (la_data_out[50]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell215(.LO (la_data_out[51]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell216(.LO (la_data_out[52]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell217(.LO (la_data_out[53]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell218(.LO (la_data_out[54]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell219(.LO (la_data_out[55]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell220(.LO (la_data_out[56]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell221(.LO (la_data_out[57]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell222(.LO (la_data_out[58]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell223(.LO (la_data_out[59]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell224(.LO (la_data_out[5]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell225(.LO (la_data_out[60]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell226(.LO (la_data_out[61]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell227(.LO (la_data_out[62]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell228(.LO (la_data_out[63]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell229(.LO (la_data_out[64]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell230(.LO (la_data_out[65]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell231(.LO (la_data_out[66]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell232(.LO (la_data_out[67]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell233(.LO (la_data_out[68]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell234(.LO (la_data_out[69]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell235(.LO (la_data_out[6]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell236(.LO (la_data_out[70]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell237(.LO (la_data_out[71]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell238(.LO (la_data_out[72]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell239(.LO (la_data_out[73]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell240(.LO (la_data_out[74]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell241(.LO (la_data_out[75]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell242(.LO (la_data_out[76]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell243(.LO (la_data_out[77]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell244(.LO (la_data_out[78]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell245(.LO (la_data_out[79]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell246(.LO (la_data_out[7]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell247(.LO (la_data_out[80]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell248(.LO (la_data_out[81]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell249(.LO (la_data_out[82]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell250(.LO (la_data_out[83]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell251(.LO (la_data_out[84]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell252(.LO (la_data_out[85]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell253(.LO (la_data_out[86]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell254(.LO (la_data_out[87]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell255(.LO (la_data_out[88]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell256(.LO (la_data_out[89]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell257(.LO (la_data_out[8]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell258(.LO (la_data_out[90]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell259(.LO (la_data_out[91]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell260(.LO (la_data_out[92]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell261(.LO (la_data_out[93]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell262(.LO (la_data_out[94]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell263(.LO (la_data_out[95]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell264(.LO (la_data_out[96]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell265(.LO (la_data_out[97]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell266(.LO (la_data_out[98]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell267(.LO (la_data_out[99]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell268(.LO (la_data_out[9]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell269(.LO (user_irq[0]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell270(.LO (user_irq[1]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell271(.LO (user_irq[2]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell272(.LO (wbs_ack_o));
-  sky130_fd_sc_hd__conb_1 tie_0_cell273(.LO (wbs_dat_o[0]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell274(.LO (wbs_dat_o[10]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell275(.LO (wbs_dat_o[11]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell276(.LO (wbs_dat_o[12]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell277(.LO (wbs_dat_o[13]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell278(.LO (wbs_dat_o[14]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell279(.LO (wbs_dat_o[15]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell280(.LO (wbs_dat_o[16]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell281(.LO (wbs_dat_o[17]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell282(.LO (wbs_dat_o[18]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell283(.LO (wbs_dat_o[19]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell284(.LO (wbs_dat_o[1]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell285(.LO (wbs_dat_o[20]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell286(.LO (wbs_dat_o[21]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell287(.LO (wbs_dat_o[22]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell288(.LO (wbs_dat_o[23]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell289(.LO (wbs_dat_o[24]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell290(.LO (wbs_dat_o[25]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell291(.LO (wbs_dat_o[26]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell292(.LO (wbs_dat_o[27]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell293(.LO (wbs_dat_o[28]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell294(.LO (wbs_dat_o[29]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell295(.LO (wbs_dat_o[2]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell296(.LO (wbs_dat_o[30]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell297(.LO (wbs_dat_o[31]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell298(.LO (wbs_dat_o[3]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell299(.LO (wbs_dat_o[4]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell300(.LO (wbs_dat_o[5]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell301(.LO (wbs_dat_o[6]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell302(.LO (wbs_dat_o[7]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell303(.LO (wbs_dat_o[8]));
-  sky130_fd_sc_hd__conb_1 tie_0_cell304(.LO (wbs_dat_o[9]));
-  sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_6(.A (io_out[34]), .X
-       (io_out[6]));
+module rest_top (
+	wb_clk_i, 
+	wb_rst_i, 
+	wbs_stb_i, 
+	wbs_cyc_i, 
+	wbs_we_i, 
+	wbs_sel_i, 
+	wbs_dat_i, 
+	wbs_adr_i, 
+	wbs_ack_o, 
+	wbs_dat_o, 
+	la_data_in, 
+	la_data_out, 
+	la_oenb, 
+	io_in, 
+	io_out, 
+	io_oeb, 
+	analog_io, 
+	user_clock2, 
+	user_irq, 
+	vssd1, 
+	vccd1);
+   input wb_clk_i;
+   input wb_rst_i;
+   input wbs_stb_i;
+   input wbs_cyc_i;
+   input wbs_we_i;
+   input [3:0] wbs_sel_i;
+   input [31:0] wbs_dat_i;
+   input [31:0] wbs_adr_i;
+   output wbs_ack_o;
+   output [31:0] wbs_dat_o;
+   input [127:0] la_data_in;
+   output [127:0] la_data_out;
+   input [127:0] la_oenb;
+   input [37:0] io_in;
+   output [37:0] io_out;
+   output [37:0] io_oeb;
+   inout [28:0] analog_io;
+   input user_clock2;
+   output [2:0] user_irq;
+   inout vssd1;
+   inout vccd1;
+
+   // Internal wires
+   wire FE_PHN4880_io_in_33;
+   wire FE_COEN4879_n_7799;
+   wire FE_COEN4878_FE_OFN587_u_soc_u_iccm_rdata1_30;
+   wire FE_COEN4877_n_5828;
+   wire FE_COEN4876_n_7805;
+   wire FE_COEN4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_COEN4874_n_496;
+   wire FE_COEN4872_n_15;
+   wire FE_COEN4871_n_10665;
+   wire FE_COEN4870_n_10345;
+   wire FE_COEN4869_n_10673;
+   wire FE_COEN4867_n_10677;
+   wire FE_COEN4866_n_10676;
+   wire FE_COEN4864_n_10678;
+   wire FE_COEN4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0;
+   wire FE_COEN4862_n_11626;
+   wire FE_COEN4861_n_12755;
+   wire FE_PSN4860_u_soc_lsu_to_xbar_a_address_31;
+   wire FE_PSN4859_FE_PDN4759_FE_COEN4678;
+   wire FE_PSN4858_n_15982;
+   wire FE_PSBN19898_n_16001;
+   wire FE_PSBN19897_n_16001;
+   wire FE_PSN4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0;
+   wire FE_PSN4856_n_8934;
+   wire FE_PSN4855_n_10568;
+   wire FE_PSN4854_n_10153;
+   wire FE_PSN4853_u_soc_u_iccm_bank_sel_0;
+   wire FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed;
+   wire FE_USKN4851_CTS_23;
+   wire FE_USKN4850_CTS_20;
+   wire FE_USKN4849_CTS_20;
+   wire FE_USKN4848_CTS_87;
+   wire FE_USKN4847_CTS_18;
+   wire FE_USKN4846_CTS_18;
+   wire FE_USKN4845_CTS_75;
+   wire FE_USKN4844_CTS_75;
+   wire FE_PSN4843_FE_OFN1118_n_15887;
+   wire FE_PSN4842_FE_OFN18526_n_11553;
+   wire FE_PSN4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31;
+   wire FE_PSN4840_n_11631;
+   wire FE_PSN4838_FE_PSBN19868_n_16000;
+   wire FE_PSN4837_FE_COEN4317_n_11475;
+   wire FE_PSN4836_n_15861;
+   wire FE_PSN4835_n_15856;
+   wire FE_PSN4834_FE_OFN18385_n_11514;
+   wire FE_PSN4833_n_11123;
+   wire FE_PSN4831_n_11517;
+   wire FE_PSN4828_n_13499;
+   wire FE_PSN4825_n_11035;
+   wire FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3;
+   wire FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
+   wire FE_PSN4822_n_13503;
+   wire FE_PSN4821_FE_COEN4317_n_11475;
+   wire FE_PSN4820_n_11121;
+   wire FE_PSN4818_FE_OFN18526_n_11553;
+   wire FE_PSN4817_FE_OFN1057_n_11478;
+   wire FE_PSN4816_n_12412;
+   wire FE_PSN4815_FE_OFN1053_n_11471;
+   wire FE_PSN4814_FE_OFN18559_n_15861;
+   wire FE_PSN4813_n_11761;
+   wire FE_PSN4812_n_11425;
+   wire FE_PSN4811_FE_OFN18505_n_16001;
+   wire FE_PSN4810_u_soc_u_top_u_core_instr_rdata_id_2;
+   wire FE_PSN4809_n_11555;
+   wire FE_PSN4808_FE_COEN4315_n_15877;
+   wire FE_PSN4807_n_11751;
+   wire FE_PSN4806_n_15975;
+   wire FE_PSN4805_FE_PSBN19868_n_16000;
+   wire FE_PSN4804_n_11632;
+   wire FE_PSN4802_u_soc_u_top_u_core_alu_operator_ex_5;
+   wire FE_PSN4801_n_11443;
+   wire FE_PSN4800_n_13841;
+   wire FE_PSN4796_n_11473;
+   wire FE_PSN4795_n_11474;
+   wire FE_PSN4794_n_13843;
+   wire FE_PSN4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34;
+   wire FE_PSN4792_n_13498;
+   wire FE_PSN4791_n_15871;
+   wire FE_PSN4790_n_13499;
+   wire FE_PSN4789_FE_OFN18315_n_13425;
+   wire FE_PSN4788_n_11593;
+   wire FE_PSN4787_n_11400;
+   wire FE_PSN4786_n_13503;
+   wire FE_PSN4785_n_15865;
+   wire FE_PSN4784_n_12068;
+   wire FE_PSN4783_n_12256;
+   wire FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9;
+   wire FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4;
+   wire FE_PSN4780_n_10162;
+   wire FE_PSN4779_n_11452;
+   wire FE_PSN4778_n_12419;
+   wire FE_PSN4777_FE_OFN1082_n_13075;
+   wire FE_PSN4776_n_12413;
+   wire FE_PSN4775_FE_OFN18385_n_11514;
+   wire FE_PSN4774_n_16000;
+   wire FE_PSN4773_n_12970;
+   wire FE_PSN4772_u_soc_lsu_to_xbar_a_address_30;
+   wire FE_PSBN19895_n_16000;
+   wire FE_PSBN19894_n_16000;
+   wire FE_PSBN19893_n_13422;
+   wire FE_PSBN19892_n_13422;
+   wire FE_PSBN19891_FE_RN_93_0;
+   wire FE_PSN4771_n_11476;
+   wire FE_PSN4770_n_11464;
+   wire FE_PSN4769_n_13507;
+   wire FE_PSN4768_FE_PSBN19863_FE_RN_55_0;
+   wire FE_PSN4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
+   wire FE_PSN4766_n_13852;
+   wire FE_PSN4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284;
+   wire FE_PSN4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
+   wire FE_PDN4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_PDN4760_u_soc_u_iccm_rdata4_20;
+   wire FE_PDN19889_u_soc_u_dccm_rdata4_3;
+   wire FE_PDN4759_FE_COEN4678;
+   wire FE_PDN4758_u_soc_u_dccm_rdata4_2;
+   wire FE_PDN19888_n;
+   wire FE_PDN4757_u_soc_u_iccm_rdata4_20;
+   wire FE_PDN4756_u_soc_u_iccm_rdata4_5;
+   wire FE_PDN4755_n;
+   wire FE_PDN4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_PDN4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
+   wire FE_PDN4752_u_soc_u_dccm_rdata4_2;
+   wire FE_PDN4751_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN4750_u_soc_u_dccm_rdata2_30;
+   wire FE_PDN4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PDN4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_PDN19887_n;
+   wire FE_PDN4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_PDN19886_n;
+   wire FE_PDN4746_FE_OFN19001_FE_OFN17991_n;
+   wire FE_PDN4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7;
+   wire FE_PDN4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n;
+   wire FE_PDN4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_PDN4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
+   wire FE_PDN4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n;
+   wire FE_PDN4739_u_soc_u_dccm_rdata4_2;
+   wire FE_PDN4737_io_oeb_24;
+   wire FE_PDN4736_u_soc_u_dccm_rdata2_26;
+   wire FE_PDN4735_FE_OFN3865_n;
+   wire FE_PDN4734_FE_OFN19605_FE_OFN19509_n;
+   wire FE_PDN4733_n;
+   wire FE_PDN4732_u_soc_u_dccm_rdata4_26;
+   wire FE_PDN4731_FE_OFN18003_n;
+   wire FE_PDN4730_n;
+   wire FE_PDN4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
+   wire FE_PDN4728_u_soc_u_dccm_rdata2_30;
+   wire FE_PDN4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_PDN4726_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN4725_u_soc_u_iccm_rdata4_20;
+   wire FE_PDN4724_n;
+   wire FE_PDN4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
+   wire FE_PDN4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
+   wire FE_PDN4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
+   wire FE_PDN4720_n;
+   wire FE_PDN4719_n;
+   wire FE_PDN4718_n;
+   wire FE_PDN4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PDN4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_PDN4715_n;
+   wire FE_PDN4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
+   wire FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_PDN19885_FE_COEN4678;
+   wire FE_PDN19884_FE_COEN4678;
+   wire FE_PDN19883_n;
+   wire FE_PDN19882_n;
+   wire FE_PDN19881_n;
+   wire FE_PDN4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PHN4711_io_in_33;
+   wire FE_COEN4710_n_11995;
+   wire FE_COEN4709_n_12063;
+   wire FE_COEN4708_u_soc_xbar_to_lsu_d_valid;
+   wire FE_COEN4707_n_8336;
+   wire FE_COEN4706_n_12665;
+   wire FE_COEN4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121;
+   wire FE_COEN4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100;
+   wire FE_COEN4702_n_5826;
+   wire FE_COEN4701_n_5852;
+   wire FE_COEN4700_FE_OFN18585_n_15872;
+   wire FE_COEN4699_u_soc_u_tcam_data_wdata_29;
+   wire FE_COEN4698_u_soc_u_tcam_data_addr_6;
+   wire FE_COEN4697_FE_OFN18361_n;
+   wire FE_COEN4696_u_soc_u_tcam_data_addr_9;
+   wire FE_COEN4695_u_soc_u_tcam_data_wdata_18;
+   wire FE_COEN4694_u_soc_u_tcam_data_wdata_17;
+   wire FE_COEN4693_n_12781;
+   wire FE_COEN4692_n_11086;
+   wire FE_COEN4691_u_soc_u_tcam_data_wdata_20;
+   wire FE_COEN4690_u_soc_u_top_u_core_instr_rdata_id_15;
+   wire FE_COEN4689_u_soc_u_tcam_data_wdata_19;
+   wire FE_COEN4688_FE_OFN18466_n_11471;
+   wire FE_COEN4687_n_15859;
+   wire FE_COEN4686_n_11121;
+   wire FE_COEN4684_n_10562;
+   wire FE_COEN4683_n_13510;
+   wire FE_COEN4681_n_16005;
+   wire FE_COEN4680_n_11447;
+   wire FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
+   wire FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PSN4676_u_soc_data_wdata_8;
+   wire FE_PSBN19880_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PSBN19879_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_USKN4675_CTS_34;
+   wire FE_USKN4673_CTS_56;
+   wire FE_USKN4672_CTS_87;
+   wire FE_USKN4671_CTS_18;
+   wire FE_PSN4670_FE_OFN18542_n_11550;
+   wire FE_PSN4669_FE_OFN18542_n_11550;
+   wire FE_PSN4668_FE_OFN18492_n_13420;
+   wire FE_PSN4667_n_11479;
+   wire FE_PSN4666_u_soc_u_top_u_core_alu_operator_ex_5;
+   wire FE_PSN4665_FE_OFN1590_n_15897;
+   wire FE_PSN4664_n_10153;
+   wire FE_PSN4663_FE_OFN18361_n;
+   wire FE_PSN4662_FE_OFN1590_n_15897;
+   wire FE_PSN4661_n_10330;
+   wire FE_USKN4660_CTS_52;
+   wire FE_USKN4659_CTS_56;
+   wire FE_USKN4658_CTS_20;
+   wire FE_USKN4657_CTS_23;
+   wire FE_USKN4656_CTS_23;
+   wire FE_USKN4655_CTS_87;
+   wire FE_USKN4654_CTS_18;
+   wire FE_USKN4653_CTS_75;
+   wire FE_USKN4652_CTS_75;
+   wire FE_PSN4651_FE_COEN4317_n_11475;
+   wire FE_PSN4650_FE_OFN1053_n_11471;
+   wire FE_PSN4649_FE_OFN18425_n_15868;
+   wire FE_PSN4648_FE_OFN18526_n_11553;
+   wire FE_PSN4647_n_11517;
+   wire FE_PSN4646_FE_OFN1587_n_13428;
+   wire FE_PSN4645_n_15861;
+   wire FE_PSN4644_FE_OFN1079_n_12256;
+   wire FE_PSN4643_n_15882;
+   wire FE_PSN4641_FE_OFN18542_n_11550;
+   wire FE_PSN4640_n_15946;
+   wire FE_PSN4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13;
+   wire FE_PSBN19878_FE_PSN4174_n_11555;
+   wire FE_PSBN19877_FE_PSN4174_n_11555;
+   wire FE_PSN4638_n_11422;
+   wire FE_PSN4637_n_8868;
+   wire FE_PSBN19876_n_15858;
+   wire FE_PSBN19875_n_15858;
+   wire FE_PSN4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9;
+   wire FE_PSN4635_n_11476;
+   wire FE_PSN4634_n_13843;
+   wire FE_PSN4633_n_15856;
+   wire FE_PSN4632_n_11479;
+   wire FE_PSN4631_n_12888;
+   wire FE_PSBN19874_n_11550;
+   wire FE_PSBN19873_n_11550;
+   wire FE_PSN4630_n_12536;
+   wire FE_PSBN19872_n_13388;
+   wire FE_PSBN19871_n_13388;
+   wire FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3;
+   wire FE_PSN4628_n_13812;
+   wire FE_PSN4627_FE_OFN1053_n_11471;
+   wire FE_PSBN19868_n_16000;
+   wire FE_PSBN19867_n_13424;
+   wire FE_PSBN19866_n_13424;
+   wire FE_PSBN19865_FE_RN_55_0;
+   wire FE_PSBN19864_FE_RN_55_0;
+   wire FE_PSBN19863_FE_RN_55_0;
+   wire FE_PSBN19862_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206;
+   wire FE_PSN4626_n_142;
+   wire FE_PSN4624_n_11401;
+   wire FE_PSN4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163;
+   wire FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193;
+   wire FE_PDN4621_u_soc_u_dccm_rdata3_17;
+   wire FE_PDN4619_io_out_6;
+   wire FE_PDN4618_n;
+   wire FE_PDN19860_n;
+   wire FE_PDN19859_n;
+   wire FE_PDN19858_n;
+   wire FE_PDN4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
+   wire FE_PDN4616_u_soc_u_dccm_rdata4_2;
+   wire FE_PDN19857_io_out_6;
+   wire FE_PDN4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_PDN4614_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PDN4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_PDN19856_u_soc_u_dccm_rdata4_20;
+   wire FE_PDN19855_n;
+   wire FE_PDN4610_u_soc_u_dccm_rdata4_21;
+   wire FE_PDN4609_u_soc_u_dccm_rdata4_10;
+   wire FE_PDN4608_u_soc_u_dccm_rdata3_16;
+   wire FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
+   wire FE_PDN4606_u_soc_u_iccm_rdata3_9;
+   wire FE_PDN4605_u_soc_u_dccm_rdata4_19;
+   wire FE_PDN4604_u_soc_u_dccm_rdata4_2;
+   wire FE_PDN4603_u_soc_u_dccm_rdata2_11;
+   wire FE_PDN4602_u_soc_u_dccm_rdata2_30;
+   wire FE_PDN4601_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN4600_n;
+   wire FE_PDN4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_PDN4598_n;
+   wire FE_PDN4597_FE_OFN19610_FE_OFN19514_n;
+   wire FE_PDN4596_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN19854_n;
+   wire FE_PDN4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
+   wire FE_PDN4593_FE_OFN3865_n;
+   wire FE_PDN19853_n;
+   wire FE_PDN4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
+   wire FE_PDN4591_u_soc_u_iccm_rdata2_8;
+   wire FE_PDN4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_PDN4589_n;
+   wire FE_PDN4588_u_soc_u_iccm_rdata4_20;
+   wire FE_PDN4587_n;
+   wire FE_PDN4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100;
+   wire FE_PDN4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
+   wire FE_PDN4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_PDN4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
+   wire FE_PDN4582_n;
+   wire FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_PDN4580_n;
+   wire FE_PDN4579_FE_OFN19605_FE_OFN19509_n;
+   wire FE_PDN4578_n;
+   wire FE_PDN4577_u_soc_u_dccm_rdata2_26;
+   wire FE_PDN4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
+   wire FE_PDN4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
+   wire FE_PDN4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PDN19852_n;
+   wire FE_PDN19851_n;
+   wire FE_COEN4573_n_13436;
+   wire FE_COEN4572_n_10564;
+   wire FE_COEN4571_FE_OFN601_u_soc_u_iccm_rdata1_16;
+   wire FE_COEN4570_u_soc_u_tcam_data_addr_7;
+   wire FE_COEN4569_n_10669;
+   wire FE_COEN4568_n_10670;
+   wire FE_COEN4567_u_soc_u_tcam_data_wdata_30;
+   wire FE_COEN4566_FE_OFN18445_n_15897;
+   wire FE_COEN4565_n_11122;
+   wire FE_COEN4564_n_10663;
+   wire FE_COEN4563_n_10664;
+   wire FE_COEN4562_u_soc_u_tcam_data_addr_23;
+   wire FE_COEN4561_n_10666;
+   wire FE_COEN4560_u_soc_u_tcam_data_addr_27;
+   wire FE_PSN4559_FE_OFN1633_n_10864;
+   wire FE_PSN4558_FE_OFN1633_n_10864;
+   wire FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PSN4556_n_17396;
+   wire FE_PSN4555_u_soc_data_addr_4;
+   wire FE_PSN4554_u_soc_data_addr_8;
+   wire FE_PSN4553_u_soc_data_addr_9;
+   wire FE_PDN19850_n;
+   wire FE_PDN4552_u_soc_u_iccm_rdata2_8;
+   wire FE_PDN4551_n;
+   wire FE_PDN4550_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN4549_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN19849_n;
+   wire FE_PDN4548_u_soc_u_dccm_rdata2_26;
+   wire FE_PDN4547_u_soc_u_iccm_rdata2_8;
+   wire FE_PDN4546_u_soc_u_iccm_rdata3_31;
+   wire FE_PDN19848_n;
+   wire FE_PDN19847_n;
+   wire FE_PDN4545_u_soc_u_iccm_rdata2_29;
+   wire FE_PDN4544_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_PDN4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
+   wire FE_PDN4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4540_FE_OFN19516_n;
+   wire FE_PDN4539_FE_OFN19506_n;
+   wire FE_PDN4538_n;
+   wire FE_PDN4537_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN19846_n;
+   wire FE_PDN19845_u_soc_u_dccm_rdata2_17;
+   wire FE_PDN4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
+   wire FE_PDN4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_PDN4534_n;
+   wire FE_PDN4533_u_soc_u_iccm_rdata3_31;
+   wire FE_PDN19844_n;
+   wire FE_PDN19843_n;
+   wire FE_PDN4532_u_soc_u_iccm_rdata3_9;
+   wire FE_PDN4531_u_soc_u_dccm_rdata3_29;
+   wire FE_PDN4530_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN4529_u_soc_u_dccm_rdata2_11;
+   wire FE_PDN4528_u_soc_u_iccm_rdata4_20;
+   wire FE_PDN19842_n;
+   wire FE_PDN19841_n;
+   wire FE_PDN4527_u_soc_u_iccm_rdata2_29;
+   wire FE_PDN4526_u_soc_u_iccm_rdata3_8;
+   wire FE_PDN4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4524_u_soc_u_iccm_rdata3_3;
+   wire FE_PDN4523_u_soc_u_dccm_rdata3_20;
+   wire FE_PDN4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PDN19840_n;
+   wire FE_PDN4521_FE_OFN19600_FE_OFN19523_n;
+   wire FE_PDN4520_FE_OFN19605_FE_OFN19509_n;
+   wire FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PDN4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
+   wire FE_PDN4517_u_soc_u_dccm_rdata2_23;
+   wire FE_PDN4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
+   wire FE_PDN4515_n;
+   wire FE_PDN4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_PDN4513_FE_OFN19515_n;
+   wire FE_PDN4512_n;
+   wire FE_PDN4511_n;
+   wire FE_PDN4510_u_soc_u_iccm_rdata2_1;
+   wire FE_PDN4509_FE_OFN3865_n;
+   wire FE_PDN4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
+   wire FE_PDN4507_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN4506_u_soc_u_iccm_rdata2_8;
+   wire FE_PDN4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_PDN19839_n;
+   wire FE_PDN19838_n;
+   wire FE_PDN4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
+   wire FE_PDN4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
+   wire FE_PDN4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_PDN4501_FE_OFN19280_FE_OFN19064_n;
+   wire FE_PDN4500_FE_OFN19610_FE_OFN19514_n;
+   wire FE_PDN4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_PDN4498_FE_OFN19612_FE_OFN19522_n;
+   wire FE_PDN4497_FE_OFN19507_n;
+   wire FE_PDN4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
+   wire FE_PDN4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
+   wire FE_PDN4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
+   wire FE_PDN4493_n;
+   wire FE_PDN4492_n;
+   wire FE_PDN4491_FE_OFN19506_n;
+   wire FE_USKN4490_CTS_23;
+   wire FE_USKN4489_CTS_18;
+   wire FE_USKN4488_CTS_75;
+   wire FE_PSN4487_n_15872;
+   wire FE_PSN4486_n_15974;
+   wire FE_PSN4485_FE_OFN18425_n_15868;
+   wire FE_PSN4484_n_11123;
+   wire FE_PSN4483_n_11480;
+   wire FE_PSN4482_n_13477;
+   wire FE_PSN4481_n_11751;
+   wire FE_PSN4480_FE_OFN18584_n_15872;
+   wire FE_PSN4479_n_11476;
+   wire FE_PSN4478_FE_OFN18397_n_15865;
+   wire FE_PSN4477_FE_COEN4317_n_11475;
+   wire FE_PSN4476_n_12853;
+   wire FE_PSN4475_n_13393;
+   wire FE_PSN4474_n_12754;
+   wire FE_PSN4473_n_15995;
+   wire FE_PSN4472_n_12000;
+   wire FE_PSN4471_n_12261;
+   wire FE_PSN4470_n_13497;
+   wire FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45;
+   wire FE_PSN4468_n_13811;
+   wire FE_PSN4467_n_11020;
+   wire FE_PSN4466_n_10160;
+   wire FE_PSN4465_FE_OFN1056_n_11475;
+   wire FE_PSN4464_n_13506;
+   wire FE_PSN4463_n_11553;
+   wire FE_PSN4462_FE_OFN18407_n_15871;
+   wire FE_PSN4461_FE_OFN1587_n_13428;
+   wire FE_PSN4459_u_soc_u_top_u_core_instr_rdata_id_27;
+   wire FE_PSN4458_n_15872;
+   wire FE_PSN4457_FE_OFN1080_n_13070;
+   wire FE_PSN4456_FE_OFN18547_n_11593;
+   wire FE_PSN4455_n_11223;
+   wire FE_PSN4454_n_11479;
+   wire FE_PSN4453_n_16003;
+   wire FE_PSN4452_n_15945;
+   wire FE_PSN4451_n_11751;
+   wire FE_PSN4450_n_11314;
+   wire FE_PSN4449_n_15860;
+   wire FE_PSN4448_n_13386;
+   wire FE_PSN4447_FE_OFN18562_n_15867;
+   wire FE_PSN4444_n_11480;
+   wire FE_PSN4443_n_15881;
+   wire FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20;
+   wire FE_PSN4439_n_11094;
+   wire FE_PSN4438_FE_COEN4311_n_11474;
+   wire FE_PSN4436_FE_OFN18492_n_13420;
+   wire FE_PSN4433_n_11355;
+   wire FE_PSN4432_n_11754;
+   wire FE_PSN4431_FE_OFN18505_n_16001;
+   wire FE_PSN4430_n_12881;
+   wire FE_PSN4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13;
+   wire FE_PSN4428_n_11176;
+   wire FE_PSN4427_FE_OFN18385_n_11514;
+   wire FE_PSN4426_n_11745;
+   wire FE_PSN4425_n_11761;
+   wire FE_PSN4424_FE_OFN18315_n_13425;
+   wire FE_PSN4423_n_15859;
+   wire FE_PSN4422_n_11763;
+   wire FE_PSN4421_n_15871;
+   wire FE_PSN4420_n_135;
+   wire FE_PSN4419_n_11699;
+   wire FE_PSN4418_n_13392;
+   wire FE_PSN4417_FE_OFN18238_n_13069;
+   wire FE_PSN4416_n_13497;
+   wire FE_PSBN19837_n_11428;
+   wire FE_PSN4415_FE_OFN18506_n_16001;
+   wire FE_PSBN19836_n_15865;
+   wire FE_PSBN19835_n_15865;
+   wire FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865;
+   wire FE_PSBN19834_n_15866;
+   wire FE_PSBN19833_n_15866;
+   wire FE_PSBN19832_n_15866;
+   wire FE_PSBN19831_n_15866;
+   wire FE_PSBN19830_n_15866;
+   wire FE_PSN4413_FE_OFN18584_n_15872;
+   wire FE_PSN4412_FE_OFN18472_n_11421;
+   wire FE_PSBN19829_n_13387;
+   wire FE_PSBN19828_n_13387;
+   wire FE_PSN4411_FE_COEN4289_FE_OFN18396_n_15865;
+   wire FE_PSN4410_n_11476;
+   wire FE_PSN4409_n_12557;
+   wire FE_PSN4408_n_15867;
+   wire FE_PSN4407_n_12534;
+   wire FE_PSN4406_n_11761;
+   wire FE_PSBN19827_n_15943;
+   wire FE_PSBN19826_n_15943;
+   wire FE_PSN4405_n_11471;
+   wire FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865;
+   wire FE_PSN4403_n_15881;
+   wire FE_PSN4402_n_15943;
+   wire FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0;
+   wire FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2;
+   wire FE_PSN4399_n_13507;
+   wire FE_PSN4398_FE_OFN1114_n_15868;
+   wire FE_PSN4397_FE_OFN18491_n_11428;
+   wire FE_PSN4396_FE_OFN1585_n_13422;
+   wire FE_PSN4395_n_15943;
+   wire FE_PSN4394_FE_OFN18506_n_16001;
+   wire FE_PSN4393_u_soc_u_top_u_core_alu_operand_b_ex_5;
+   wire FE_PSN4392_FE_OFN1113_n_15866;
+   wire FE_PSN4390_n_15995;
+   wire FE_PSN4389_FE_OFN18507_n_13391;
+   wire FE_PSN4388_n_11557;
+   wire FE_PSN4387_FE_OFN1585_n_13422;
+   wire FE_PSN4385_n_13500;
+   wire FE_PSN4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27;
+   wire FE_PSN4383_n_13496;
+   wire FE_PSN4382_n_11039;
+   wire FE_PSN4381_FE_OFN1399_n_142;
+   wire FE_PSN4380_n_13390;
+   wire FE_PSN4379_n_13507;
+   wire FE_PSN4378_FE_OFN1113_n_15866;
+   wire FE_PSN4377_n_16001;
+   wire FE_PSN4376_n_13845;
+   wire FE_PSN4375_n_11428;
+   wire FE_PSN4374_n_15860;
+   wire FE_PSN4373_u_soc_u_top_u_core_alu_operand_b_ex_3;
+   wire FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20;
+   wire FE_PSBN19825_n_10150;
+   wire FE_PSBN19824_n_10150;
+   wire FE_PSN4371_n_13416;
+   wire FE_PSN4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192;
+   wire FE_PSN4369_u_soc_lsu_to_xbar_a_address_26;
+   wire FE_PSN4368_n_11553;
+   wire FE_PSN4367_n_11746;
+   wire FE_PSN4366_u_soc_u_top_u_core_instr_rdata_id_22;
+   wire FE_PSN4365_n_13502;
+   wire FE_PSN4364_n_11450;
+   wire FE_PSN4363_n_13429;
+   wire FE_PSN4362_n_15872;
+   wire FE_PSN4361_n_11476;
+   wire FE_PSN4359_n_15946;
+   wire FE_PSN4358_n_12596;
+   wire FE_PSN4357_n_11425;
+   wire FE_PSN4356_n_13393;
+   wire FE_PSN4355_n_11478;
+   wire FE_PSN4354_FE_OFN1585_n_13422;
+   wire FE_PSN4353_n_12877;
+   wire FE_PSN4352_n_13500;
+   wire FE_PSN4351_n_13502;
+   wire FE_PSN4350_FE_OFN1586_n_13424;
+   wire FE_PSN4349_n_12550;
+   wire FE_PSN4348_n_16000;
+   wire FE_PSN4347_u_soc_u_top_u_core_alu_operator_ex_0;
+   wire FE_PSN4346_n_15946;
+   wire FE_PSN4345_n_11294;
+   wire FE_PSN4344_n_13387;
+   wire FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3;
+   wire FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116;
+   wire FE_PSN4341_FE_OFN18495_n_13389;
+   wire FE_PSN4340_n_11761;
+   wire FE_PSN4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139;
+   wire FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287;
+   wire FE_PSN4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
+   wire FE_COEN4335_n_13401;
+   wire FE_COEN4334_n_10344;
+   wire FE_COEN4333_n_12741;
+   wire FE_COEN4332_n_12885;
+   wire FE_COEN4331_n_496;
+   wire FE_COEN4330_n_13432;
+   wire FE_COEN4329_n_13402;
+   wire FE_COEN4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0;
+   wire FE_COEN4327_u_soc_lsu_to_xbar_a_address_20;
+   wire FE_COEN4325_n_12777;
+   wire FE_COEN4324_u_soc_u_tcam_data_addr_25;
+   wire FE_COEN4323_FE_OFN19381_u_soc_u_iccm_rdata4_17;
+   wire FE_COEN4322_n_12783;
+   wire FE_COEN4321_FE_OFN601_u_soc_u_iccm_rdata1_16;
+   wire FE_COEN4320_FE_OFN18983_u_soc_u_iccm_rdata4_1;
+   wire FE_COEN4319_FE_OFN19442_u_soc_u_iccm_rdata4_16;
+   wire FE_COEN4318_n_1877;
+   wire FE_COEN4317_n_11475;
+   wire FE_COEN4316_FE_OFN18547_n_11593;
+   wire FE_COEN4315_n_15877;
+   wire FE_COEN4314_FE_OFN18473_n_11421;
+   wire FE_COEN4313_n_13496;
+   wire FE_COEN4312_n_11091;
+   wire FE_COEN4311_n_11474;
+   wire FE_COEN4310_n_13431;
+   wire FE_COEN4309_FE_OFN18547_n_11593;
+   wire FE_COEN4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0;
+   wire FE_COEN4306_n_11550;
+   wire FE_COEN4304_n_13397;
+   wire FE_COEN4303_n_13388;
+   wire FE_COEN4302_n_669;
+   wire FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12;
+   wire FE_COEN4300_n_13499;
+   wire FE_COEN4299_n_5838;
+   wire FE_COEN4298_n_11756;
+   wire FE_COEN4297_FE_OFN1071_n_11559;
+   wire FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3;
+   wire FE_COEN4294_n_11033;
+   wire FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0;
+   wire FE_COEN4292_u_soc_lsu_to_xbar_a_address_28;
+   wire FE_COEN4290_FE_OFN18250_n_183;
+   wire FE_COEN4289_FE_OFN18396_n_15865;
+   wire FE_COEN4288_n_15881;
+   wire FE_COEN4287_n_13433;
+   wire FE_COEN4285_n_13437;
+   wire FE_COEN4283_FE_OFN18238_n_13069;
+   wire FE_COEN4282_n_13467;
+   wire FE_COEN4279_n_11090;
+   wire FE_COEN4278_n_12263;
+   wire FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14;
+   wire FE_COEN4276_n_13501;
+   wire FE_COEN4275_n_13392;
+   wire FE_COEN4274_n_11089;
+   wire FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4;
+   wire FE_COEN4272_n_12978;
+   wire FE_COEN4271_FE_OFN18292_n_15857;
+   wire FE_COEN4270_n_13378;
+   wire FE_COEN4269_n_12024;
+   wire FE_COEN4268_n_12409;
+   wire FE_COEN4267_u_soc_lsu_to_xbar_a_address_25;
+   wire FE_COEN4266_n_5854;
+   wire FE_COEN4265_u_soc_lsu_to_xbar_a_address_21;
+   wire FE_PSBN19822_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
+   wire FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
+   wire FE_PSBN19820_FE_OFN237_u_soc_data_wdata_7;
+   wire FE_PSBN19819_u_soc_data_wdata_7;
+   wire FE_PSN4264_n_13386;
+   wire FE_PSN4263_n_11294;
+   wire FE_PSN4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193;
+   wire FE_PSN4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32;
+   wire FE_PSN4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127;
+   wire FE_PSN4259_n_11557;
+   wire FE_PSN4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133;
+   wire FE_PDN4257_u_soc_u_iccm_rdata4_19;
+   wire FE_PDN19818_n;
+   wire FE_PDN19817_n;
+   wire FE_PDN19816_n;
+   wire FE_PDN19815_n;
+   wire FE_PDN19814_n;
+   wire FE_PDN4256_u_soc_u_dccm_rdata4_23;
+   wire FE_PDN4255_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN19813_n;
+   wire FE_PDN4254_u_soc_u_dccm_rdata3_9;
+   wire FE_PDN4253_u_soc_u_iccm_rdata2_29;
+   wire FE_PDN4252_u_soc_u_dccm_rdata3_3;
+   wire FE_PDN4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_PDN4250_u_soc_u_dccm_rdata3_4;
+   wire FE_PDN4249_n;
+   wire FE_PDN4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN19812_n;
+   wire FE_PDN19811_u_soc_u_iccm_rdata2_25;
+   wire FE_PDN19810_n;
+   wire FE_PDN19809_u_soc_u_dccm_rdata4_5;
+   wire FE_PDN19808_n;
+   wire FE_PDN19807_u_soc_u_dccm_rdata4_16;
+   wire FE_PDN4247_u_soc_u_iccm_rdata2_8;
+   wire FE_PDN4246_u_soc_u_iccm_rdata3_22;
+   wire FE_PDN4245_u_soc_u_dccm_rdata2_6;
+   wire FE_PDN4244_u_soc_u_dccm_rdata3_30;
+   wire FE_PDN4243_u_soc_u_iccm_rdata3_29;
+   wire FE_PDN4242_u_soc_u_iccm_rdata4_29;
+   wire FE_PDN4241_u_soc_u_dccm_rdata3_3;
+   wire FE_PDN4240_u_soc_u_iccm_rdata3_9;
+   wire FE_PDN4239_u_soc_u_dccm_rdata3_9;
+   wire FE_PDN4238_u_soc_u_dccm_rdata2_25;
+   wire FE_PDN4237_u_soc_u_dccm_rdata4_29;
+   wire FE_PDN19806_u_soc_u_dccm_rdata4_22;
+   wire FE_PDN4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4235_u_soc_u_dccm_rdata3_17;
+   wire FE_PDN4234_FE_OFN19760_n;
+   wire FE_PDN4233_u_soc_u_iccm_rdata2_29;
+   wire FE_PDN19805_u_soc_u_dccm_rdata2_13;
+   wire FE_PDN4232_u_soc_u_iccm_rdata3_3;
+   wire FE_PDN4231_u_soc_u_dccm_rdata2_23;
+   wire FE_PDN19804_n;
+   wire FE_PDN4230_u_soc_u_iccm_rdata2_1;
+   wire FE_PDN4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_PDN4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
+   wire FE_PDN4227_u_soc_u_dccm_rdata2_24;
+   wire FE_PDN4226_FE_OFN19600_FE_OFN19523_n;
+   wire FE_PDN4225_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN4224_u_soc_u_dccm_rdata2_18;
+   wire FE_PDN4223_u_soc_u_dccm_rdata4_17;
+   wire FE_PDN4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_PDN19803_n;
+   wire FE_PDN19802_n;
+   wire FE_PDN19801_n;
+   wire FE_PDN4221_u_soc_u_dccm_rdata2_26;
+   wire FE_PDN4220_u_soc_u_dccm_rdata2_15;
+   wire FE_PDN4219_logic_0_61_net;
+   wire FE_PDN4218_u_soc_u_dccm_rdata2_27;
+   wire FE_PDN4217_u_soc_u_dccm_rdata3_4;
+   wire FE_PDN4216_logic_0_48_net;
+   wire FE_PDN19800_n;
+   wire FE_PDN4215_u_soc_u_iccm_rdata4_2;
+   wire FE_PDN4214_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN4213_FE_OFN19605_FE_OFN19509_n;
+   wire FE_PDN4212_FE_OFN19521_n;
+   wire FE_PDN4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
+   wire FE_PDN4210_u_soc_u_dccm_rdata4_4;
+   wire FE_PDN4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_PDN4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_PDN4207_n;
+   wire FE_PDN4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
+   wire FE_PDN4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
+   wire FE_PDN4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
+   wire FE_PDN4203_u_soc_u_dccm_rdata3_0;
+   wire FE_PDN4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n;
+   wire FE_PDN4201_logic_0_63_net;
+   wire FE_PDN4200_FE_OFN19594_FE_OFN19517_n;
+   wire FE_PDN4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
+   wire FE_PDN4198_FE_OFN19610_FE_OFN19514_n;
+   wire FE_PDN19799_n;
+   wire FE_PDN19798_n;
+   wire FE_PDN19797_n;
+   wire FE_PDN19796_FE_OFN3867_FE_OFN18746_FE_OFN17984_n;
+   wire FE_PDN4197_u_soc_u_iccm_rdata4_14;
+   wire FE_PDN4196_u_soc_u_iccm_rdata2_6;
+   wire FE_PDN4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
+   wire FE_PDN4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
+   wire FE_PDN4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
+   wire FE_PDN4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
+   wire FE_PDN4191_FE_OFN3865_n;
+   wire FE_PDN4190_FE_OFN19280_FE_OFN19064_n;
+   wire FE_PDN19795_n;
+   wire FE_PDN19794_n;
+   wire FE_PDN4189_FE_OFN19506_n;
+   wire FE_PDN4188_FE_OFN19507_n;
+   wire FE_PDN4187_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PDN4186_FE_OFN19612_FE_OFN19522_n;
+   wire FE_PDN4185_FE_OFN19515_n;
+   wire FE_PSN4183_n_12067;
+   wire FE_PSN4182_n_11480;
+   wire FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0;
+   wire FE_PSN4180_n_12808;
+   wire FE_PSN4179_n_11516;
+   wire FE_PSN4177_n_16001;
+   wire FE_PSN4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111;
+   wire FE_PSN4174_n_11555;
+   wire FE_PSN4173_n_12857;
+   wire FE_PSN4172_n_12095;
+   wire FE_PSN4171_FE_OFN18622_n_11474;
+   wire FE_PSN4170_FE_OFN18454_n_15997;
+   wire FE_PSN4169_FE_OFN1085_n_13078;
+   wire FE_PSN4168_n_13409;
+   wire FE_PSN4167_n_11087;
+   wire FE_PSN4166_n_13338;
+   wire FE_PSN4165_FE_OFN618_u_soc_u_iccm_rdata1_0;
+   wire FE_PSN4164_n_15857;
+   wire FE_PSN4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32;
+   wire FE_PSN4161_FE_OFN18431_n_11474;
+   wire FE_PSN4160_n_13101;
+   wire FE_PSN4159_FE_OFN18575_n_15869;
+   wire FE_PSN4158_n_12782;
+   wire FE_PSN4157_n_8331;
+   wire FE_PSN4156_n_13429;
+   wire FE_PSN4155_n_13808;
+   wire FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192;
+   wire FE_PSN4153_FE_OFN18511_n_11423;
+   wire FE_PSN4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124;
+   wire FE_PSN4151_n_13513;
+   wire FE_PSN4150_n_13044;
+   wire FE_PSN4149_FE_OFN1398_n_141;
+   wire FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25;
+   wire FE_PSN4147_n_13407;
+   wire FE_PSN4146_n_11593;
+   wire FE_PSN4145_u_soc_lsu_to_xbar_a_address_22;
+   wire FE_PSN4144_n_10330;
+   wire FE_PSN4143_FE_OFN1114_n_15868;
+   wire FE_PSN4142_n_13502;
+   wire FE_PSN4141_u_soc_lsu_to_xbar_a_address_27;
+   wire FE_PSN4140_n_14;
+   wire FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5;
+   wire FE_PSN4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127;
+   wire FE_PSN4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130;
+   wire FE_PSN4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136;
+   wire FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20;
+   wire FE_PSN4134_FE_OFN18552_n_15995;
+   wire FE_PSN4133_u_soc_lsu_to_xbar_a_address_24;
+   wire FE_PSN4132_n_13504;
+   wire FE_PSN4131_n_13610;
+   wire FE_PSN4130_u_soc_u_top_u_core_pc_set;
+   wire FE_PSN4129_n_13395;
+   wire FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
+   wire FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
+   wire FE_PSN4126_u_soc_lsu_to_xbar_a_address_23;
+   wire FE_PSN4125_n_15;
+   wire FE_PSN4124_n_11294;
+   wire FE_PSN4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q;
+   wire FE_PSN4122_n_13362;
+   wire FE_PSN4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
+   wire FE_PSN4120_n_11294;
+   wire FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159;
+   wire FE_PSN4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152;
+   wire FE_PSN4117_n_9163;
+   wire FE_PSN4116_FE_OFN1586_n_13424;
+   wire FE_PSN4115_u_soc_u_top_u_core_pc_set;
+   wire FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30;
+   wire FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q;
+   wire FE_PSN4112_n_10158;
+   wire FE_PSN4111_n_10338;
+   wire FE_PSN4110_n_10341;
+   wire FE_PSN4109_FE_OFN1586_n_13424;
+   wire FE_PSN4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183;
+   wire FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0;
+   wire FE_PSN4104_n_11294;
+   wire FE_PSN4103_u_soc_lsu_to_xbar_a_address_31;
+   wire FE_PSN4101_n_7253;
+   wire FE_PSN4100_u_soc_lsu_to_xbar_a_address_31;
+   wire FE_PSN4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287;
+   wire FE_PDN4098_u_soc_u_dccm_rdata4_31;
+   wire FE_PDN19793_u_soc_u_dccm_rdata2_6;
+   wire FE_PDN4096_u_soc_u_dccm_rdata3_0;
+   wire FE_PDN4095_u_soc_u_dccm_rdata4_4;
+   wire FE_PDN4094_u_soc_u_dccm_rdata3_12;
+   wire FE_PDN4093_u_soc_u_dccm_rdata2_22;
+   wire FE_PDN4092_u_soc_u_iccm_rdata3_27;
+   wire FE_PDN4091_u_soc_u_iccm_rdata3_9;
+   wire FE_PDN19792_n;
+   wire FE_PDN4090_u_soc_u_dccm_rdata3_5;
+   wire FE_PDN4089_u_soc_u_dccm_rdata3_23;
+   wire FE_PDN4088_u_soc_u_dccm_rdata3_21;
+   wire FE_PDN4087_u_soc_u_dccm_rdata4_31;
+   wire FE_PDN4086_u_soc_u_dccm_rdata4_21;
+   wire FE_PDN4085_u_soc_u_dccm_rdata2_3;
+   wire FE_PDN4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
+   wire FE_PDN4083_u_soc_u_dccm_rdata4_15;
+   wire FE_PDN4082_u_soc_u_iccm_rdata2_15;
+   wire FE_PDN4081_u_soc_u_dccm_rdata3_7;
+   wire FE_PDN4080_u_soc_u_dccm_rdata3_22;
+   wire FE_PDN4079_u_soc_u_iccm_rdata4_5;
+   wire FE_PDN19791_n;
+   wire FE_PDN19790_n;
+   wire FE_PDN4078_u_soc_u_dccm_rdata2_12;
+   wire FE_PDN4077_u_soc_u_dccm_rdata2_4;
+   wire FE_PDN4076_u_soc_u_dccm_rdata2_30;
+   wire FE_PDN4075_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_PDN4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
+   wire FE_PDN4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n;
+   wire FE_PDN4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
+   wire FE_PDN4070_u_soc_u_dccm_addr1_6;
+   wire FE_PDN4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_PDN4068_FE_OFN1732_n;
+   wire FE_PDN4067_FE_OFN579_u_soc_instr_wdata_6;
+   wire FE_PDN4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_PDN4065_FE_OFN19280_FE_OFN19064_n;
+   wire FE_PDN4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_PDN19789_u_soc_u_dccm_rdata4_27;
+   wire FE_PDN4063_FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_PDN19788_u_soc_u_tcam_rdata_0;
+   wire FE_PDN4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
+   wire FE_PDN4061_u_soc_u_dccm_rdata4_13;
+   wire FE_PDN4060_u_soc_u_iccm_rdata2_15;
+   wire FE_PDN4059_u_soc_u_dccm_rdata4_15;
+   wire FE_PDN4058_u_soc_u_iccm_rdata4_14;
+   wire FE_PDN4057_u_soc_u_dccm_rdata3_7;
+   wire FE_PDN4056_u_soc_u_iccm_rdata3_16;
+   wire FE_PDN4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n;
+   wire FE_PDN4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_PDN4053_u_soc_u_iccm_rdata4_15;
+   wire FE_PDN4052_u_soc_u_iccm_rdata3_18;
+   wire FE_PDN4051_u_soc_u_dccm_rdata4_0;
+   wire FE_PDN4050_u_soc_u_dccm_rdata2_3;
+   wire FE_PDN4048_n_17937;
+   wire FE_PDN4047_u_soc_u_dccm_rdata3_6;
+   wire FE_PDN4046_u_soc_u_dccm_rdata4_4;
+   wire FE_PDN4044_u_soc_u_dccm_rdata3_10;
+   wire FE_PDN4043_u_soc_u_dccm_rdata3_23;
+   wire FE_PDN4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
+   wire FE_PDN4041_u_soc_u_iccm_rdata4_29;
+   wire FE_PDN4040_FE_OFN490_u_soc_instr_wdata_19;
+   wire FE_PDN4039_u_soc_u_iccm_rdata3_8;
+   wire FE_PDN4038_u_soc_u_dccm_rdata4_31;
+   wire FE_PDN4037_FE_OFN561_u_soc_instr_wdata_9;
+   wire FE_PDN4036_u_soc_u_iccm_rdata4_11;
+   wire FE_PDN4035_u_soc_u_dccm_rdata2_4;
+   wire FE_PDN4034_u_soc_u_dccm_rdata3_4;
+   wire FE_PDN4032_u_soc_u_iccm_rdata4_28;
+   wire FE_PDN19787_u_soc_u_dccm_rdata2_7;
+   wire FE_PDN4031_FE_OFN19760_n;
+   wire FE_PDN4030_FE_OFN437_u_soc_instr_wdata_27;
+   wire FE_PDN4029_u_soc_u_dccm_rdata4_14;
+   wire FE_PDN4028_u_soc_u_iccm_rdata2_0;
+   wire FE_PDN4026_io_oeb_27;
+   wire FE_PDN4025_u_soc_u_dccm_rdata4_21;
+   wire FE_PDN4024_FE_OFN243_u_soc_data_wdata_6;
+   wire FE_PDN4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_PDN4022_u_soc_u_dccm_rdata3_21;
+   wire FE_PDN4021_FE_OFN1253_u_soc_data_wdata_19;
+   wire FE_PDN4020_u_soc_u_iccm_rdata3_3;
+   wire FE_PDN4019_u_soc_u_iccm_rdata4_9;
+   wire FE_PDN4018_u_soc_u_iccm_rdata3_0;
+   wire FE_PDN4017_u_soc_u_dccm_rdata3_11;
+   wire FE_PDN4016_u_soc_u_iccm_rdata3_2;
+   wire FE_PDN4015_u_soc_u_dccm_rdata2_5;
+   wire FE_PDN4014_u_soc_u_iccm_rdata2_1;
+   wire FE_PDN4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_PDN4012_FE_OFN278_u_soc_data_wdata_1;
+   wire FE_PDN4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_PDN4010_u_soc_u_dccm_rdata2_24;
+   wire FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0;
+   wire FE_PDN4008_u_soc_u_dccm_rdata2_27;
+   wire FE_PDN4007_u_soc_u_iccm_rdata3_20;
+   wire FE_PDN4006_u_soc_u_iccm_rdata3_19;
+   wire FE_PDN4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n;
+   wire FE_PDN4003_FE_OFN19373_FE_OFN19261_n;
+   wire FE_PDN4002_FE_OFN201_u_soc_data_wdata_21;
+   wire FE_PDN4001_u_soc_u_iccm_rdata2_22;
+   wire FE_PDN4000_u_soc_u_dccm_rdata4_8;
+   wire FE_PDN3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n;
+   wire FE_PDN3998_FE_OFN547_u_soc_instr_wdata_11;
+   wire FE_PDN3997_u_soc_u_iccm_rdata3_6;
+   wire FE_PDN3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n;
+   wire FE_PDN3994_u_soc_u_iccm_rdata3_7;
+   wire FE_PDN3993_u_soc_u_dccm_rdata3_22;
+   wire FE_PDN3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_PDN3991_u_soc_u_dccm_rdata3_17;
+   wire FE_PDN3990_u_soc_u_iccm_rdata4_6;
+   wire FE_PDN3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n;
+   wire FE_PDN3988_u_soc_u_iccm_rdata3_23;
+   wire FE_PDN3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
+   wire FE_PDN3986_u_soc_u_iccm_rdata3_11;
+   wire FE_PDN3985_u_soc_u_dccm_rdata2_8;
+   wire FE_PDN3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
+   wire FE_PDN3983_FE_OFN18665_u_soc_data_wdata_10;
+   wire FE_PDN3982_u_soc_u_iccm_rdata3_9;
+   wire FE_PDN3981_u_soc_u_dccm_rdata2_0;
+   wire FE_PDN3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n;
+   wire FE_PDN3979_u_soc_u_dccm_rdata4_30;
+   wire FE_PDN3978_FE_OFN530_u_soc_instr_wdata_13;
+   wire FE_PDN3977_u_soc_u_iccm_rdata4_5;
+   wire FE_PDN3976_u_soc_u_dccm_rdata2_23;
+   wire FE_PDN3975_FE_OFN19603_FE_OFN19512_n;
+   wire FE_PDN3974_u_soc_u_dccm_rdata2_17;
+   wire FE_PDN3973_u_soc_u_dccm_rdata2_18;
+   wire FE_PDN3972_u_soc_u_dccm_rdata2_11;
+   wire FE_PDN3971_FE_OFN19763_n;
+   wire FE_PDN3970_u_soc_u_iccm_rdata2_11;
+   wire FE_PDN3969_u_soc_u_dccm_rdata3_19;
+   wire FE_PDN3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_PDN3967_u_soc_u_dccm_rdata2_31;
+   wire FE_PDN3966_u_soc_u_dccm_rdata2_10;
+   wire FE_PDN3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net;
+   wire FE_PDN3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n;
+   wire FE_PDN3963_u_soc_u_dccm_rdata2_13;
+   wire FE_PDN3962_u_soc_u_dccm_rdata2_25;
+   wire FE_PDN3961_FE_OFN19762_n;
+   wire FE_PDN3960_FE_OFN241_u_soc_data_wdata_7;
+   wire FE_PDN3959_u_soc_u_dccm_rdata2_12;
+   wire FE_PDN19786_FE_OFN19518_n;
+   wire FE_PDN19785_FE_OFN19518_n;
+   wire FE_PDN3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
+   wire FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28;
+   wire FE_PDN3956_u_soc_u_dccm_addr1_5;
+   wire FE_PDN3955_FE_OFN19025_io_oeb_35;
+   wire FE_PDN3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_PDN3953_n_17939;
+   wire FE_PDN3952_u_soc_u_dccm_rdata2_14;
+   wire FE_PDN3951_u_soc_u_dccm_rdata2_16;
+   wire FE_PDN3950_u_soc_u_dccm_rdata2_9;
+   wire FE_PDN3949_u_soc_u_dccm_rdata2_21;
+   wire FE_PDN3948_n_17938;
+   wire FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n;
+   wire FE_PDN3946_u_soc_u_dccm_rdata2_15;
+   wire FE_PDN3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_PDN19784_FE_OFN19520_n;
+   wire FE_PDN19783_FE_OFN19520_n;
+   wire FE_PDN3944_u_soc_u_dccm_rdata2_26;
+   wire FE_PDN3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
+   wire FE_PDN3942_FE_OFN18768_FE_OFN1126_u_soc_data_we;
+   wire FE_PDN3941_n_17941;
+   wire FE_PDN3940_u_soc_u_dccm_rdata2_2;
+   wire FE_PDN3939_u_soc_u_dccm_rdata2_19;
+   wire FE_PDN3938_FE_OFN512_u_soc_instr_wdata_16;
+   wire FE_PDN3937_n_17940;
+   wire FE_PDN3936_FE_OFN19521_n;
+   wire FE_PDN3935_u_soc_u_dccm_rdata4_24;
+   wire FE_PDN3934_u_soc_u_dccm_rdata2_29;
+   wire FE_PDN3933_FE_OFN580_u_soc_instr_wdata_3;
+   wire FE_PDN3932_FE_OFN184_u_soc_data_wdata_29;
+   wire FE_PDN3931_u_soc_u_dccm_rdata2_30;
+   wire FE_PDN3930_FE_OFN253_u_soc_data_wdata_5;
+   wire FE_PDN3929_u_soc_u_dccm_rdata2_22;
+   wire FE_PDN3928_FE_OFN19605_FE_OFN19509_n;
+   wire FE_PDN19782_u_soc_u_dccm_rdata2_28;
+   wire FE_PDN3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
+   wire FE_PDN3925_FE_OFN1285_u_soc_instr_wdata_1;
+   wire FE_PDN3924_u_soc_u_dccm_rdata2_20;
+   wire FE_PDN3923_logic_0_49_net;
+   wire FE_PDN3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
+   wire FE_PDN3920_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_PDN3919_FE_OFN3865_n;
+   wire FE_PDN3918_u_soc_u_dccm_rdata4_9;
+   wire FE_PDN3917_FE_OFN19507_n;
+   wire FE_PDN3916_FE_OFN19592_FE_OFN19511_n;
+   wire FE_PDN3915_FE_OFN18972_io_oeb_36;
+   wire FE_PDN3914_FE_OFN18694_u_soc_data_wdata_13;
+   wire FE_PDN3913_FE_OFN19014_u_soc_data_addr_6;
+   wire FE_PDN3912_logic_0_61_net;
+   wire FE_PDN3911_u_soc_u_iccm_rdata4_8;
+   wire FE_PDN3910_FE_OFN539_u_soc_instr_wdata_12;
+   wire FE_PDN3909_u_soc_u_iccm_rdata2_29;
+   wire FE_PDN3908_u_soc_u_dccm_addr1_0;
+   wire FE_PDN3906_io_oeb_24;
+   wire FE_PDN3905_FE_OFN18873_FE_OFN1125_u_soc_data_we;
+   wire FE_PDN3904_FE_OFN18671_u_soc_data_wdata_11;
+   wire FE_PDN3903_FE_OFN214_u_soc_data_wdata_17;
+   wire FE_PDN3902_io_in_27;
+   wire FE_PDN3901_io_in_29;
+   wire FE_PDN3900_logic_0_66_net;
+   wire FE_PDN3899_FE_OFN172_u_soc_data_wdata_31;
+   wire FE_PDN3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
+   wire FE_PDN3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n;
+   wire FE_PDN3896_FE_OFN19612_FE_OFN19522_n;
+   wire FE_PDN3895_FE_OFN19510_n;
+   wire FE_PDN3894_FE_OFN19600_FE_OFN19523_n;
+   wire FE_PDN3893_FE_OFN19610_FE_OFN19514_n;
+   wire FE_PDN3892_FE_OFN18779_FE_OFN868_logic_0_54_net;
+   wire FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26;
+   wire FE_PDN3890_FE_OFN569_u_soc_instr_wdata_7;
+   wire FE_PDN3889_FE_OFN19604_FE_OFN19513_n;
+   wire FE_PDN3888_logic_0_50_net;
+   wire FE_PDN3887_io_in_26;
+   wire FE_PDN3886_FE_OFN19594_FE_OFN19517_n;
+   wire FE_PDN19781_FE_OFN19515_n;
+   wire FE_PDN3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4;
+   wire FE_PDN3884_n_17984;
+   wire FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6;
+   wire FE_PDN3882_n_17985;
+   wire FE_PDN3881_FE_OFN1127_u_soc_data_we;
+   wire FE_PDN3880_FE_OFN19519_n;
+   wire FE_PDN3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_PDN3878_FE_OFN19506_n;
+   wire FE_PDN3877_logic_0_71_net;
+   wire FE_PDN3876_logic_0_52_net;
+   wire FE_PDN3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
+   wire FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5;
+   wire FE_PDN19780_logic_0_56_net;
+   wire FE_PDN19779_FE_OFN19516_n;
+   wire FE_PDN3872_FE_OFN1249_u_soc_data_wdata_22;
+   wire FE_PDN3871_logic_0_63_net;
+   wire FE_PDN3870_logic_0_48_net;
+   wire FE_PDN3869_FE_OFN19281_FE_OFN19059_n;
+   wire FE_PDN3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
+   wire FE_OFN19778_n;
+   wire FE_OFN19777_n;
+   wire FE_OFN19776_n;
+   wire FE_OFN19775_n;
+   wire FE_OFN19774_n;
+   wire FE_OFN19773_n;
+   wire FE_OFN19772_n;
+   wire FE_OFN19771_n;
+   wire FE_OFN19770_n;
+   wire FE_OFN19769_n;
+   wire FE_OFN19768_n;
+   wire FE_OFN19767_n;
+   wire FE_OFN19766_n;
+   wire FE_OFN19765_u_soc_u_iccm_rdata3_26;
+   wire FE_OFN19764_n;
+   wire FE_OFN19763_n;
+   wire FE_OFN19762_n;
+   wire FE_OFN19761_n;
+   wire FE_OFN19760_n;
+   wire FE_OFN19759_n;
+   wire FE_OFN19758_n;
+   wire FE_OFN19757_n;
+   wire FE_OFN19756_n;
+   wire FE_OFN19755_n;
+   wire FE_OFN19754_n;
+   wire FE_OFN19753_n;
+   wire FE_OFN19752_n;
+   wire FE_OFN19751_n;
+   wire FE_OFN19750_n;
+   wire FE_OFN19749_n;
+   wire FE_OFN19748_n;
+   wire FE_OFN19747_n;
+   wire FE_OFN19746_n;
+   wire FE_OFN19745_n;
+   wire FE_OFN19744_n;
+   wire FE_OFN19743_n;
+   wire FE_OFN19742_n;
+   wire FE_OFN19741_n;
+   wire FE_OFN19740_n;
+   wire FE_OFN19739_n;
+   wire FE_OFN19738_n;
+   wire FE_OFN19737_n;
+   wire FE_OFN19736_n;
+   wire FE_OFN19735_n;
+   wire FE_OFN19734_n;
+   wire FE_OFN19733_n;
+   wire FE_OFN19732_n;
+   wire FE_OFN19731_n;
+   wire FE_OFN19730_FE_OFN537_u_soc_instr_wdata_12;
+   wire FE_OFN19729_n_17982;
+   wire FE_OFN19728_n_2043;
+   wire FE_OFN19727_FE_OFN18696_u_soc_data_wdata_13;
+   wire FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
+   wire FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net;
+   wire FE_OFN19724_FE_OFN19436_FE_OFN18910_FE_OFN17986_n;
+   wire FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n;
+   wire FE_OFN19722_FE_OFN19430_FE_OFN18907_FE_OFN17999_n;
+   wire FE_OFN19721_FE_OFN19430_FE_OFN18907_FE_OFN17999_n;
+   wire FE_OFN19720_u_soc_u_iccm_rdata4_30;
+   wire FE_OFN19719_u_soc_u_dccm_rdata4_2;
+   wire FE_OFN19718_FE_OFN237_u_soc_data_wdata_7;
+   wire FE_OFN19717_u_soc_u_iccm_rdata4_27;
+   wire FE_OFN19716_u_soc_u_iccm_rdata4_25;
+   wire FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_OFN19713_u_soc_data_wdata_28;
+   wire FE_OFN19712_u_soc_u_dccm_rdata4_4;
+   wire FE_OFN19711_FE_OFN19245_FE_OFN19152_n;
+   wire FE_OFN19710_u_soc_instr_addr_0;
+   wire FE_OFN19709_u_soc_u_dccm_rdata3_4;
+   wire FE_OFN19708_u_soc_u_dccm_rdata3_3;
+   wire FE_OFN19707_FE_OFN19244_FE_OFN19155_n;
+   wire FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n;
+   wire FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_OFN19704_u_soc_u_dccm_rdata3_0;
+   wire FE_OFN19703_u_soc_u_iccm_rdata4_18;
+   wire FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
+   wire FE_OFN19701_u_soc_u_dccm_rdata4_10;
+   wire FE_OFN19700_u_soc_u_dccm_rdata3_5;
+   wire FE_OFN19699_u_soc_u_iccm_rdata4_28;
+   wire FE_OFN19698_FE_OFN19528_n;
+   wire FE_OFN19697_u_soc_u_dccm_rdata4_6;
+   wire FE_OFN19696_u_soc_u_dccm_rdata4_11;
+   wire FE_OFN19695_u_soc_u_iccm_rdata4_22;
+   wire FE_OFN19694_u_soc_u_dccm_rdata4_7;
+   wire FE_OFN19693_u_soc_u_dccm_rdata2_1;
+   wire FE_OFN19692_u_soc_u_dccm_rdata4_0;
+   wire FE_OFN19691_FE_OFN1281_u_soc_instr_wdata_2;
+   wire FE_OFN19690_u_soc_u_dccm_rdata3_1;
+   wire FE_OFN19689_u_soc_u_iccm_rdata3_30;
+   wire FE_OFN19688_u_soc_u_iccm_rdata3_21;
+   wire FE_OFN19687_u_soc_u_iccm_rdata3_17;
+   wire FE_OFN19686_FE_OFN19532_n;
+   wire FE_OFN19685_u_soc_u_dccm_rdata4_13;
+   wire FE_OFN19684_u_soc_u_dccm_rdata4_5;
+   wire FE_OFN19683_u_soc_u_iccm_rdata2_26;
+   wire FE_OFN19682_u_soc_u_iccm_rdata4_26;
+   wire FE_OFN19681_FE_OFN19242_FE_OFN19168_n;
+   wire FE_OFN19680_n_5953;
+   wire FE_OFN19679_u_soc_u_iccm_rdata4_15;
+   wire FE_OFN19678_u_soc_u_iccm_rdata3_29;
+   wire FE_OFN19677_u_soc_u_dccm_rdata4_19;
+   wire FE_OFN19676_u_soc_u_iccm_rdata4_11;
+   wire FE_OFN19675_u_soc_u_iccm_rdata4_5;
+   wire FE_OFN19674_u_soc_u_iccm_rdata4_7;
+   wire FE_OFN19673_u_soc_u_iccm_rdata4_8;
+   wire FE_OFN19672_u_soc_u_iccm_rdata4_9;
+   wire FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n;
+   wire FE_OFN19670_u_soc_u_dccm_rdata4_18;
+   wire FE_OFN19669_u_soc_u_iccm_rdata2_29;
+   wire FE_OFN19668_u_soc_u_dccm_rdata4_24;
+   wire FE_OFN19667_FE_OFN19439_FE_OFN19258_n;
+   wire FE_OFN19666_u_soc_u_dccm_rdata3_15;
+   wire FE_OFN19665_FE_OFN457_u_soc_instr_wdata_24;
+   wire FE_OFN19664_u_soc_u_iccm_rdata2_15;
+   wire FE_OFN19663_u_soc_u_iccm_rdata3_1;
+   wire FE_OFN19662_u_soc_u_dccm_rdata4_26;
+   wire FE_OFN19661_u_soc_u_dccm_rdata4_20;
+   wire FE_OFN19660_u_soc_u_dccm_rdata3_27;
+   wire FE_OFN19659_u_soc_data_wdata_29;
+   wire FE_OFN19658_u_soc_u_iccm_rdata2_21;
+   wire FE_OFN19657_u_soc_u_iccm_csb3;
+   wire FE_OFN19656_u_soc_u_dccm_rdata2_11;
+   wire FE_OFN19655_u_soc_u_iccm_rdata3_26;
+   wire FE_OFN19654_u_soc_data_csb;
+   wire FE_OFN19653_u_soc_u_dccm_rdata3_28;
+   wire FE_OFN19652_u_soc_u_dccm_rdata3_30;
+   wire FE_OFN19651_u_soc_u_iccm_rdata4_0;
+   wire FE_OFN19650_u_soc_xbar_to_dccm_a_address__10;
+   wire FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n;
+   wire FE_OFN19648_u_soc_u_iccm_rdata3_10;
+   wire FE_OFN19647_FE_OFN19209_FE_OFN18796_FE_OFN18186_n;
+   wire FE_OFN19646_u_soc_u_dccm_rdata3_25;
+   wire FE_OFN19645_u_soc_u_dccm_rdata4_25;
+   wire FE_OFN19644_u_soc_u_dccm_rdata3_14;
+   wire FE_OFN19643_u_soc_u_iccm_rdata2_30;
+   wire FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7;
+   wire FE_OFN19641_u_soc_u_iccm_rdata3_24;
+   wire FE_OFN19640_u_soc_u_dccm_rdata2_23;
+   wire FE_OFN19639_u_soc_u_iccm_rdata4_14;
+   wire FE_OFN19638_u_soc_u_dccm_rdata2_8;
+   wire FE_OFN19637_u_soc_u_iccm_rdata4_10;
+   wire FE_OFN3867_FE_OFN18746_FE_OFN17984_n;
+   wire FE_OFN19636_u_soc_u_iccm_rdata2_0;
+   wire FE_OFN19635_u_soc_u_dccm_rdata2_31;
+   wire FE_OFN3866_FE_OFN18749_FE_OFN17983_n;
+   wire FE_OFN3865_n;
+   wire FE_OFN19634_u_soc_u_dccm_rdata3_13;
+   wire FE_OFN19633_FE_OFN19486_FE_OFN19265_n;
+   wire FE_OFN19632_FE_OFN19486_FE_OFN19265_n;
+   wire FE_OFN19631_FE_OFN19480_FE_OFN19271_n;
+   wire FE_OFN19630_FE_OFN19480_FE_OFN19271_n;
+   wire FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_OFN19628_u_soc_u_dccm_rdata3_18;
+   wire FE_OFN19627_FE_OFN19469_FE_OFN19266_n;
+   wire FE_OFN19626_FE_OFN19469_FE_OFN19266_n;
+   wire FE_OFN19625_FE_OFN19472_FE_OFN19277_n;
+   wire FE_OFN19624_FE_OFN19472_FE_OFN19277_n;
+   wire FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28;
+   wire FE_OFN19622_u_soc_u_dccm_rdata4_23;
+   wire FE_OFN19621_FE_OFN3537_n;
+   wire FE_OFN19620_FE_OFN19566_FE_OFN19260_n;
+   wire FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
+   wire FE_OFN19618_FE_OFN19207_FE_OFN19102_n;
+   wire FE_OFN19617_FE_OFN19286_FE_OFN19061_n;
+   wire FE_OFN19616_u_soc_instr_addr_4;
+   wire FE_OFN19615_u_soc_instr_addr_5;
+   wire FE_OFN19614_u_soc_instr_addr_3;
+   wire FE_OFN19613_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2;
+   wire FE_OFN19612_FE_OFN19522_n;
+   wire FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
+   wire FE_OFN19610_FE_OFN19514_n;
+   wire FE_OFN19609_FE_OFN19216_FE_OFN19067_n;
+   wire FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
+   wire FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
+   wire FE_OFN19606_u_soc_u_dccm_rdata2_27;
+   wire FE_OFN19605_FE_OFN19509_n;
+   wire FE_OFN19604_FE_OFN19513_n;
+   wire FE_OFN19603_FE_OFN19512_n;
+   wire FE_OFN19602_u_soc_instr_addr_2;
+   wire FE_OFN19601_FE_OFN19202_FE_OFN18777_FE_OFN1689_n;
+   wire FE_OFN19600_FE_OFN19523_n;
+   wire FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
+   wire FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
+   wire FE_OFN19597_FE_OFN19310_FE_OFN19076_n;
+   wire FE_OFN19596_FE_OFN19203_FE_OFN19077_n;
+   wire FE_OFN19595_FE_OFN19195_FE_OFN19073_n;
+   wire FE_OFN19594_FE_OFN19517_n;
+   wire FE_OFN19593_u_soc_u_dccm_rdata2_19;
+   wire FE_OFN19592_FE_OFN19511_n;
+   wire FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21;
+   wire FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
+   wire FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
+   wire FE_OFN19587_FE_OFN19210_FE_OFN19104_n;
+   wire FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n;
+   wire FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_OFN19584_u_soc_u_dccm_rdata2_18;
+   wire FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n;
+   wire FE_OFN19582_FE_OFN19357_FE_OFN19215_FE_OFN19173_n;
+   wire FE_OFN19581_FE_OFN19190_FE_OFN19180_n;
+   wire FE_OFN19580_FE_OFN19194_FE_OFN18757_FE_OFN1682_n;
+   wire FE_OFN19579_FE_OFN19283_FE_OFN19181_n;
+   wire FE_OFN19578_FE_OFN19288_FE_OFN19191_FE_OFN19096_n;
+   wire FE_OFN19577_FE_OFN19288_FE_OFN19191_FE_OFN19096_n;
+   wire FE_OFN19576_FE_OFN19332_FE_OFN19262_n;
+   wire FE_OFN19575_FE_OFN19332_FE_OFN19262_n;
+   wire FE_OFN19574_FE_OFN19332_FE_OFN19262_n;
+   wire FE_OFN19573_FE_OFN19332_FE_OFN19262_n;
+   wire FE_OFN19572_FE_OFN19332_FE_OFN19262_n;
+   wire FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15;
+   wire FE_OCPN19569_u_soc_u_top_u_core_pc_set;
+   wire FE_RN_129_0;
+   wire FE_RN_125_0;
+   wire FE_RN_124_0;
+   wire FE_RN_123_0;
+   wire FE_OFN19566_FE_OFN19260_n;
+   wire FE_OFN19565_u_soc_u_dccm_rdata4_14;
+   wire FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
+   wire FE_OFN19563_u_soc_u_iccm_rdata3_21;
+   wire FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2;
+   wire FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21;
+   wire FE_OFN19556_u_soc_u_top_u_core_instr_rdata_id_18;
+   wire FE_OFN19555_n_13387;
+   wire FE_OFN19553_n;
+   wire FE_OFN19551_n;
+   wire FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1;
+   wire FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26;
+   wire FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17;
+   wire FE_OFN19544_n_13394;
+   wire FE_OFN19543_u_soc_iccm_adapter_inst_mem_wmask_int_0__30;
+   wire FE_OFN19542_n_13088;
+   wire FE_OFN19541_n;
+   wire FE_OFN19539_n_13391;
+   wire FE_OFN19537_n_11514;
+   wire FE_OFN19534_n_13380;
+   wire FE_OFN19533_n_577;
+   wire FE_OFN19532_n;
+   wire FE_OFN19531_n;
+   wire FE_OFN19530_n;
+   wire FE_OFN19529_n;
+   wire FE_OFN19528_n;
+   wire FE_OFN19527_n;
+   wire FE_OFN19526_n;
+   wire FE_OFN19524_n_10261;
+   wire FE_OFN19523_n;
+   wire FE_OFN19522_n;
+   wire FE_OFN19521_n;
+   wire FE_OFN19520_n;
+   wire FE_OFN19519_n;
+   wire FE_OFN19518_n;
+   wire FE_OFN19517_n;
+   wire FE_OFN19516_n;
+   wire FE_OFN19515_n;
+   wire FE_OFN19514_n;
+   wire FE_OFN19513_n;
+   wire FE_OFN19512_n;
+   wire FE_OFN19511_n;
+   wire FE_OFN19510_n;
+   wire FE_OFN19509_n;
+   wire FE_OFN19508_n;
+   wire FE_OFN19507_n;
+   wire FE_OFN19506_n;
+   wire FE_OFN19504_FE_OFN112_io_out_37;
+   wire FE_OFN19503_FE_OFN149_io_out_37;
+   wire FE_OFN19502_n_10931;
+   wire FE_OFN19501_FE_OFN59_io_out_37;
+   wire FE_OFN19500_FE_OFN496_u_soc_instr_wdata_18;
+   wire FE_OFN19499_n_10447;
+   wire FE_OFN19497_FE_OFN76_io_out_37;
+   wire FE_OFN19495_FE_OFN1032_n_8911;
+   wire FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
+   wire FE_OFN19489_u_soc_u_dccm_rdata4_1;
+   wire FE_OFN19486_FE_OFN19265_n;
+   wire FE_OFN19485_FE_OFN19268_n;
+   wire FE_OFN19484_FE_OFN19273_n;
+   wire FE_OFN19483_u_soc_u_iccm_rdata4_29;
+   wire FE_OFN19482_u_soc_u_dccm_rdata4_3;
+   wire FE_OFN19481_u_soc_u_iccm_rdata4_24;
+   wire FE_OFN19480_FE_OFN19271_n;
+   wire FE_OFN19479_FE_OFN19276_n;
+   wire FE_OFN19478_FE_OFN19141_n;
+   wire FE_OFN19477_FE_OFN19154_n;
+   wire FE_OFN19476_FE_OFN19269_n;
+   wire FE_OFN19475_FE_OFN19272_n;
+   wire FE_OFN19474_FE_OFN19274_n;
+   wire FE_OFN19473_FE_OFN19275_n;
+   wire FE_OFN19472_FE_OFN19277_n;
+   wire FE_OFN19471_u_soc_u_iccm_rdata4_23;
+   wire FE_OFN19470_u_soc_u_iccm_rdata4_31;
+   wire FE_OFN19469_FE_OFN19266_n;
+   wire FE_OFN19468_u_soc_u_dccm_rdata4_4;
+   wire FE_OFN19467_u_soc_u_dccm_rdata4_5;
+   wire FE_OFN19466_FE_OFN892_n_2004;
+   wire FE_OFN19465_FE_OFN1746_n;
+   wire FE_OFN19463_FE_OFN1751_n;
+   wire FE_OFN19462_u_soc_u_iccm_rdata4_30;
+   wire FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
+   wire FE_OFN19460_FE_OFN18918_FE_OFN17998_n;
+   wire FE_OFN19458_u_soc_u_iccm_rdata4_27;
+   wire FE_OFN19457_u_soc_u_iccm_rdata4_21;
+   wire FE_OFN19456_FE_OFN181_u_soc_data_wdata_30;
+   wire FE_OFN19455_u_soc_u_iccm_rdata4_18;
+   wire FE_OFN19454_u_soc_u_iccm_rdata4_19;
+   wire FE_OFN19453_u_soc_u_dccm_rdata4_8;
+   wire FE_OFN19451_FE_OFN19270_n;
+   wire FE_OFN19450_FE_OFN19267_n;
+   wire FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
+   wire FE_OFN19448_u_soc_u_iccm_rdata4_20;
+   wire FE_OFN19447_u_soc_u_iccm_rdata4_28;
+   wire FE_OFN19445_u_soc_u_dccm_rdata4_17;
+   wire FE_OFN19444_FE_OFN18998_FE_OFN17988_n;
+   wire FE_OFN19443_n_1431;
+   wire FE_OFN19442_u_soc_u_iccm_rdata4_16;
+   wire FE_OFN19441_u_soc_u_dccm_rdata1_17;
+   wire FE_OFN19440_u_soc_u_dccm_rdata4_9;
+   wire FE_OFN19439_FE_OFN19258_n;
+   wire FE_OFN19438_u_soc_u_iccm_rdata2_12;
+   wire FE_OFN19437_u_soc_u_dccm_rdata4_25;
+   wire FE_OFN19436_FE_OFN18910_FE_OFN17986_n;
+   wire FE_OFN19435_u_soc_u_dccm_rdata4_22;
+   wire FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_OFN19432_FE_OFN1756_n;
+   wire FE_OFN19431_u_soc_u_iccm_rdata4_14;
+   wire FE_OFN19430_FE_OFN18907_FE_OFN17999_n;
+   wire FE_OFN19428_FE_OFN18844_FE_OFN17995_n;
+   wire FE_OFN19426_u_soc_u_iccm_rdata2_23;
+   wire FE_OFN19425_u_soc_u_dccm_rdata4_10;
+   wire FE_OFN19424_u_soc_u_iccm_rdata4_8;
+   wire FE_OFN19423_u_soc_u_dccm_rdata2_17;
+   wire FE_OFN19422_u_soc_u_dccm_rdata4_16;
+   wire FE_OFN19421_u_soc_u_iccm_rdata4_0;
+   wire FE_OFN19420_u_soc_u_iccm_rdata3_28;
+   wire FE_OFN19419_u_soc_u_iccm_rdata3_16;
+   wire FE_OFN19417_u_soc_u_iccm_rdata2_9;
+   wire FE_OFN19416_u_soc_u_iccm_rdata3_30;
+   wire FE_OFN19415_u_soc_u_iccm_rdata3_26;
+   wire FE_OFN19414_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6;
+   wire FE_OFN19413_n_2053;
+   wire FE_OFN19412_u_soc_u_dccm_rdata3_8;
+   wire FE_OFN19411_u_soc_u_iccm_rdata3_24;
+   wire FE_OFN3537_n;
+   wire FE_OFN19410_FE_OFN18831_FE_OFN18000_n;
+   wire FE_OFN19409_FE_OFN18831_FE_OFN18000_n;
+   wire FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net;
+   wire FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10;
+   wire FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
+   wire FE_OFN19404_u_soc_u_dccm_rdata3_13;
+   wire FE_OFN19403_u_soc_u_iccm_rdata2_18;
+   wire FE_OFN19402_u_soc_u_iccm_rdata3_12;
+   wire FE_OFN19401_u_soc_u_iccm_rdata2_11;
+   wire FE_OFN19400_u_soc_u_iccm_rdata2_6;
+   wire FE_OFN19399_u_soc_u_dccm_rdata3_5;
+   wire FE_OFN19398_FE_OFN19193_FE_OFN19182_n;
+   wire FE_OFN19397_u_soc_u_iccm_rdata3_18;
+   wire FE_OFN19396_u_soc_u_dccm_rdata3_1;
+   wire FE_OFN19395_u_soc_u_dccm_rdata3_6;
+   wire FE_OFN19394_u_soc_u_iccm_rdata4_13;
+   wire FE_OFN19393_FE_OFN18782_FE_OFN17992_n;
+   wire FE_OFN19392_FE_OFN18782_FE_OFN17992_n;
+   wire FE_OFN19391_FE_OFN18925_FE_OFN850_logic_0_23_net;
+   wire FE_OFN19390_FE_OFN18925_FE_OFN850_logic_0_23_net;
+   wire FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
+   wire FE_OFN19388_FE_OFN18804_FE_OFN17997_n;
+   wire FE_OFN19386_u_soc_u_dccm_rdata2_13;
+   wire FE_OFN19385_u_soc_u_dccm_rdata2_14;
+   wire FE_OFN19384_u_soc_u_dccm_rdata2_0;
+   wire FE_OFN19383_u_soc_u_iccm_rdata3_29;
+   wire FE_OFN19382_u_soc_u_dccm_rdata3_18;
+   wire FE_OFN19381_u_soc_u_iccm_rdata4_17;
+   wire FE_OFN19380_u_soc_u_dccm_rdata3_12;
+   wire FE_OFN19379_u_soc_u_iccm_rdata2_19;
+   wire FE_OFN19377_FE_OFN18824_FE_OFN852_logic_0_25_net;
+   wire FE_OFN19376_u_soc_u_iccm_rdata2_25;
+   wire FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
+   wire FE_OFN19374_FE_OFN18783_FE_OFN851_logic_0_24_net;
+   wire FE_OFN19373_FE_OFN19261_n;
+   wire FE_OFN19372_u_soc_u_dccm_rdata2_7;
+   wire FE_OFN19371_u_soc_u_iccm_rdata3_15;
+   wire FE_OFN19370_u_soc_u_dccm_rdata3_15;
+   wire FE_OFN19369_u_soc_u_dccm_rdata3_3;
+   wire FE_OFN19368_u_soc_u_iccm_rdata2_27;
+   wire FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
+   wire FE_OFN19366_u_soc_u_dccm_rdata2_12;
+   wire FE_OFN19365_u_soc_u_iccm_rdata3_17;
+   wire FE_OFN19364_u_soc_u_iccm_rdata3_1;
+   wire FE_OFN19363_u_soc_u_dccm_rdata3_2;
+   wire FE_OFN19362_u_soc_u_iccm_rdata3_10;
+   wire FE_OFN19361_u_soc_u_dccm_rdata2_3;
+   wire FE_OFN19360_u_soc_u_iccm_rdata2_30;
+   wire FE_OFN19359_u_soc_u_iccm_rdata3_13;
+   wire FE_OFN19358_FE_OFN19213_FE_OFN19090_n;
+   wire FE_OFN19357_FE_OFN19215_FE_OFN19173_n;
+   wire FE_OFN19356_u_soc_u_iccm_rdata3_14;
+   wire FE_OFN19355_u_soc_u_iccm_rdata2_20;
+   wire FE_OFN19354_u_soc_u_iccm_rdata2_10;
+   wire FE_OFN19353_u_soc_u_iccm_rdata2_7;
+   wire FE_OFN19352_u_soc_u_iccm_rdata2_16;
+   wire FE_OFN19351_u_soc_u_dccm_rdata3_4;
+   wire FE_OFN19350_u_soc_data_wdata_14;
+   wire FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7;
+   wire FE_OFN19348_u_soc_u_dccm_rdata3_14;
+   wire FE_OFN19347_u_soc_u_dccm_rdata2_10;
+   wire FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
+   wire FE_OFN19345_u_soc_u_iccm_rdata2_0;
+   wire FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
+   wire FE_OFN19343_u_soc_u_iccm_rdata2_31;
+   wire FE_OFN19342_u_soc_u_iccm_rdata2_24;
+   wire FE_OFN19341_u_soc_u_iccm_rdata2_13;
+   wire FE_OFN19340_u_soc_u_dccm_rdata2_6;
+   wire FE_OFN19339_u_soc_u_iccm_rdata2_8;
+   wire FE_OFN19338_u_soc_u_iccm_rdata2_29;
+   wire FE_OFN19337_u_soc_u_dccm_rdata2_16;
+   wire FE_OFN19336_u_soc_u_dccm_rdata2_4;
+   wire FE_OFN19335_u_soc_u_dccm_rdata2_1;
+   wire FE_OFN19334_u_soc_u_iccm_rdata2_22;
+   wire FE_OFN19333_u_soc_u_dccm_rdata2_11;
+   wire FE_OFN19332_FE_OFN19262_n;
+   wire FE_OFN19331_u_soc_u_dccm_rdata3_27;
+   wire FE_OFN19330_u_soc_u_iccm_rdata2_3;
+   wire FE_OFN19329_u_soc_u_dccm_rdata2_9;
+   wire FE_OFN19328_u_soc_u_dccm_rdata3_0;
+   wire FE_OFN19327_u_soc_u_iccm_rdata2_14;
+   wire FE_OFN19326_u_soc_u_iccm_rdata3_0;
+   wire FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
+   wire FE_OFN19323_u_soc_data_addr_5;
+   wire FE_OFN19322_u_soc_u_iccm_rdata2_21;
+   wire FE_OFN19321_u_soc_u_iccm_rdata2_4;
+   wire FE_OFN19320_u_soc_u_dccm_rdata3_30;
+   wire FE_OFN19319_u_soc_data_addr_3;
+   wire FE_OFN19318_u_soc_u_dccm_rdata3_28;
+   wire FE_OFN19317_u_soc_data_addr_7;
+   wire FE_OFN19316_u_soc_data_addr_2;
+   wire FE_OFN19315_u_soc_u_iccm_rdata2_26;
+   wire FE_OFN19314_u_soc_data_addr_1;
+   wire FE_OFN19313_u_soc_u_dccm_rdata3_29;
+   wire FE_OFN19312_u_soc_u_dccm_rdata3_24;
+   wire FE_OFN19311_u_soc_u_iccm_rdata2_15;
+   wire FE_OFN19310_FE_OFN19076_n;
+   wire FE_OFN19309_FE_OFN18749_FE_OFN17983_n;
+   wire FE_OFN19308_u_soc_u_iccm_rdata2_17;
+   wire FE_OFN19307_u_soc_u_dccm_rdata2_29;
+   wire FE_OFN19306_u_soc_u_iccm_rdata2_5;
+   wire FE_OFN19305_u_soc_u_dccm_rdata3_26;
+   wire FE_OFN19304_u_soc_u_dccm_rdata2_5;
+   wire FE_OFN19303_u_soc_u_dccm_rdata3_25;
+   wire FE_OFN19301_u_soc_u_iccm_rdata2_28;
+   wire FE_OFN19300_u_soc_u_iccm_rdata2_1;
+   wire FE_OFN19299_u_soc_u_dccm_rdata3_31;
+   wire FE_OFN19297_u_soc_u_dccm_rdata2_8;
+   wire FE_OFN19296_FE_OFN1741_n;
+   wire FE_OFN19295_u_soc_u_dccm_rdata2_23;
+   wire FE_OFN19294_FE_OFN18746_FE_OFN17984_n;
+   wire FE_OFN19293_u_soc_u_dccm_rdata2_25;
+   wire FE_OFN19292_u_soc_u_dccm_rdata2_30;
+   wire FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
+   wire FE_OFN19289_u_soc_u_dccm_rdata2_24;
+   wire FE_OFN19288_FE_OFN19191_FE_OFN19096_n;
+   wire FE_OFN19286_FE_OFN19061_n;
+   wire FE_OFN19285_u_soc_data_addr_4;
+   wire FE_OFN19284_u_soc_u_iccm_rdata2_2;
+   wire FE_OFN19283_FE_OFN19181_n;
+   wire FE_OFN19282_FE_OFN19089_n;
+   wire FE_OFN19281_FE_OFN19059_n;
+   wire FE_OFN19280_FE_OFN19064_n;
+   wire FE_OFN19279_u_soc_u_dccm_rdata2_31;
+   wire CTS_96;
+   wire CTS_91;
+   wire CTS_90;
+   wire CTS_89;
+   wire CTS_88;
+   wire CTS_87;
+   wire CTS_86;
+   wire CTS_93;
+   wire CTS_94;
+   wire CTS_83;
+   wire CTS_82;
+   wire CTS_81;
+   wire CTS_80;
+   wire CTS_79;
+   wire CTS_85;
+   wire CTS_92;
+   wire CTS_84;
+   wire CTS_78;
+   wire CTS_74;
+   wire CTS_76;
+   wire CTS_77;
+   wire CTS_75;
+   wire CTS_70;
+   wire CTS_69;
+   wire CTS_68;
+   wire CTS_67;
+   wire CTS_66;
+   wire CTS_65;
+   wire CTS_64;
+   wire CTS_63;
+   wire CTS_62;
+   wire CTS_61;
+   wire CTS_60;
+   wire CTS_59;
+   wire CTS_72;
+   wire CTS_73;
+   wire CTS_56;
+   wire CTS_55;
+   wire CTS_54;
+   wire CTS_53;
+   wire CTS_52;
+   wire CTS_51;
+   wire CTS_50;
+   wire CTS_49;
+   wire CTS_48;
+   wire CTS_58;
+   wire CTS_71;
+   wire CTS_45;
+   wire CTS_44;
+   wire CTS_43;
+   wire CTS_42;
+   wire CTS_41;
+   wire CTS_40;
+   wire CTS_39;
+   wire CTS_38;
+   wire CTS_37;
+   wire CTS_47;
+   wire CTS_57;
+   wire CTS_34;
+   wire CTS_33;
+   wire CTS_32;
+   wire CTS_31;
+   wire CTS_30;
+   wire CTS_29;
+   wire CTS_28;
+   wire CTS_27;
+   wire CTS_26;
+   wire CTS_25;
+   wire CTS_36;
+   wire CTS_46;
+   wire CTS_19;
+   wire CTS_35;
+   wire CTS_22;
+   wire CTS_21;
+   wire CTS_20;
+   wire CTS_18;
+   wire CTS_17;
+   wire CTS_24;
+   wire CTS_23;
+   wire CTS_14;
+   wire CTS_13;
+   wire CTS_12;
+   wire CTS_11;
+   wire CTS_10;
+   wire CTS_9;
+   wire CTS_8;
+   wire CTS_7;
+   wire CTS_6;
+   wire CTS_5;
+   wire CTS_4;
+   wire CTS_3;
+   wire CTS_2;
+   wire CTS_1;
+   wire CTS_16;
+   wire CTS_15;
+   wire FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16;
+   wire FE_OFN19255_n_6867;
+   wire FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN19253_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN19247_FE_OFN19156_n;
+   wire FE_OFN19246_FE_OFN19161_n;
+   wire FE_OFN19245_FE_OFN19152_n;
+   wire FE_OFN19244_FE_OFN19155_n;
+   wire FE_OFN19243_FE_OFN19131_n;
+   wire FE_OFN19242_FE_OFN19168_n;
+   wire FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
+   wire FE_OFN19216_FE_OFN19067_n;
+   wire FE_OFN19212_FE_OFN19103_n;
+   wire FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_OFN19210_FE_OFN19104_n;
+   wire FE_OFN19209_FE_OFN18796_FE_OFN18186_n;
+   wire FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
+   wire FE_OFN19207_FE_OFN19102_n;
+   wire FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
+   wire FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
+   wire FE_OFN19203_FE_OFN19077_n;
+   wire FE_OFN19202_FE_OFN18777_FE_OFN1689_n;
+   wire FE_OFN19195_FE_OFN19073_n;
+   wire FE_OFN19194_FE_OFN18757_FE_OFN1682_n;
+   wire FE_OFN19192_FE_OFN19179_n;
+   wire FE_OFN19190_FE_OFN19180_n;
+   wire FE_OFN19189_FE_OFN19180_n;
+   wire FE_RN_122_0;
+   wire FE_RN_120_0;
+   wire FE_RN_119_0;
+   wire FE_RN_118_0;
+   wire FE_RN_117_0;
+   wire FE_RN_114_0;
+   wire FE_RN_113_0;
+   wire FE_RN_112_0;
+   wire FE_RN_111_0;
+   wire FE_RN_110_0;
+   wire FE_RN_109_0;
+   wire FE_RN_108_0;
+   wire FE_RN_107_0;
+   wire FE_RN_106_0;
+   wire FE_RN_105_0;
+   wire FE_RN_104_0;
+   wire FE_RN_103_0;
+   wire FE_RN_102_0;
+   wire FE_RN_101_0;
+   wire FE_RN_96_0;
+   wire FE_RN_94_0;
+   wire FE_RN_93_0;
+   wire FE_RN_92_0;
+   wire FE_RN_91_0;
+   wire FE_RN_90_0;
+   wire FE_RN_88_0;
+   wire FE_RN_86_0;
+   wire FE_RN_85_0;
+   wire FE_RN_84_0;
+   wire FE_RN_83_0;
+   wire FE_RN_82_0;
+   wire FE_RN_81_0;
+   wire FE_RN_80_0;
+   wire FE_RN_79_0;
+   wire FE_RN_78_0;
+   wire FE_RN_77_0;
+   wire FE_RN_76_0;
+   wire FE_RN_75_0;
+   wire FE_RN_72_0;
+   wire FE_RN_71_0;
+   wire FE_RN_70_0;
+   wire FE_RN_69_0;
+   wire FE_RN_68_0;
+   wire FE_RN_67_0;
+   wire FE_RN_66_0;
+   wire FE_RN_65_0;
+   wire FE_RN_64_0;
+   wire FE_RN_63_0;
+   wire FE_RN_62_0;
+   wire FE_RN_61_0;
+   wire FE_RN_60_0;
+   wire FE_RN_59_0;
+   wire FE_RN_58_0;
+   wire FE_RN_57_0;
+   wire FE_RN_56_0;
+   wire FE_RN_55_0;
+   wire FE_RN_54_0;
+   wire FE_RN_53_0;
+   wire FE_RN_52_0;
+   wire FE_RN_51_0;
+   wire FE_RN_50_0;
+   wire FE_RN_49_0;
+   wire FE_RN_48_0;
+   wire FE_RN_47_0;
+   wire FE_RN_46_0;
+   wire FE_RN_45_0;
+   wire FE_RN_44_0;
+   wire FE_RN_43_0;
+   wire FE_RN_42_0;
+   wire FE_RN_41_0;
+   wire FE_RN_40_0;
+   wire FE_RN_39_0;
+   wire FE_RN_38_0;
+   wire FE_RN_37_0;
+   wire FE_RN_36_0;
+   wire FE_RN_35_0;
+   wire FE_RN_34_0;
+   wire FE_RN_33_0;
+   wire FE_RN_32_0;
+   wire FE_RN_29_0;
+   wire FE_RN_28_0;
+   wire FE_RN_27_0;
+   wire FE_RN_26_0;
+   wire FE_RN_25_0;
+   wire FE_RN_24_0;
+   wire FE_RN_23_0;
+   wire FE_RN_22_0;
+   wire FE_RN_21_0;
+   wire FE_RN_18_0;
+   wire FE_RN_17_0;
+   wire FE_RN_16_0;
+   wire FE_RN_15_0;
+   wire FE_OCPN19184_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156;
+   wire FE_RN_14_0;
+   wire FE_RN_13_0;
+   wire FE_RN_12_0;
+   wire FE_RN_10_0;
+   wire FE_RN_9_0;
+   wire FE_RN_8_0;
+   wire FE_OCPN19183_n_10157;
+   wire FE_RN_7_0;
+   wire FE_RN_6_0;
+   wire FE_RN_5_0;
+   wire FE_RN_4_0;
+   wire FE_RN_3_0;
+   wire FE_RN_1_0;
+   wire FE_RN_0_0;
+   wire FE_OFN19175_n;
+   wire FE_OFN19171_n;
+   wire FE_OFN19165_u_soc_u_iccm_rdata4_22;
+   wire FE_OFN19164_u_soc_u_dccm_rdata4_6;
+   wire FE_OFN19163_u_soc_u_dccm_rdata4_7;
+   wire FE_OFN19160_u_soc_u_iccm_rdata4_10;
+   wire FE_OFN19159_u_soc_u_dccm_rdata4_13;
+   wire FE_OFN19158_u_soc_u_dccm_rdata4_15;
+   wire FE_OFN19157_n;
+   wire FE_OFN19130_u_soc_u_iccm_rdata4_6;
+   wire FE_OFN19129_u_soc_u_iccm_rdata4_11;
+   wire FE_OFN19128_u_soc_u_iccm_rdata4_25;
+   wire FE_OFN19127_u_soc_u_dccm_rdata4_11;
+   wire FE_OFN19125_n;
+   wire FE_OFN19124_u_soc_u_dccm_rdata4_12;
+   wire FE_OFN19122_u_soc_u_dccm_rdata4_19;
+   wire FE_OFN19121_u_soc_u_iccm_rdata4_9;
+   wire FE_OFN19117_u_soc_u_iccm_rdata4_7;
+   wire FE_OFN19115_u_soc_u_dccm_rdata4_23;
+   wire FE_OFN19113_u_soc_u_dccm_rdata4_20;
+   wire FE_OFN19111_u_soc_u_dccm_rdata4_24;
+   wire FE_OFN19110_u_soc_u_dccm_rdata4_29;
+   wire FE_OFN19109_u_soc_u_dccm_rdata4_30;
+   wire FE_OFN19108_u_soc_u_dccm_rdata4_26;
+   wire FE_OFN19106_u_soc_u_dccm_rdata4_28;
+   wire FE_OFN19105_u_soc_u_dccm_rdata4_31;
+   wire FE_OFN19080_io_out_6;
+   wire FE_OFN19057_n;
+   wire FE_OFN19056_FE_OFN133_io_out_37;
+   wire FE_OFN19055_FE_OFN126_io_out_37;
+   wire FE_OFN19054_FE_OFN138_io_out_37;
+   wire FE_OFN19053_FE_OFN132_io_out_37;
+   wire FE_OFN19051_FE_OFN77_io_out_37;
+   wire FE_OFN19050_FE_OFN961_n_5348;
+   wire FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10;
+   wire FE_OFN19048_FE_OFN101_io_out_37;
+   wire FE_OFN19046_FE_OFN470_u_soc_instr_wdata_22;
+   wire FE_OFN19045_FE_OFN125_io_out_37;
+   wire FE_OFN19043_FE_OFN105_io_out_37;
+   wire FE_OFN19042_FE_OFN122_io_out_37;
+   wire FE_OFN19041_FE_OFN66_io_out_37;
+   wire FE_OFN19040_FE_OFN423_u_soc_instr_wdata_29;
+   wire FE_OFN19039_FE_OFN47_io_out_37;
+   wire FE_OFN19038_FE_OFN102_io_out_37;
+   wire FE_OFN19037_FE_OFN61_io_out_37;
+   wire FE_OFN19036_FE_OFN153_io_out_37;
+   wire FE_OFN19035_n_10718;
+   wire FE_OFN19034_FE_OFN103_io_out_37;
+   wire FE_OFN19031_FE_OFN79_io_out_37;
+   wire FE_OFN19030_FE_OFN465_u_soc_instr_wdata_23;
+   wire FE_OFN19029_FE_OFN96_io_out_37;
+   wire FE_OFN19028_FE_OFN123_io_out_37;
+   wire FE_OFN19027_FE_OFN70_io_out_37;
+   wire FE_OFN19025_io_oeb_35;
+   wire FE_OFN19024_FE_OFN124_io_out_37;
+   wire FE_OFN19022_u_soc_u_tcam_rdata_1;
+   wire FE_OFN19021_u_soc_u_tcam_rdata_4;
+   wire FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
+   wire FE_OFN19019_u_soc_u_dccm_rdata1_12;
+   wire FE_OFN19018_u_soc_u_iccm_rdata3_27;
+   wire FE_OFN19016_FE_OFN829_logic_0_9_net;
+   wire FE_OFN19015_u_soc_u_iccm_rdata3_19;
+   wire FE_OFN19014_u_soc_data_addr_6;
+   wire FE_OFN19011_u_soc_u_iccm_rdata3_11;
+   wire FE_OFN19010_u_soc_u_iccm_rdata3_20;
+   wire FE_OFN19009_u_soc_instr_we;
+   wire FE_OFN19008_u_soc_instr_we;
+   wire FE_OFN19005_FE_OFN823_logic_0_5_net;
+   wire FE_OFN19003_FE_OFN188_u_soc_data_wdata_29;
+   wire FE_OFN19002_u_soc_u_iccm_rdata4_26;
+   wire FE_OFN19001_FE_OFN17991_n;
+   wire FE_OFN18996_FE_OFN469_u_soc_instr_wdata_22;
+   wire FE_OFN18994_u_soc_u_tcam_rdata_2;
+   wire FE_OFN18993_FE_OFN17989_n;
+   wire FE_OFN18991_FE_OFN822_logic_0_4_net;
+   wire FE_OFN18989_FE_OFN18002_n;
+   wire FE_OFN18985_FE_OFN455_u_soc_instr_wdata_25;
+   wire FE_OFN18983_u_soc_u_iccm_rdata4_1;
+   wire FE_OFN18980_FE_OFN848_logic_0_22_net;
+   wire FE_OFN18974_n_10863;
+   wire FE_OFN18972_io_oeb_36;
+   wire FE_OFN18970_u_soc_u_dccm_rdata4_0;
+   wire FE_OFN18969_n_5850;
+   wire FE_OFN18968_n_2994;
+   wire FE_OFN18967_u_soc_u_iccm_rdata4_5;
+   wire FE_OFN18966_u_soc_u_iccm_rdata3_8;
+   wire FE_OFN18963_u_soc_u_iccm_rdata4_2;
+   wire FE_OFN18960_FE_OFN1721_n;
+   wire FE_OFN18959_io_in_28;
+   wire FE_OFN18958_u_soc_u_iccm_rdata3_31;
+   wire FE_OFN18955_FE_OFN582_u_soc_instr_wdata_3;
+   wire FE_OFN18952_io_in_5;
+   wire FE_OFN18950_FE_OFN500_u_soc_instr_wdata_18;
+   wire FE_OFN18943_FE_OFN820_logic_0_3_net;
+   wire FE_OFN18941_FE_OFN858_logic_0_31_net;
+   wire FE_OFN18939_u_soc_u_iccm_rdata3_6;
+   wire FE_OFN18937_FE_OFN426_u_soc_instr_wdata_29;
+   wire FE_OFN18933_u_soc_u_iccm_rdata3_25;
+   wire FE_OFN18927_FE_OFN846_logic_0_21_net;
+   wire FE_OFN18918_FE_OFN17998_n;
+   wire FE_OFN18915_FE_OFN17990_n;
+   wire FE_OFN18907_FE_OFN17999_n;
+   wire FE_OFN18903_u_soc_u_dccm_rdata2_27;
+   wire FE_OFN18900_u_soc_u_iccm_rdata4_15;
+   wire FE_OFN18898_u_soc_u_iccm_rdata3_4;
+   wire FE_OFN18897_u_soc_u_dccm_rdata2_19;
+   wire FE_OFN18893_u_soc_u_iccm_rdata4_4;
+   wire FE_OFN18891_u_soc_u_iccm_rdata3_3;
+   wire FE_OFN18888_FE_OFN17996_n;
+   wire FE_OFN18886_u_soc_u_iccm_rdata4_12;
+   wire FE_OFN18884_u_soc_u_iccm_rdata4_3;
+   wire FE_OFN18879_u_soc_u_dccm_rdata4_21;
+   wire FE_OFN18873_FE_OFN1125_u_soc_data_we;
+   wire FE_OFN18872_FE_OFN1125_u_soc_data_we;
+   wire FE_OFN18871_u_soc_u_dccm_rdata4_18;
+   wire FE_OFN18867_u_soc_u_iccm_rdata3_9;
+   wire FE_OFN18866_u_soc_u_dccm_rdata4_27;
+   wire FE_OFN18860_u_soc_u_iccm_rdata3_23;
+   wire FE_OFN18857_u_soc_u_dccm_rdata2_18;
+   wire FE_OFN18846_FE_OFN17994_n;
+   wire FE_OFN18844_FE_OFN17995_n;
+   wire FE_OFN18842_n_2041;
+   wire FE_OFN18841_u_soc_u_dccm_rdata2_15;
+   wire FE_OFN18838_u_soc_u_dccm_rdata4_2;
+   wire FE_OFN18836_u_soc_u_dccm_rdata2_20;
+   wire FE_OFN18830_FE_OFN18000_n;
+   wire FE_OFN18822_u_soc_u_dccm_rdata2_2;
+   wire FE_OFN18821_u_soc_u_tcam_rdata_5;
+   wire FE_OFN18816_u_soc_u_dccm_rdata2_21;
+   wire FE_OFN18811_FE_OFN546_u_soc_instr_wdata_11;
+   wire FE_OFN18807_FE_OFN453_u_soc_instr_wdata_25;
+   wire FE_OFN18806_FE_OFN827_logic_0_8_net;
+   wire FE_OFN18804_FE_OFN17997_n;
+   wire FE_OFN18799_FE_OFN1731_n;
+   wire FE_OFN18792_FE_OFN527_u_soc_instr_wdata_14;
+   wire FE_OFN18789_FE_OFN18004_n;
+   wire FE_OFN18785_FE_OFN260_u_soc_data_wdata_4;
+   wire FE_OFN18780_n_8757;
+   wire FE_OFN18779_FE_OFN868_logic_0_54_net;
+   wire FE_OFN18771_FE_OFN433_u_soc_instr_wdata_28;
+   wire FE_OFN18768_FE_OFN1126_u_soc_data_we;
+   wire FE_OFN18754_FE_OFN1702_n;
+   wire FE_OFN18748_FE_OFN244_u_soc_data_wdata_6;
+   wire FE_OFN18747_FE_OFN244_u_soc_data_wdata_6;
+   wire FE_OFN18739_FE_OFN568_u_soc_instr_wdata_7;
+   wire FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4;
+   wire FE_OFN18737_FE_OFN1279_u_soc_instr_wdata_4;
+   wire FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4;
+   wire FE_OFN18735_FE_OFN1279_u_soc_instr_wdata_4;
+   wire FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN18733_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN18731_FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN18729_FE_OFN1233_u_soc_data_wdata_26;
+   wire FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26;
+   wire FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26;
+   wire FE_OFN18724_FE_OFN1241_u_soc_data_wdata_24;
+   wire FE_OFN18722_FE_OFN1241_u_soc_data_wdata_24;
+   wire FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24;
+   wire FE_OFN18720_FE_OFN1289_u_soc_instr_wdata_0;
+   wire FE_OFN18719_FE_OFN1289_u_soc_instr_wdata_0;
+   wire FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0;
+   wire FE_OFN18717_FE_OFN1289_u_soc_instr_wdata_0;
+   wire FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_OFN18715_FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_OFN18704_FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_OFN18703_FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_OFN18702_FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_OFN18700_FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_OFN18696_u_soc_data_wdata_13;
+   wire FE_OFN18695_u_soc_data_wdata_13;
+   wire FE_OFN18694_u_soc_data_wdata_13;
+   wire FE_OFN18693_u_soc_data_wdata_13;
+   wire FE_OFN18692_u_soc_data_wdata_13;
+   wire FE_OFN18691_u_soc_data_wdata_13;
+   wire FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_OFN18685_FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_OFN18682_u_soc_data_wdata_12;
+   wire FE_OFN18681_u_soc_data_wdata_12;
+   wire FE_OFN18680_u_soc_data_wdata_12;
+   wire FE_OFN18678_u_soc_data_wdata_12;
+   wire FE_OFN18677_u_soc_data_wdata_12;
+   wire FE_OFN18676_u_soc_data_wdata_12;
+   wire FE_OFN18675_u_soc_data_wdata_11;
+   wire FE_OFN18674_u_soc_data_wdata_11;
+   wire FE_OFN18672_u_soc_data_wdata_11;
+   wire FE_OFN18671_u_soc_data_wdata_11;
+   wire FE_OFN18670_u_soc_data_wdata_11;
+   wire FE_OFN18667_u_soc_data_wdata_10;
+   wire FE_OFN18666_u_soc_data_wdata_10;
+   wire FE_OFN18665_u_soc_data_wdata_10;
+   wire FE_OFN18663_u_soc_data_wdata_10;
+   wire FE_OFN18662_u_soc_data_wdata_10;
+   wire FE_OFN18661_u_soc_data_wdata_10;
+   wire FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_OFN18652_u_soc_data_wmask_3;
+   wire FE_OFN18650_u_soc_data_wmask_3;
+   wire FE_OFN18649_u_soc_data_wmask_3;
+   wire FE_OFN18646_u_soc_data_wmask_3;
+   wire FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12;
+   wire FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12;
+   wire FE_OFN18642_n_11516;
+   wire FE_OFN18641_n_11563;
+   wire FE_OFN18640_n_15996;
+   wire FE_OFN18639_n_12257;
+   wire FE_OFN18637_n_15;
+   wire FE_OFN18636_n_11393;
+   wire FE_OFN18635_n;
+   wire FE_OFN18631_n;
+   wire FE_OFN18627_n_495;
+   wire FE_OFN18625_n_11552;
+   wire FE_OFN18623_n_11748;
+   wire FE_OFN18622_n_11474;
+   wire FE_OFN18615_n;
+   wire FE_OFN18614_n_11761;
+   wire FE_OFN18613_n;
+   wire FE_OFN18611_n_11472;
+   wire FE_OFN18606_n_13339;
+   wire FE_OFN18604_n_11479;
+   wire FE_OFN18603_n_15870;
+   wire FE_OFN18601_n_11564;
+   wire FE_OFN18600_n_15998;
+   wire FE_OFN18599_n_15998;
+   wire FE_OFN18597_n_11754;
+   wire FE_OFN18594_n;
+   wire FE_OFN18593_n_11626;
+   wire FE_OFN18592_n_11561;
+   wire FE_OFN18589_n_11757;
+   wire FE_OFN18588_n_11757;
+   wire FE_OFN18587_n_11762;
+   wire FE_OFN18586_n_11762;
+   wire FE_OFN18585_n_15872;
+   wire FE_OFN18584_n_15872;
+   wire FE_OFN18583_n_11552;
+   wire FE_OFN18579_n_15859;
+   wire FE_OFN18577_n_11054;
+   wire FE_OFN18575_n_15869;
+   wire FE_OFN18574_n_15869;
+   wire FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0;
+   wire FE_OFN18570_n_15858;
+   wire FE_OFN18567_n_11513;
+   wire FE_OFN18565_n;
+   wire FE_OFN18563_n_15867;
+   wire FE_OFN18562_n_15867;
+   wire FE_OFN18561_n_11517;
+   wire FE_OFN18560_n_11517;
+   wire FE_OFN18559_n_15861;
+   wire FE_OFN18558_n_15861;
+   wire FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0;
+   wire FE_OFN18554_n_15860;
+   wire FE_OFN18553_n_15856;
+   wire FE_OFN18552_n_15995;
+   wire FE_OFN18551_n_11518;
+   wire FE_OFN18550_n_11418;
+   wire FE_OFN18549_n_12256;
+   wire FE_OFN18547_n_11593;
+   wire FE_OFN18546_n_15866;
+   wire FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14;
+   wire FE_OFN18543_n_11550;
+   wire FE_OFN18542_n_11550;
+   wire FE_OFN18537_n_15857;
+   wire FE_OFN18536_n_15862;
+   wire FE_OFN18535_n;
+   wire FE_OFN18534_n;
+   wire FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22;
+   wire FE_OFN18526_n_11553;
+   wire FE_OFN18524_n_11393;
+   wire FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15;
+   wire FE_OFN18521_n_11473;
+   wire FE_OFN18520_n_11473;
+   wire FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7;
+   wire FE_OFN18511_n_11423;
+   wire FE_OFN18510_n_11423;
+   wire FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10;
+   wire FE_OFN18507_n_13391;
+   wire FE_OFN18506_n_16001;
+   wire FE_OFN18505_n_16001;
+   wire FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5;
+   wire FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6;
+   wire FE_OFN18501_n;
+   wire FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2;
+   wire FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9;
+   wire FE_OFN18496_n;
+   wire FE_OFN18495_n_13389;
+   wire FE_OFN18494_n_11476;
+   wire FE_OFN18493_n_11476;
+   wire FE_OFN18492_n_13420;
+   wire FE_OFN18490_n_11428;
+   wire FE_OFN18488_n_15943;
+   wire FE_OFN18487_n_13386;
+   wire FE_OFN18485_n_11130;
+   wire FE_OFN18484_n_13416;
+   wire FE_OFN18483_n_11556;
+   wire FE_OFN18480_n_11558;
+   wire FE_OFN18479_u_soc_xbar_to_dccm_a_address__10;
+   wire FE_OFN18478_n_12260;
+   wire FE_OFN18476_n_12260;
+   wire FE_OFN18475_n_11756;
+   wire FE_OFN18473_n_11421;
+   wire FE_OFN18472_n_11421;
+   wire FE_OFN18471_n_15860;
+   wire FE_OFN18470_n_11259;
+   wire FE_OFN18469_n_11259;
+   wire FE_OFN18466_n_11471;
+   wire FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26;
+   wire FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0;
+   wire FE_OFN18456_n_16000;
+   wire FE_OFN18454_n_15997;
+   wire FE_OFN18453_n_11425;
+   wire FE_OFN18451_n_11355;
+   wire FE_OFN18450_n_11751;
+   wire FE_OFN18449_n_15945;
+   wire FE_OFN18446_u_soc_u_top_u_core_pc_set;
+   wire FE_OFN18445_n_15897;
+   wire FE_OFN18443_n_13363;
+   wire FE_OFN18442_n_112;
+   wire FE_OFN18440_n_11765;
+   wire FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3;
+   wire FE_OFN18437_n_11563;
+   wire FE_OFN18434_n_11559;
+   wire FE_OFN18433_n_15870;
+   wire FE_OFN18432_n_11554;
+   wire FE_OFN18431_n_11474;
+   wire FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8;
+   wire FE_OFN18428_n_7770;
+   wire FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1;
+   wire FE_OFN18426_n_16003;
+   wire FE_OFN18425_n_15868;
+   wire FE_OFN18424_n_7771;
+   wire FE_OFN18423_n_11152;
+   wire FE_OFN18422_n_11555;
+   wire FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13;
+   wire FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5;
+   wire FE_OFN18414_n;
+   wire FE_OFN18412_n;
+   wire FE_OFN18407_n_15871;
+   wire FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20;
+   wire FE_OFN18397_n_15865;
+   wire FE_OFN18396_n_15865;
+   wire FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0;
+   wire FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0;
+   wire FE_OFN18385_n_11514;
+   wire FE_OFN18384_n_11514;
+   wire FE_OFN18381_n_11769;
+   wire FE_OFN18379_n_11258;
+   wire FE_OFN18378_n_11176;
+   wire FE_OFN18377_n_16005;
+   wire FE_OFN18371_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1;
+   wire FE_OFN18367_n;
+   wire FE_OFN18366_n_11593;
+   wire FE_OFN18361_n;
+   wire FE_OFN18360_n;
+   wire FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18;
+   wire FE_OFN18356_n_11313;
+   wire FE_OFN18350_n_9277;
+   wire FE_OFN18335_n_11415;
+   wire FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0;
+   wire FE_OFN18328_n_7607;
+   wire FE_OFN18326_n_13495;
+   wire FE_OFN18324_n_3074;
+   wire FE_OFN18323_n_3074;
+   wire FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11;
+   wire FE_OFN18321_n_6708;
+   wire FE_OFN18320_n_6708;
+   wire FE_OFN18319_n_13103;
+   wire FE_OFN18318_n_15946;
+   wire FE_OFN18317_n_15999;
+   wire FE_OFN18316_n_15999;
+   wire FE_OFN18315_n_13425;
+   wire FE_OFN18313_n_1223;
+   wire FE_OFN18312_n_13393;
+   wire FE_OFN18310_n_11515;
+   wire FE_OFN18306_n_15887;
+   wire FE_OFN18302_n_6869;
+   wire FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1;
+   wire FE_OFN18299_n_15911;
+   wire FE_OFN18298_n_11208;
+   wire FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2;
+   wire FE_OFN18296_n_11421;
+   wire FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3;
+   wire FE_OFN18294_n_13073;
+   wire FE_OFN18292_n_15857;
+   wire FE_OFN18290_n_11557;
+   wire FE_OFN18287_n_15881;
+   wire FE_OFN18286_n_2984;
+   wire FE_OFN18285_n_2984;
+   wire FE_OFN18283_n_15869;
+   wire FE_OFN18282_n_8333;
+   wire FE_OFN18279_n_16012;
+   wire FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24;
+   wire FE_OFN18277_n_13339;
+   wire FE_OFN18276_u_soc_xbar_to_dccm_a_address__3;
+   wire FE_OFN18274_n_2418;
+   wire FE_OFN18273_u_soc_lsu_to_xbar_a_address__20;
+   wire FE_OFN18272_n_15882;
+   wire FE_OFN18271_n_11152;
+   wire FE_OFN18270_u_soc_xbar_to_dccm_a_address__2;
+   wire FE_OFN18268_n_11746;
+   wire FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23;
+   wire FE_OFN18264_n_7388;
+   wire FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19;
+   wire FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4;
+   wire FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4;
+   wire FE_OFN18260_n_13085;
+   wire FE_OFN18258_n_7382;
+   wire FE_OFN18257_n_619;
+   wire FE_OFN18252_n_625;
+   wire FE_OFN18250_n_183;
+   wire FE_OFN18249_n_183;
+   wire FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31;
+   wire FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31;
+   wire FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_OFN18244_n_7619;
+   wire FE_OFN18243_n_11259;
+   wire FE_OFN18242_n_11626;
+   wire FE_OFN18240_n_2420;
+   wire FE_OFN18239_u_soc_lsu_to_xbar_a_address__29;
+   wire FE_OFN18238_n_13069;
+   wire FE_OFN18237_n_2678;
+   wire FE_OFN18236_n_2678;
+   wire FE_OFN18235_n_130;
+   wire FE_OFN18234_n_113;
+   wire FE_OFN18232_u_soc_dccm_adapter_data_weD;
+   wire FE_OFN18230_n_3080;
+   wire FE_OFN18227_n_1854;
+   wire FE_OFN18226_n_639;
+   wire FE_OFN18225_n_616;
+   wire FE_OFN18223_n_109;
+   wire FE_OFN18222_u_soc_lsu_to_xbar_a_address__28;
+   wire FE_OFN18221_n_2674;
+   wire FE_OFN18220_n_119;
+   wire FE_OFN18219_n_6709;
+   wire FE_OFN18215_n_116;
+   wire FE_OFN18214_n_135;
+   wire FE_OFN18211_n_620;
+   wire FE_OFN18208_n_2676;
+   wire FE_OFN18207_n_11218;
+   wire FE_OFN18206_n_638;
+   wire FE_OFN18204_n_2680;
+   wire FE_OFN18203_n_7609;
+   wire FE_OFN18202_n_7609;
+   wire FE_OFN18200_n_15897;
+   wire FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal;
+   wire FE_OFN18196_n_13380;
+   wire FE_OFN18195_u_soc_lsu_to_xbar_a_address__31;
+   wire FE_OFN18192_n;
+   wire FE_OFN18191_n;
+   wire FE_OFN18190_n;
+   wire FE_OFN18189_n;
+   wire FE_OFN18188_n;
+   wire FE_OFN18185_u_soc_u_tcam_rdata_0;
+   wire FE_OFN18183_u_soc_u_tcam_rdata_3;
+   wire FE_OFN18150_u_soc_u_iccm_rdata3_5;
+   wire FE_OFN18148_u_soc_u_iccm_rdata3_7;
+   wire FE_OFN18133_u_soc_u_iccm_rdata3_22;
+   wire FE_OFN18064_u_soc_u_dccm_rdata3_7;
+   wire FE_OFN18062_u_soc_u_dccm_rdata3_9;
+   wire FE_OFN18061_u_soc_u_dccm_rdata3_10;
+   wire FE_OFN18060_u_soc_u_dccm_rdata3_11;
+   wire FE_OFN18055_u_soc_u_dccm_rdata3_16;
+   wire FE_OFN18054_u_soc_u_dccm_rdata3_17;
+   wire FE_OFN18052_u_soc_u_dccm_rdata3_19;
+   wire FE_OFN18051_u_soc_u_dccm_rdata3_20;
+   wire FE_OFN18050_u_soc_u_dccm_rdata3_21;
+   wire FE_OFN18049_u_soc_u_dccm_rdata3_22;
+   wire FE_OFN18028_n;
+   wire FE_OFN18027_n;
+   wire FE_OFN18026_n;
+   wire FE_OFN18025_n;
+   wire FE_OFN18019_n;
+   wire FE_OFN18003_n;
+   wire FE_OFN18001_n;
+   wire FE_OFN1763_n;
+   wire FE_OFN1758_n;
+   wire FE_OFN1757_n;
+   wire FE_OFN1755_n;
+   wire FE_OFN1753_n;
+   wire FE_OFN1752_n;
+   wire FE_OFN1751_n;
+   wire FE_OFN1749_n;
+   wire FE_OFN1748_n;
+   wire FE_OFN1747_n;
+   wire FE_OFN1745_n;
+   wire FE_OFN1743_n;
+   wire FE_OFN1742_n;
+   wire FE_OFN1740_n;
+   wire FE_OFN1738_n;
+   wire FE_OFN1737_n;
+   wire FE_OFN1736_n;
+   wire FE_OFN1735_n;
+   wire FE_OFN1733_n;
+   wire FE_OFN1732_n;
+   wire FE_OFN1730_n;
+   wire FE_OFN1728_n;
+   wire FE_OFN1725_n;
+   wire FE_OFN1722_n;
+   wire FE_OFN1681_n_3075;
+   wire FE_OFN1680_n_3074;
+   wire FE_OFN1679_n_8544;
+   wire FE_OFN1678_n_8447;
+   wire FE_OFN1677_n_8287;
+   wire FE_OFN1676_n_8285;
+   wire FE_OFN1675_n_8283;
+   wire FE_OFN1674_n_8085;
+   wire FE_OFN1673_n_8083;
+   wire FE_OFN1672_n_8081;
+   wire FE_OFN1671_n_8079;
+   wire FE_OFN1670_n_8077;
+   wire FE_OFN1669_n_8075;
+   wire FE_OFN1668_n_7913;
+   wire FE_OFN1667_n_7911;
+   wire FE_OFN1666_n_7909;
+   wire FE_OFN1665_n_7795;
+   wire FE_OFN1664_n_7793;
+   wire FE_OFN1663_n_7791;
+   wire FE_OFN1662_n_7789;
+   wire FE_OFN1661_n_7787;
+   wire FE_OFN1660_n_7785;
+   wire FE_OFN1659_n_7783;
+   wire FE_OFN1658_n_7781;
+   wire FE_OFN1657_n_7779;
+   wire FE_OFN1656_n_7777;
+   wire FE_OFN1655_n_7775;
+   wire FE_OFN1654_n_7773;
+   wire FE_OFN1653_n_7617;
+   wire FE_OFN1652_n_7615;
+   wire FE_OFN1651_n_7613;
+   wire FE_OFN1650_n_7611;
+   wire FE_OFN1649_n_7557;
+   wire FE_OFN1648_n_7555;
+   wire FE_OFN1646_n_3077;
+   wire FE_OFN1645_n_2000;
+   wire FE_OFN1644_n_1999;
+   wire FE_OFN1643_n_1992;
+   wire FE_OFN1642_n_1843;
+   wire FE_OFN1641_n_1842;
+   wire FE_OFN1640_n_1407;
+   wire FE_OFN1639_n_16011;
+   wire FE_OFN1636_n_10944;
+   wire FE_OFN1635_n_10943;
+   wire FE_OFN1633_n_10864;
+   wire FE_OFN1632_n_10762;
+   wire FE_OFN1631_n_10756;
+   wire FE_OFN1630_n_10754;
+   wire FE_OFN1629_n_10748;
+   wire FE_OFN1628_n_10746;
+   wire FE_OFN1627_n_10744;
+   wire FE_OFN1626_n_10736;
+   wire FE_OFN1625_n_10155;
+   wire FE_OFN1624_n_10146;
+   wire FE_OFN1623_n_10145;
+   wire FE_OFN1622_n_10044;
+   wire FE_OFN1621_n_6733;
+   wire FE_OFN1620_n_5856;
+   wire FE_OFN1619_n_5852;
+   wire FE_OFN1618_n_5846;
+   wire FE_OFN1617_n_5836;
+   wire FE_OFN1616_n_5834;
+   wire FE_OFN1615_n_5830;
+   wire FE_OFN1614_n_5826;
+   wire FE_OFN1613_n_3011;
+   wire FE_OFN1612_n_3007;
+   wire FE_OFN1611_n_3001;
+   wire FE_OFN1610_n_2991;
+   wire FE_OFN1609_n_2989;
+   wire FE_OFN1608_n_2244;
+   wire FE_OFN1607_n_2241;
+   wire FE_OFN1606_n_1516;
+   wire FE_OFN1605_n_1513;
+   wire FE_OFN1600_u_soc_xbar_to_dccm_a_data__7;
+   wire FE_OFN1599_u_soc_xbar_to_dccm_a_data__11;
+   wire FE_OFN1594_u_soc_u_dccm_csb1;
+   wire FE_OFN1593_u_soc_u_dccm_csb1;
+   wire FE_OFN1590_n_15897;
+   wire FE_OFN1589_n_15882;
+   wire FE_OFN1588_n_15860;
+   wire FE_OFN1587_n_13428;
+   wire FE_OFN1581_n_11054;
+   wire FE_OFN1580_n_10953;
+   wire FE_OFN1576_n_10256;
+   wire FE_OFN1575_n_10253;
+   wire FE_OFN1574_n_10248;
+   wire FE_OFN1573_n_10229;
+   wire FE_OFN1572_n_10228;
+   wire FE_OFN1571_n_10225;
+   wire FE_OFN1570_n_10220;
+   wire FE_OFN1569_n_9296;
+   wire FE_OFN1566_n_9159;
+   wire FE_OFN1565_n_9006;
+   wire FE_OFN1564_n_9005;
+   wire FE_OFN1563_n_9004;
+   wire FE_OFN1562_n_8982;
+   wire FE_OFN1561_n_8981;
+   wire FE_OFN1560_n_8980;
+   wire FE_OFN1559_n_8979;
+   wire FE_OFN1558_n_8978;
+   wire FE_OFN1557_n_8977;
+   wire FE_OFN1556_n_8976;
+   wire FE_OFN1555_n_8975;
+   wire FE_OFN1554_n_8974;
+   wire FE_OFN1553_n_8973;
+   wire FE_OFN1552_n_8972;
+   wire FE_OFN1551_n_8971;
+   wire FE_OFN1550_n_8970;
+   wire FE_OFN1549_n_8968;
+   wire FE_OFN1548_n_8968;
+   wire FE_OFN1547_n_8967;
+   wire FE_OFN1546_n_8967;
+   wire FE_OFN1545_n_8966;
+   wire FE_OFN1544_n_8966;
+   wire FE_OFN1543_n_8965;
+   wire FE_OFN1542_n_8965;
+   wire FE_OFN1541_n_8964;
+   wire FE_OFN1540_n_8963;
+   wire FE_OFN1539_n_8963;
+   wire FE_OFN1538_n_8962;
+   wire FE_OFN1537_n_8962;
+   wire FE_OFN1536_n_8961;
+   wire FE_OFN1535_n_8961;
+   wire FE_OFN1534_n_8867;
+   wire FE_OFN1533_n_8862;
+   wire FE_OFN1532_n_8759;
+   wire FE_OFN1531_n_8758;
+   wire FE_OFN1530_n_8756;
+   wire FE_OFN1529_n_8755;
+   wire FE_OFN1528_n_8754;
+   wire FE_OFN1527_n_8753;
+   wire FE_OFN1526_n_8752;
+   wire FE_OFN1525_n_8751;
+   wire FE_OFN1524_n_8750;
+   wire FE_OFN1523_n_8749;
+   wire FE_OFN1522_n_8748;
+   wire FE_OFN1521_n_8747;
+   wire FE_OFN1520_n_8746;
+   wire FE_OFN1519_n_8745;
+   wire FE_OFN1518_n_8737;
+   wire FE_OFN1517_n_8736;
+   wire FE_OFN1516_n_8735;
+   wire FE_OFN1515_n_8734;
+   wire FE_OFN1514_n_8733;
+   wire FE_OFN1513_n_8732;
+   wire FE_OFN1512_n_8730;
+   wire FE_OFN1511_n_8612;
+   wire FE_OFN1510_n_8611;
+   wire FE_OFN1509_n_7907;
+   wire FE_OFN1508_n_7251;
+   wire FE_OFN1507_n_7250;
+   wire FE_OFN1506_n_7249;
+   wire FE_OFN1505_n_7248;
+   wire FE_OFN1504_n_7247;
+   wire FE_OFN1503_n_6915;
+   wire FE_OFN1502_n_6724;
+   wire FE_OFN1501_n_6722;
+   wire FE_OFN1499_n_6718;
+   wire FE_OFN1498_n_6714;
+   wire FE_OFN1497_n_6713;
+   wire FE_OFN1496_n_6712;
+   wire FE_OFN1495_n_6711;
+   wire FE_OFN1494_n_6710;
+   wire FE_OFN1493_n_6490;
+   wire FE_OFN1492_n_5662;
+   wire FE_OFN1491_n_5661;
+   wire FE_OFN1490_n_5660;
+   wire FE_OFN1489_n_5659;
+   wire FE_OFN1488_n_5658;
+   wire FE_OFN1487_n_5657;
+   wire FE_OFN1486_n_5656;
+   wire FE_OFN1485_n_5655;
+   wire FE_OFN1484_n_5654;
+   wire FE_OFN1483_n_5653;
+   wire FE_OFN1482_n_5651;
+   wire FE_OFN1481_n_5649;
+   wire FE_OFN1480_n_5647;
+   wire FE_OFN1479_n_5376;
+   wire FE_OFN1478_n_5374;
+   wire FE_OFN1477_n_2980;
+   wire FE_OFN1476_n_2542;
+   wire FE_OFN1475_n_2412;
+   wire FE_OFN1474_n_2280;
+   wire FE_OFN1473_n_2279;
+   wire FE_OFN1472_n_2278;
+   wire FE_OFN1471_n_2277;
+   wire FE_OFN1470_n_2276;
+   wire FE_OFN1469_n_2275;
+   wire FE_OFN1468_n_2272;
+   wire FE_OFN1467_n_2271;
+   wire FE_OFN1466_n_2270;
+   wire FE_OFN1465_n_2269;
+   wire FE_OFN1464_n_2268;
+   wire FE_OFN1463_n_2267;
+   wire FE_OFN1462_n_2266;
+   wire FE_OFN1461_n_2265;
+   wire FE_OFN1460_n_2263;
+   wire FE_OFN1459_n_2262;
+   wire FE_OFN1458_n_2260;
+   wire FE_OFN1457_n_2259;
+   wire FE_OFN1456_n_2258;
+   wire FE_OFN1455_n_2257;
+   wire FE_OFN1454_n_2145;
+   wire FE_OFN1453_n_2144;
+   wire FE_OFN1452_n_2143;
+   wire FE_OFN1451_n_2142;
+   wire FE_OFN1450_n_2141;
+   wire FE_OFN1449_n_2140;
+   wire FE_OFN1448_n_2139;
+   wire FE_OFN1447_n_2138;
+   wire FE_OFN1446_n_2134;
+   wire FE_OFN1445_n_2132;
+   wire FE_OFN1444_n_2128;
+   wire FE_OFN1443_n_2124;
+   wire FE_OFN1442_n_2122;
+   wire FE_OFN1441_n_2120;
+   wire FE_OFN1440_n_2112;
+   wire FE_OFN1439_n_2110;
+   wire FE_OFN1438_n_2107;
+   wire FE_OFN1437_n_2104;
+   wire FE_OFN1436_n_2102;
+   wire FE_OFN1435_n_2100;
+   wire FE_OFN1434_n_2099;
+   wire FE_OFN1433_n_2097;
+   wire FE_OFN1432_n_2095;
+   wire FE_OFN1431_n_2093;
+   wire FE_OFN1430_n_2089;
+   wire FE_OFN1429_n_2085;
+   wire FE_OFN1428_n_2083;
+   wire FE_OFN1427_n_2079;
+   wire FE_OFN1426_n_2077;
+   wire FE_OFN1425_n_2075;
+   wire FE_OFN1424_n_2073;
+   wire FE_OFN1423_n_2071;
+   wire FE_OFN1422_n_2070;
+   wire FE_OFN1421_n_2069;
+   wire FE_OFN1420_n_2067;
+   wire FE_OFN1419_n_2065;
+   wire FE_OFN1418_n_2063;
+   wire FE_OFN1417_n_2061;
+   wire FE_OFN1416_n_2057;
+   wire FE_OFN1415_n_2055;
+   wire FE_OFN1413_n_2049;
+   wire FE_OFN1412_n_2045;
+   wire FE_OFN1409_n_2039;
+   wire FE_OFN1408_n_2037;
+   wire FE_OFN1407_n_2017;
+   wire FE_OFN1406_n_2016;
+   wire FE_OFN1405_n_1850;
+   wire FE_OFN1404_n_1848;
+   wire FE_OFN1403_n_1412;
+   wire FE_OFN1402_n_1218;
+   wire FE_OFN1401_n_1217;
+   wire FE_OFN1400_n_577;
+   wire FE_OFN1399_n_142;
+   wire FE_OFN1398_n_141;
+   wire FE_OFN1340_u_soc_u_iccm_addr4_1;
+   wire FE_OFN1339_u_soc_u_iccm_addr4_2;
+   wire FE_OFN1338_u_soc_u_iccm_addr4_2;
+   wire FE_OFN1329_u_soc_u_iccm_addr4_6;
+   wire FE_OFN1322_u_soc_instr_wmask_0;
+   wire FE_OFN1321_u_soc_instr_wmask_0;
+   wire FE_OFN1320_u_soc_data_wmask_0;
+   wire FE_OFN1318_u_soc_data_wmask_0;
+   wire FE_OFN1317_u_soc_data_wmask_0;
+   wire FE_OFN1316_u_soc_data_wmask_0;
+   wire FE_OFN1315_u_soc_data_wmask_1;
+   wire FE_OFN1313_u_soc_data_wmask_1;
+   wire FE_OFN1312_u_soc_data_wmask_1;
+   wire FE_OFN1311_u_soc_data_wmask_1;
+   wire FE_OFN1309_u_soc_data_wmask_2;
+   wire FE_OFN1308_u_soc_data_wmask_2;
+   wire FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16;
+   wire FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20;
+   wire FE_OFN1291_u_soc_instr_wdata_0;
+   wire FE_OFN1289_u_soc_instr_wdata_0;
+   wire FE_OFN1288_u_soc_instr_wdata_0;
+   wire FE_OFN1287_u_soc_instr_wdata_1;
+   wire FE_OFN1285_u_soc_instr_wdata_1;
+   wire FE_OFN1284_u_soc_instr_wdata_1;
+   wire FE_OFN1283_u_soc_instr_wdata_2;
+   wire FE_OFN1281_u_soc_instr_wdata_2;
+   wire FE_OFN1280_u_soc_instr_wdata_2;
+   wire FE_OFN1279_u_soc_instr_wdata_4;
+   wire FE_OFN1277_u_soc_instr_wdata_4;
+   wire FE_OFN1276_u_soc_instr_wdata_5;
+   wire FE_OFN1274_u_soc_instr_wdata_5;
+   wire FE_OFN1266_u_soc_data_wdata_9;
+   wire FE_OFN1264_u_soc_data_wdata_9;
+   wire FE_OFN1263_u_soc_data_wdata_9;
+   wire FE_OFN1262_u_soc_data_wdata_16;
+   wire FE_OFN1260_u_soc_data_wdata_16;
+   wire FE_OFN1259_u_soc_data_wdata_16;
+   wire FE_OFN1258_u_soc_data_wdata_18;
+   wire FE_OFN1256_u_soc_data_wdata_18;
+   wire FE_OFN1255_u_soc_data_wdata_18;
+   wire FE_OFN1254_u_soc_data_wdata_19;
+   wire FE_OFN1253_u_soc_data_wdata_19;
+   wire FE_OFN1252_u_soc_data_wdata_19;
+   wire FE_OFN1251_u_soc_data_wdata_22;
+   wire FE_OFN1249_u_soc_data_wdata_22;
+   wire FE_OFN1248_u_soc_data_wdata_22;
+   wire FE_OFN1247_u_soc_data_wdata_23;
+   wire FE_OFN1245_u_soc_data_wdata_23;
+   wire FE_OFN1244_u_soc_data_wdata_23;
+   wire FE_OFN1243_u_soc_data_wdata_24;
+   wire FE_OFN1241_u_soc_data_wdata_24;
+   wire FE_OFN1240_u_soc_data_wdata_24;
+   wire FE_OFN1239_u_soc_data_wdata_25;
+   wire FE_OFN1237_u_soc_data_wdata_25;
+   wire FE_OFN1236_u_soc_data_wdata_25;
+   wire FE_OFN1235_u_soc_data_wdata_26;
+   wire FE_OFN1233_u_soc_data_wdata_26;
+   wire FE_OFN1232_u_soc_data_wdata_26;
+   wire FE_OFN1231_u_soc_data_wdata_27;
+   wire FE_OFN1230_u_soc_data_wdata_27;
+   wire FE_OFN1229_u_soc_data_wdata_27;
+   wire FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear;
+   wire FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr;
+   wire FE_OFN1142_u_soc_u_top_data_we;
+   wire FE_OFN1141_u_soc_u_iccm_csb4;
+   wire FE_OFN1139_u_soc_u_iccm_csb2;
+   wire FE_OFN1138_u_soc_u_iccm_csb1;
+   wire FE_OFN1137_u_soc_u_dccm_csb4;
+   wire FE_OFN1136_u_soc_u_dccm_csb3;
+   wire FE_OFN1135_u_soc_u_dccm_csb2;
+   wire FE_OFN1134_u_soc_u_dccm_csb2;
+   wire FE_OFN1133_u_soc_instr_we;
+   wire FE_OFN1132_u_soc_instr_we;
+   wire FE_OFN1131_u_soc_instr_we;
+   wire FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst;
+   wire FE_OFN1127_u_soc_data_we;
+   wire FE_OFN1126_u_soc_data_we;
+   wire FE_OFN1125_u_soc_data_we;
+   wire FE_OFN1124_u_soc_data_we;
+   wire FE_OFN1123_n_17982;
+   wire FE_OFN1120_n_15995;
+   wire FE_OFN1119_n_15930;
+   wire FE_OFN1118_n_15887;
+   wire FE_OFN1117_n_15871;
+   wire FE_OFN1116_n_15870;
+   wire FE_OFN1115_n_15869;
+   wire FE_OFN1114_n_15868;
+   wire FE_OFN1111_n_15859;
+   wire FE_OFN1110_n_15858;
+   wire FE_OFN1108_n_15856;
+   wire FE_OFN1107_n_13557;
+   wire FE_OFN1106_n_13489;
+   wire FE_OFN1105_n_13380;
+   wire FE_OFN1103_n_13102;
+   wire FE_OFN1102_n_13101;
+   wire FE_OFN1101_n_13100;
+   wire FE_OFN1100_n_13099;
+   wire FE_OFN1099_n_13098;
+   wire FE_OFN1098_n_13097;
+   wire FE_OFN1097_n_13094;
+   wire FE_OFN1096_n_13093;
+   wire FE_OFN1095_n_13092;
+   wire FE_OFN1094_n_13091;
+   wire FE_OFN1093_n_13090;
+   wire FE_OFN1092_n_13089;
+   wire FE_OFN1090_n_13087;
+   wire FE_OFN1089_n_13086;
+   wire FE_OFN1088_n_13084;
+   wire FE_OFN1087_n_13083;
+   wire FE_OFN1086_n_13082;
+   wire FE_OFN1085_n_13078;
+   wire FE_OFN1084_n_13077;
+   wire FE_OFN1083_n_13076;
+   wire FE_OFN1082_n_13075;
+   wire FE_OFN1081_n_13074;
+   wire FE_OFN1080_n_13070;
+   wire FE_OFN1079_n_12256;
+   wire FE_OFN1078_n_11763;
+   wire FE_OFN1077_n_11756;
+   wire FE_OFN1076_n_11754;
+   wire FE_OFN1075_n_11751;
+   wire FE_OFN1074_n_11749;
+   wire FE_OFN1073_n_11564;
+   wire FE_OFN1071_n_11559;
+   wire FE_OFN1070_n_11558;
+   wire FE_OFN1069_n_11557;
+   wire FE_OFN1068_n_11556;
+   wire FE_OFN1067_n_11555;
+   wire FE_OFN1066_n_11554;
+   wire FE_OFN1064_n_11550;
+   wire FE_OFN1063_n_11518;
+   wire FE_OFN1062_n_11516;
+   wire FE_OFN1059_n_11480;
+   wire FE_OFN1058_n_11479;
+   wire FE_OFN1057_n_11478;
+   wire FE_OFN1056_n_11475;
+   wire FE_OFN1053_n_11471;
+   wire FE_OFN1052_n_11425;
+   wire FE_OFN1051_n_11418;
+   wire FE_OFN1050_n_11415;
+   wire FE_OFN1049_n_9003;
+   wire FE_OFN1048_n_9002;
+   wire FE_OFN1047_n_9000;
+   wire FE_OFN1046_n_8999;
+   wire FE_OFN1045_n_8983;
+   wire FE_OFN1044_n_8932;
+   wire FE_OFN1043_n_8921;
+   wire FE_OFN1042_n_8921;
+   wire FE_OFN1041_n_8921;
+   wire FE_OFN1040_n_8921;
+   wire FE_OFN1039_n_8921;
+   wire FE_OFN1038_n_8921;
+   wire FE_OFN1037_n_8921;
+   wire FE_OFN1036_n_8911;
+   wire FE_OFN1035_n_8911;
+   wire FE_OFN1034_n_8911;
+   wire FE_OFN1033_n_8911;
+   wire FE_OFN1032_n_8911;
+   wire FE_OFN1031_n_8910;
+   wire FE_OFN1030_n_8905;
+   wire FE_OFN1029_n_8904;
+   wire FE_OFN1028_n_8899;
+   wire FE_OFN1027_n_8894;
+   wire FE_OFN1026_n_8886;
+   wire FE_OFN1025_n_8860;
+   wire FE_OFN1024_n_8857;
+   wire FE_OFN1023_n_8856;
+   wire FE_OFN1022_n_8855;
+   wire FE_OFN1021_n_8855;
+   wire FE_OFN1020_n_8853;
+   wire FE_OFN1019_n_8853;
+   wire FE_OFN1018_n_8844;
+   wire FE_OFN1017_n_8844;
+   wire FE_OFN1016_n_8844;
+   wire FE_OFN1015_n_8844;
+   wire FE_OFN1014_n_8844;
+   wire FE_OFN1013_n_8835;
+   wire FE_OFN1012_n_8835;
+   wire FE_OFN1011_n_8835;
+   wire FE_OFN1010_n_8835;
+   wire FE_OFN1009_n_8835;
+   wire FE_OFN1008_n_8835;
+   wire FE_OFN1007_n_8835;
+   wire FE_OFN1006_n_7965;
+   wire FE_OFN1005_n_6918;
+   wire FE_OFN1004_n_6917;
+   wire FE_OFN1003_n_6916;
+   wire FE_OFN1002_n_6865;
+   wire FE_OFN1001_n_6859;
+   wire FE_OFN1000_n_6857;
+   wire FE_OFN999_n_6709;
+   wire FE_OFN998_n_6709;
+   wire FE_OFN997_n_6493;
+   wire FE_OFN996_n_6492;
+   wire FE_OFN995_n_6491;
+   wire FE_OFN994_n_6488;
+   wire FE_OFN993_n_6487;
+   wire FE_OFN992_n_5974;
+   wire FE_OFN991_n_5961;
+   wire FE_OFN989_n_5950;
+   wire FE_OFN988_n_5934;
+   wire FE_OFN987_n_5663;
+   wire FE_OFN986_n_5399;
+   wire FE_OFN984_n_5382;
+   wire FE_OFN983_n_5372;
+   wire FE_OFN982_n_5371;
+   wire FE_OFN981_n_5370;
+   wire FE_OFN980_n_5369;
+   wire FE_OFN979_n_5368;
+   wire FE_OFN978_n_5367;
+   wire FE_OFN977_n_5366;
+   wire FE_OFN976_n_5364;
+   wire FE_OFN975_n_5362;
+   wire FE_OFN974_n_5360;
+   wire FE_OFN973_n_5358;
+   wire FE_OFN972_n_5356;
+   wire FE_OFN971_n_5356;
+   wire FE_OFN970_n_5355;
+   wire FE_OFN969_n_5354;
+   wire FE_OFN968_n_5353;
+   wire FE_OFN967_n_5352;
+   wire FE_OFN966_n_5351;
+   wire FE_OFN965_n_5350;
+   wire FE_OFN964_n_5349;
+   wire FE_OFN963_n_5349;
+   wire FE_OFN962_n_5348;
+   wire FE_OFN961_n_5348;
+   wire FE_OFN960_n_5347;
+   wire FE_OFN959_n_5346;
+   wire FE_OFN958_n_5345;
+   wire FE_OFN957_n_5344;
+   wire FE_OFN956_n_5343;
+   wire FE_OFN955_n_5342;
+   wire FE_OFN954_n_5342;
+   wire FE_OFN953_n_5341;
+   wire FE_OFN952_n_5341;
+   wire FE_OFN951_n_5340;
+   wire FE_OFN950_n_5340;
+   wire FE_OFN949_n_5339;
+   wire FE_OFN948_n_5338;
+   wire FE_OFN947_n_5338;
+   wire FE_OFN946_n_5337;
+   wire FE_OFN945_n_5336;
+   wire FE_OFN944_n_5335;
+   wire FE_OFN943_n_5335;
+   wire FE_OFN942_n_5334;
+   wire FE_OFN941_n_5334;
+   wire FE_OFN940_n_5333;
+   wire FE_OFN939_n_5331;
+   wire FE_OFN938_n_5331;
+   wire FE_OFN937_n_5330;
+   wire FE_OFN936_n_5330;
+   wire FE_OFN935_n_5329;
+   wire FE_OFN934_n_5329;
+   wire FE_OFN933_n_5328;
+   wire FE_OFN932_n_5327;
+   wire FE_OFN931_n_5326;
+   wire FE_OFN930_n_2987;
+   wire FE_OFN929_n_2985;
+   wire FE_OFN928_n_2681;
+   wire FE_OFN927_n_2421;
+   wire FE_OFN926_n_2274;
+   wire FE_OFN925_n_2273;
+   wire FE_OFN924_n_2261;
+   wire FE_OFN923_n_2252;
+   wire FE_OFN922_n_2250;
+   wire FE_OFN921_n_2243;
+   wire FE_OFN920_n_2242;
+   wire FE_OFN919_n_2240;
+   wire FE_OFN918_n_2240;
+   wire FE_OFN917_n_2238;
+   wire FE_OFN916_n_2237;
+   wire FE_OFN915_n_2235;
+   wire FE_OFN914_n_2235;
+   wire FE_OFN913_n_2233;
+   wire FE_OFN912_n_2231;
+   wire FE_OFN911_n_2231;
+   wire FE_OFN910_n_2230;
+   wire FE_OFN909_n_2229;
+   wire FE_OFN908_n_2227;
+   wire FE_OFN907_n_2226;
+   wire FE_OFN906_n_2224;
+   wire FE_OFN905_n_2223;
+   wire FE_OFN904_n_2222;
+   wire FE_OFN903_n_2220;
+   wire FE_OFN902_n_2219;
+   wire FE_OFN901_n_2218;
+   wire FE_OFN900_n_2217;
+   wire FE_OFN899_n_2217;
+   wire FE_OFN898_n_2216;
+   wire FE_OFN897_n_2216;
+   wire FE_OFN896_n_2215;
+   wire FE_OFN895_n_2012;
+   wire FE_OFN894_n_2011;
+   wire FE_OFN893_n_2007;
+   wire FE_OFN892_n_2004;
+   wire FE_OFN891_n_1998;
+   wire FE_OFN890_n_1996;
+   wire FE_OFN889_n_1994;
+   wire FE_OFN888_n_1852;
+   wire FE_OFN887_n_1753;
+   wire FE_OFN886_n_1742;
+   wire FE_OFN885_n_1518;
+   wire FE_OFN884_n_1413;
+   wire FE_OFN883_n_1219;
+   wire FE_OFN882_n_1214;
+   wire FE_OFN881_n_831;
+   wire FE_OFN880_n_831;
+   wire FE_OFN879_n_690;
+   wire FE_OFN878_n_641;
+   wire FE_OFN877_n_496;
+   wire FE_OFN876_n_471;
+   wire FE_OFN875_n_470;
+   wire FE_OFN874_n_470;
+   wire FE_OFN873_n_470;
+   wire FE_OFN872_n_470;
+   wire FE_OFN867_logic_0_36_net;
+   wire FE_OFN866_logic_0_36_net;
+   wire FE_OFN865_logic_0_35_net;
+   wire FE_OFN864_logic_0_35_net;
+   wire FE_OFN863_logic_0_34_net;
+   wire FE_OFN862_logic_0_34_net;
+   wire FE_OFN861_logic_0_33_net;
+   wire FE_OFN860_logic_0_33_net;
+   wire FE_OFN857_logic_0_30_net;
+   wire FE_OFN850_logic_0_23_net;
+   wire FE_OFN843_logic_0_18_net;
+   wire FE_OFN842_logic_0_18_net;
+   wire FE_OFN841_logic_0_17_net;
+   wire FE_OFN840_logic_0_17_net;
+   wire FE_OFN839_logic_0_16_net;
+   wire FE_OFN838_logic_0_16_net;
+   wire FE_OFN837_logic_0_15_net;
+   wire FE_OFN836_logic_0_15_net;
+   wire FE_OFN835_logic_0_14_net;
+   wire FE_OFN833_logic_0_13_net;
+   wire FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1;
+   wire FE_OFN784_u_soc_u_top_u_core_rf_wdata_fwd_wb_17;
+   wire FE_OFN783_u_soc_u_top_u_core_rf_wdata_fwd_wb_18;
+   wire FE_OFN780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22;
+   wire FE_OFN779_u_soc_u_top_u_core_rf_wdata_fwd_wb_24;
+   wire FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27;
+   wire FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0;
+   wire FE_OFN773_u_soc_xbar_to_dccm_a_address__4;
+   wire FE_OFN772_u_soc_xbar_to_dccm_a_address__5;
+   wire FE_OFN771_u_soc_xbar_to_dccm_a_address__6;
+   wire FE_OFN770_u_soc_xbar_to_dccm_a_address__7;
+   wire FE_OFN769_u_soc_xbar_to_dccm_a_address__8;
+   wire FE_OFN768_u_soc_xbar_to_dccm_a_address__9;
+   wire FE_OFN766_u_soc_xbar_to_dccm_a_address__11;
+   wire FE_OFN765_u_soc_xbar_to_dccm_a_address__12;
+   wire FE_OFN763_u_soc_lsu_to_xbar_a_address__14;
+   wire FE_OFN762_u_soc_lsu_to_xbar_a_address__15;
+   wire FE_OFN759_u_soc_lsu_to_xbar_a_address__18;
+   wire FE_OFN758_u_soc_lsu_to_xbar_a_address__19;
+   wire FE_OFN756_u_soc_lsu_to_xbar_a_address__21;
+   wire FE_OFN755_u_soc_lsu_to_xbar_a_address__22;
+   wire FE_OFN754_u_soc_lsu_to_xbar_a_address__23;
+   wire FE_OFN753_u_soc_lsu_to_xbar_a_address__24;
+   wire FE_OFN752_u_soc_lsu_to_xbar_a_address__25;
+   wire FE_OFN751_u_soc_lsu_to_xbar_a_address__26;
+   wire FE_OFN750_u_soc_lsu_to_xbar_a_address__27;
+   wire FE_OFN745_u_soc_u_uart_u_uart_core_rx_0;
+   wire FE_OFN744_u_soc_u_uart_u_uart_core_rx_0;
+   wire FE_OFN743_u_soc_u_uart_u_uart_core_rx_0;
+   wire FE_OFN742_u_soc_u_uart_u_uart_core_rx_1;
+   wire FE_OFN741_u_soc_u_uart_u_uart_core_rx_1;
+   wire FE_OFN740_u_soc_u_uart_u_uart_core_rx_2;
+   wire FE_OFN739_u_soc_u_uart_u_uart_core_rx_2;
+   wire FE_OFN737_u_soc_u_uart_u_uart_core_rx_3;
+   wire FE_OFN736_u_soc_u_uart_u_uart_core_rx_3;
+   wire FE_OFN735_u_soc_u_uart_u_uart_core_rx_3;
+   wire FE_OFN734_u_soc_u_uart_u_uart_core_rx_4;
+   wire FE_OFN733_u_soc_u_uart_u_uart_core_rx_4;
+   wire FE_OFN732_u_soc_u_uart_u_uart_core_rx_4;
+   wire FE_OFN731_u_soc_u_uart_u_uart_core_rx_5;
+   wire FE_OFN730_u_soc_u_uart_u_uart_core_rx_5;
+   wire FE_OFN729_u_soc_u_uart_u_uart_core_rx_6;
+   wire FE_OFN728_u_soc_u_uart_u_uart_core_rx_6;
+   wire FE_OFN727_u_soc_u_uart_u_uart_core_rx_6;
+   wire FE_OFN726_u_soc_u_uart_u_uart_core_rx_7;
+   wire FE_OFN725_u_soc_u_uart_u_uart_core_rx_7;
+   wire FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12;
+   wire FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14;
+   wire FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21;
+   wire FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27;
+   wire FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28;
+   wire FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29;
+   wire FE_OFN711_u_soc_u_tcam_rdata_0;
+   wire FE_OFN710_u_soc_u_tcam_rdata_0;
+   wire FE_OFN709_u_soc_u_tcam_rdata_1;
+   wire FE_OFN708_u_soc_u_tcam_rdata_1;
+   wire FE_OFN707_u_soc_u_tcam_rdata_2;
+   wire FE_OFN706_u_soc_u_tcam_rdata_2;
+   wire FE_OFN705_u_soc_u_tcam_rdata_3;
+   wire FE_OFN704_u_soc_u_tcam_rdata_3;
+   wire FE_OFN703_u_soc_u_tcam_rdata_4;
+   wire FE_OFN702_u_soc_u_tcam_rdata_4;
+   wire FE_OFN701_u_soc_u_tcam_rdata_5;
+   wire FE_OFN700_u_soc_u_iccm_rdata4_0;
+   wire FE_OFN667_u_soc_u_iccm_rdata3_2;
+   wire FE_OFN618_u_soc_u_iccm_rdata1_0;
+   wire FE_OFN617_u_soc_u_iccm_rdata1_1;
+   wire FE_OFN616_u_soc_u_iccm_rdata1_2;
+   wire FE_OFN615_u_soc_u_iccm_rdata1_2;
+   wire FE_OFN614_u_soc_u_iccm_rdata1_3;
+   wire FE_OFN613_u_soc_u_iccm_rdata1_4;
+   wire FE_OFN612_u_soc_u_iccm_rdata1_5;
+   wire FE_OFN611_u_soc_u_iccm_rdata1_6;
+   wire FE_OFN610_u_soc_u_iccm_rdata1_7;
+   wire FE_OFN609_u_soc_u_iccm_rdata1_8;
+   wire FE_OFN608_u_soc_u_iccm_rdata1_9;
+   wire FE_OFN607_u_soc_u_iccm_rdata1_10;
+   wire FE_OFN606_u_soc_u_iccm_rdata1_11;
+   wire FE_OFN605_u_soc_u_iccm_rdata1_12;
+   wire FE_OFN604_u_soc_u_iccm_rdata1_13;
+   wire FE_OFN603_u_soc_u_iccm_rdata1_14;
+   wire FE_OFN602_u_soc_u_iccm_rdata1_15;
+   wire FE_OFN601_u_soc_u_iccm_rdata1_16;
+   wire FE_OFN600_u_soc_u_iccm_rdata1_17;
+   wire FE_OFN599_u_soc_u_iccm_rdata1_18;
+   wire FE_OFN598_u_soc_u_iccm_rdata1_19;
+   wire FE_OFN597_u_soc_u_iccm_rdata1_20;
+   wire FE_OFN596_u_soc_u_iccm_rdata1_21;
+   wire FE_OFN595_u_soc_u_iccm_rdata1_22;
+   wire FE_OFN594_u_soc_u_iccm_rdata1_23;
+   wire FE_OFN593_u_soc_u_iccm_rdata1_24;
+   wire FE_OFN592_u_soc_u_iccm_rdata1_25;
+   wire FE_OFN591_u_soc_u_iccm_rdata1_26;
+   wire FE_OFN590_u_soc_u_iccm_rdata1_27;
+   wire FE_OFN589_u_soc_u_iccm_rdata1_28;
+   wire FE_OFN588_u_soc_u_iccm_rdata1_29;
+   wire FE_OFN587_u_soc_u_iccm_rdata1_30;
+   wire FE_OFN586_u_soc_u_iccm_rdata1_31;
+   wire FE_OFN585_u_soc_instr_wdata_3;
+   wire FE_OFN584_u_soc_instr_wdata_3;
+   wire FE_OFN583_u_soc_instr_wdata_3;
+   wire FE_OFN581_u_soc_instr_wdata_3;
+   wire FE_OFN580_u_soc_instr_wdata_3;
+   wire FE_OFN579_u_soc_instr_wdata_6;
+   wire FE_OFN578_u_soc_instr_wdata_6;
+   wire FE_OFN577_u_soc_instr_wdata_6;
+   wire FE_OFN576_u_soc_instr_wdata_6;
+   wire FE_OFN575_u_soc_instr_wdata_6;
+   wire FE_OFN574_u_soc_instr_wdata_6;
+   wire FE_OFN573_u_soc_instr_wdata_7;
+   wire FE_OFN572_u_soc_instr_wdata_7;
+   wire FE_OFN571_u_soc_instr_wdata_7;
+   wire FE_OFN570_u_soc_instr_wdata_7;
+   wire FE_OFN569_u_soc_instr_wdata_7;
+   wire FE_OFN567_u_soc_instr_wdata_8;
+   wire FE_OFN566_u_soc_instr_wdata_8;
+   wire FE_OFN565_u_soc_instr_wdata_8;
+   wire FE_OFN564_u_soc_instr_wdata_8;
+   wire FE_OFN563_u_soc_instr_wdata_8;
+   wire FE_OFN562_u_soc_instr_wdata_8;
+   wire FE_OFN561_u_soc_instr_wdata_9;
+   wire FE_OFN560_u_soc_instr_wdata_9;
+   wire FE_OFN559_u_soc_instr_wdata_9;
+   wire FE_OFN558_u_soc_instr_wdata_9;
+   wire FE_OFN557_u_soc_instr_wdata_9;
+   wire FE_OFN556_u_soc_instr_wdata_9;
+   wire FE_OFN555_u_soc_instr_wdata_10;
+   wire FE_OFN554_u_soc_instr_wdata_10;
+   wire FE_OFN553_u_soc_instr_wdata_10;
+   wire FE_OFN552_u_soc_instr_wdata_10;
+   wire FE_OFN551_u_soc_instr_wdata_10;
+   wire FE_OFN549_u_soc_instr_wdata_11;
+   wire FE_OFN548_u_soc_instr_wdata_11;
+   wire FE_OFN547_u_soc_instr_wdata_11;
+   wire FE_OFN546_u_soc_instr_wdata_11;
+   wire FE_OFN544_u_soc_instr_wdata_11;
+   wire FE_OFN543_u_soc_instr_wdata_12;
+   wire FE_OFN542_u_soc_instr_wdata_12;
+   wire FE_OFN541_u_soc_instr_wdata_12;
+   wire FE_OFN540_u_soc_instr_wdata_12;
+   wire FE_OFN539_u_soc_instr_wdata_12;
+   wire FE_OFN537_u_soc_instr_wdata_12;
+   wire FE_OFN536_u_soc_instr_wdata_13;
+   wire FE_OFN535_u_soc_instr_wdata_13;
+   wire FE_OFN534_u_soc_instr_wdata_13;
+   wire FE_OFN533_u_soc_instr_wdata_13;
+   wire FE_OFN532_u_soc_instr_wdata_13;
+   wire FE_OFN531_u_soc_instr_wdata_13;
+   wire FE_OFN530_u_soc_instr_wdata_13;
+   wire FE_OFN529_u_soc_instr_wdata_14;
+   wire FE_OFN528_u_soc_instr_wdata_14;
+   wire FE_OFN526_u_soc_instr_wdata_14;
+   wire FE_OFN525_u_soc_instr_wdata_14;
+   wire FE_OFN524_u_soc_instr_wdata_14;
+   wire FE_OFN523_u_soc_instr_wdata_14;
+   wire FE_OFN522_u_soc_instr_wdata_15;
+   wire FE_OFN521_u_soc_instr_wdata_15;
+   wire FE_OFN520_u_soc_instr_wdata_15;
+   wire FE_OFN519_u_soc_instr_wdata_15;
+   wire FE_OFN518_u_soc_instr_wdata_15;
+   wire FE_OFN516_u_soc_instr_wdata_15;
+   wire FE_OFN515_u_soc_instr_wdata_16;
+   wire FE_OFN514_u_soc_instr_wdata_16;
+   wire FE_OFN513_u_soc_instr_wdata_16;
+   wire FE_OFN512_u_soc_instr_wdata_16;
+   wire FE_OFN510_u_soc_instr_wdata_16;
+   wire FE_OFN509_u_soc_instr_wdata_16;
+   wire FE_OFN508_u_soc_instr_wdata_17;
+   wire FE_OFN507_u_soc_instr_wdata_17;
+   wire FE_OFN506_u_soc_instr_wdata_17;
+   wire FE_OFN505_u_soc_instr_wdata_17;
+   wire FE_OFN504_u_soc_instr_wdata_17;
+   wire FE_OFN503_u_soc_instr_wdata_17;
+   wire FE_OFN502_u_soc_instr_wdata_18;
+   wire FE_OFN501_u_soc_instr_wdata_18;
+   wire FE_OFN500_u_soc_instr_wdata_18;
+   wire FE_OFN499_u_soc_instr_wdata_18;
+   wire FE_OFN497_u_soc_instr_wdata_18;
+   wire FE_OFN495_u_soc_instr_wdata_19;
+   wire FE_OFN494_u_soc_instr_wdata_19;
+   wire FE_OFN493_u_soc_instr_wdata_19;
+   wire FE_OFN492_u_soc_instr_wdata_19;
+   wire FE_OFN491_u_soc_instr_wdata_19;
+   wire FE_OFN490_u_soc_instr_wdata_19;
+   wire FE_OFN489_u_soc_instr_wdata_19;
+   wire FE_OFN488_u_soc_instr_wdata_20;
+   wire FE_OFN487_u_soc_instr_wdata_20;
+   wire FE_OFN486_u_soc_instr_wdata_20;
+   wire FE_OFN485_u_soc_instr_wdata_20;
+   wire FE_OFN484_u_soc_instr_wdata_20;
+   wire FE_OFN483_u_soc_instr_wdata_20;
+   wire FE_OFN482_u_soc_instr_wdata_20;
+   wire FE_OFN481_u_soc_instr_wdata_21;
+   wire FE_OFN480_u_soc_instr_wdata_21;
+   wire FE_OFN479_u_soc_instr_wdata_21;
+   wire FE_OFN478_u_soc_instr_wdata_21;
+   wire FE_OFN477_u_soc_instr_wdata_21;
+   wire FE_OFN476_u_soc_instr_wdata_21;
+   wire FE_OFN475_u_soc_instr_wdata_21;
+   wire FE_OFN474_u_soc_instr_wdata_22;
+   wire FE_OFN473_u_soc_instr_wdata_22;
+   wire FE_OFN472_u_soc_instr_wdata_22;
+   wire FE_OFN471_u_soc_instr_wdata_22;
+   wire FE_OFN469_u_soc_instr_wdata_22;
+   wire FE_OFN468_u_soc_instr_wdata_23;
+   wire FE_OFN467_u_soc_instr_wdata_23;
+   wire FE_OFN466_u_soc_instr_wdata_23;
+   wire FE_OFN465_u_soc_instr_wdata_23;
+   wire FE_OFN463_u_soc_instr_wdata_23;
+   wire FE_OFN462_u_soc_instr_wdata_23;
+   wire FE_OFN461_u_soc_instr_wdata_24;
+   wire FE_OFN460_u_soc_instr_wdata_24;
+   wire FE_OFN459_u_soc_instr_wdata_24;
+   wire FE_OFN458_u_soc_instr_wdata_24;
+   wire FE_OFN457_u_soc_instr_wdata_24;
+   wire FE_OFN456_u_soc_instr_wdata_24;
+   wire FE_OFN454_u_soc_instr_wdata_25;
+   wire FE_OFN453_u_soc_instr_wdata_25;
+   wire FE_OFN452_u_soc_instr_wdata_25;
+   wire FE_OFN451_u_soc_instr_wdata_25;
+   wire FE_OFN450_u_soc_instr_wdata_25;
+   wire FE_OFN449_u_soc_instr_wdata_26;
+   wire FE_OFN448_u_soc_instr_wdata_26;
+   wire FE_OFN447_u_soc_instr_wdata_26;
+   wire FE_OFN446_u_soc_instr_wdata_26;
+   wire FE_OFN445_u_soc_instr_wdata_26;
+   wire FE_OFN444_u_soc_instr_wdata_26;
+   wire FE_OFN443_u_soc_instr_wdata_26;
+   wire FE_OFN442_u_soc_instr_wdata_27;
+   wire FE_OFN441_u_soc_instr_wdata_27;
+   wire FE_OFN440_u_soc_instr_wdata_27;
+   wire FE_OFN439_u_soc_instr_wdata_27;
+   wire FE_OFN438_u_soc_instr_wdata_27;
+   wire FE_OFN437_u_soc_instr_wdata_27;
+   wire FE_OFN436_u_soc_instr_wdata_27;
+   wire FE_OFN435_u_soc_instr_wdata_28;
+   wire FE_OFN434_u_soc_instr_wdata_28;
+   wire FE_OFN433_u_soc_instr_wdata_28;
+   wire FE_OFN432_u_soc_instr_wdata_28;
+   wire FE_OFN431_u_soc_instr_wdata_28;
+   wire FE_OFN430_u_soc_instr_wdata_28;
+   wire FE_OFN429_u_soc_instr_wdata_28;
+   wire FE_OFN428_u_soc_instr_wdata_29;
+   wire FE_OFN427_u_soc_instr_wdata_29;
+   wire FE_OFN426_u_soc_instr_wdata_29;
+   wire FE_OFN425_u_soc_instr_wdata_29;
+   wire FE_OFN424_u_soc_instr_wdata_29;
+   wire FE_OFN422_u_soc_instr_wdata_30;
+   wire FE_OFN421_u_soc_instr_wdata_30;
+   wire FE_OFN420_u_soc_instr_wdata_30;
+   wire FE_OFN419_u_soc_instr_wdata_30;
+   wire FE_OFN418_u_soc_instr_wdata_30;
+   wire FE_OFN417_u_soc_instr_wdata_30;
+   wire FE_OFN416_u_soc_instr_wdata_30;
+   wire FE_OFN415_u_soc_instr_wdata_31;
+   wire FE_OFN414_u_soc_instr_wdata_31;
+   wire FE_OFN413_u_soc_instr_wdata_31;
+   wire FE_OFN412_u_soc_instr_wdata_31;
+   wire FE_OFN411_u_soc_instr_wdata_31;
+   wire FE_OFN355_u_soc_u_dccm_rdata3_23;
+   wire FE_OFN330_u_soc_u_dccm_rdata2_22;
+   wire FE_OFN326_u_soc_u_dccm_rdata2_26;
+   wire FE_OFN324_u_soc_u_dccm_rdata2_28;
+   wire FE_OFN320_u_soc_u_dccm_rdata1_0;
+   wire FE_OFN319_u_soc_u_dccm_rdata1_1;
+   wire FE_OFN318_u_soc_u_dccm_rdata1_2;
+   wire FE_OFN317_u_soc_u_dccm_rdata1_3;
+   wire FE_OFN316_u_soc_u_dccm_rdata1_4;
+   wire FE_OFN315_u_soc_u_dccm_rdata1_5;
+   wire FE_OFN314_u_soc_u_dccm_rdata1_6;
+   wire FE_OFN313_u_soc_u_dccm_rdata1_7;
+   wire FE_OFN312_u_soc_u_dccm_rdata1_8;
+   wire FE_OFN311_u_soc_u_dccm_rdata1_9;
+   wire FE_OFN310_u_soc_u_dccm_rdata1_10;
+   wire FE_OFN309_u_soc_u_dccm_rdata1_11;
+   wire FE_OFN308_u_soc_u_dccm_rdata1_12;
+   wire FE_OFN307_u_soc_u_dccm_rdata1_13;
+   wire FE_OFN306_u_soc_u_dccm_rdata1_14;
+   wire FE_OFN305_u_soc_u_dccm_rdata1_15;
+   wire FE_OFN304_u_soc_u_dccm_rdata1_16;
+   wire FE_OFN303_u_soc_u_dccm_rdata1_17;
+   wire FE_OFN302_u_soc_u_dccm_rdata1_18;
+   wire FE_OFN301_u_soc_u_dccm_rdata1_19;
+   wire FE_OFN300_u_soc_u_dccm_rdata1_20;
+   wire FE_OFN299_u_soc_u_dccm_rdata1_21;
+   wire FE_OFN298_u_soc_u_dccm_rdata1_22;
+   wire FE_OFN297_u_soc_u_dccm_rdata1_23;
+   wire FE_OFN296_u_soc_u_dccm_rdata1_24;
+   wire FE_OFN295_u_soc_u_dccm_rdata1_25;
+   wire FE_OFN294_u_soc_u_dccm_rdata1_26;
+   wire FE_OFN293_u_soc_u_dccm_rdata1_27;
+   wire FE_OFN292_u_soc_u_dccm_rdata1_28;
+   wire FE_OFN291_u_soc_u_dccm_rdata1_29;
+   wire FE_OFN290_u_soc_u_dccm_rdata1_30;
+   wire FE_OFN289_u_soc_u_dccm_rdata1_31;
+   wire FE_OFN288_u_soc_data_wdata_0;
+   wire FE_OFN287_u_soc_data_wdata_0;
+   wire FE_OFN286_u_soc_data_wdata_0;
+   wire FE_OFN285_u_soc_data_wdata_0;
+   wire FE_OFN284_u_soc_data_wdata_0;
+   wire FE_OFN283_u_soc_data_wdata_0;
+   wire FE_OFN282_u_soc_data_wdata_0;
+   wire FE_OFN281_u_soc_data_wdata_1;
+   wire FE_OFN280_u_soc_data_wdata_1;
+   wire FE_OFN279_u_soc_data_wdata_1;
+   wire FE_OFN278_u_soc_data_wdata_1;
+   wire FE_OFN277_u_soc_data_wdata_1;
+   wire FE_OFN276_u_soc_data_wdata_1;
+   wire FE_OFN275_u_soc_data_wdata_2;
+   wire FE_OFN274_u_soc_data_wdata_2;
+   wire FE_OFN273_u_soc_data_wdata_2;
+   wire FE_OFN272_u_soc_data_wdata_2;
+   wire FE_OFN271_u_soc_data_wdata_2;
+   wire FE_OFN270_u_soc_data_wdata_2;
+   wire FE_OFN269_u_soc_data_wdata_3;
+   wire FE_OFN268_u_soc_data_wdata_3;
+   wire FE_OFN267_u_soc_data_wdata_3;
+   wire FE_OFN266_u_soc_data_wdata_3;
+   wire FE_OFN265_u_soc_data_wdata_3;
+   wire FE_OFN264_u_soc_data_wdata_3;
+   wire FE_OFN263_u_soc_data_wdata_3;
+   wire FE_OFN262_u_soc_data_wdata_4;
+   wire FE_OFN261_u_soc_data_wdata_4;
+   wire FE_OFN260_u_soc_data_wdata_4;
+   wire FE_OFN259_u_soc_data_wdata_4;
+   wire FE_OFN258_u_soc_data_wdata_4;
+   wire FE_OFN257_u_soc_data_wdata_4;
+   wire FE_OFN255_u_soc_data_wdata_5;
+   wire FE_OFN254_u_soc_data_wdata_5;
+   wire FE_OFN253_u_soc_data_wdata_5;
+   wire FE_OFN251_u_soc_data_wdata_5;
+   wire FE_OFN250_u_soc_data_wdata_5;
+   wire FE_OFN249_u_soc_data_wdata_5;
+   wire FE_OFN248_u_soc_data_wdata_6;
+   wire FE_OFN247_u_soc_data_wdata_6;
+   wire FE_OFN244_u_soc_data_wdata_6;
+   wire FE_OFN243_u_soc_data_wdata_6;
+   wire FE_OFN242_u_soc_data_wdata_7;
+   wire FE_OFN241_u_soc_data_wdata_7;
+   wire FE_OFN238_u_soc_data_wdata_7;
+   wire FE_OFN237_u_soc_data_wdata_7;
+   wire FE_OFN236_u_soc_data_wdata_7;
+   wire FE_OFN235_u_soc_data_wdata_8;
+   wire FE_OFN234_u_soc_data_wdata_8;
+   wire FE_OFN233_u_soc_data_wdata_8;
+   wire FE_OFN232_u_soc_data_wdata_8;
+   wire FE_OFN231_u_soc_data_wdata_8;
+   wire FE_OFN230_u_soc_data_wdata_8;
+   wire FE_OFN229_u_soc_data_wdata_14;
+   wire FE_OFN228_u_soc_data_wdata_14;
+   wire FE_OFN227_u_soc_data_wdata_14;
+   wire FE_OFN226_u_soc_data_wdata_14;
+   wire FE_OFN225_u_soc_data_wdata_14;
+   wire FE_OFN223_u_soc_data_wdata_14;
+   wire FE_OFN222_u_soc_data_wdata_15;
+   wire FE_OFN221_u_soc_data_wdata_15;
+   wire FE_OFN220_u_soc_data_wdata_15;
+   wire FE_OFN219_u_soc_data_wdata_15;
+   wire FE_OFN218_u_soc_data_wdata_15;
+   wire FE_OFN216_u_soc_data_wdata_15;
+   wire FE_OFN215_u_soc_data_wdata_17;
+   wire FE_OFN214_u_soc_data_wdata_17;
+   wire FE_OFN213_u_soc_data_wdata_17;
+   wire FE_OFN212_u_soc_data_wdata_17;
+   wire FE_OFN211_u_soc_data_wdata_17;
+   wire FE_OFN209_u_soc_data_wdata_17;
+   wire FE_OFN207_u_soc_data_wdata_20;
+   wire FE_OFN206_u_soc_data_wdata_20;
+   wire FE_OFN205_u_soc_data_wdata_20;
+   wire FE_OFN204_u_soc_data_wdata_20;
+   wire FE_OFN203_u_soc_data_wdata_20;
+   wire FE_OFN202_u_soc_data_wdata_20;
+   wire FE_OFN201_u_soc_data_wdata_21;
+   wire FE_OFN199_u_soc_data_wdata_21;
+   wire FE_OFN198_u_soc_data_wdata_21;
+   wire FE_OFN197_u_soc_data_wdata_21;
+   wire FE_OFN196_u_soc_data_wdata_21;
+   wire FE_OFN195_u_soc_data_wdata_21;
+   wire FE_OFN194_u_soc_data_wdata_28;
+   wire FE_OFN193_u_soc_data_wdata_28;
+   wire FE_OFN192_u_soc_data_wdata_28;
+   wire FE_OFN190_u_soc_data_wdata_28;
+   wire FE_OFN189_u_soc_data_wdata_28;
+   wire FE_OFN187_u_soc_data_wdata_29;
+   wire FE_OFN186_u_soc_data_wdata_29;
+   wire FE_OFN184_u_soc_data_wdata_29;
+   wire FE_OFN183_u_soc_data_wdata_29;
+   wire FE_OFN182_u_soc_data_wdata_29;
+   wire FE_OFN180_u_soc_data_wdata_30;
+   wire FE_OFN179_u_soc_data_wdata_30;
+   wire FE_OFN177_u_soc_data_wdata_30;
+   wire FE_OFN176_u_soc_data_wdata_30;
+   wire FE_OFN175_u_soc_data_wdata_30;
+   wire FE_OFN174_u_soc_data_wdata_31;
+   wire FE_OFN172_u_soc_data_wdata_31;
+   wire FE_OFN171_u_soc_data_wdata_31;
+   wire FE_OFN170_u_soc_data_wdata_31;
+   wire FE_OFN169_u_soc_data_wdata_31;
+   wire FE_OFN168_u_soc_data_wdata_31;
+   wire FE_OFN167_io_oeb_24;
+   wire FE_OFN166_io_oeb_24;
+   wire FE_OFN164_io_out_34;
+   wire FE_OFN163_io_out_34;
+   wire FE_OFN162_io_in_5;
+   wire FE_OFN160_io_in_24;
+   wire FE_OFN159_io_in_24;
+   wire FE_OFN158_io_in_25;
+   wire FE_OFN157_io_in_26;
+   wire FE_OFN156_io_in_27;
+   wire FE_OFN154_io_in_29;
+   wire FE_OFN153_io_out_37;
+   wire FE_OFN152_io_out_37;
+   wire FE_OFN151_io_out_37;
+   wire FE_OFN150_io_out_37;
+   wire FE_OFN149_io_out_37;
+   wire FE_OFN148_io_out_37;
+   wire FE_OFN147_io_out_37;
+   wire FE_OFN146_io_out_37;
+   wire FE_OFN145_io_out_37;
+   wire FE_OFN144_io_out_37;
+   wire FE_OFN143_io_out_37;
+   wire FE_OFN142_io_out_37;
+   wire FE_OFN141_io_out_37;
+   wire FE_OFN140_io_out_37;
+   wire FE_OFN139_io_out_37;
+   wire FE_OFN138_io_out_37;
+   wire FE_OFN137_io_out_37;
+   wire FE_OFN136_io_out_37;
+   wire FE_OFN135_io_out_37;
+   wire FE_OFN134_io_out_37;
+   wire FE_OFN133_io_out_37;
+   wire FE_OFN132_io_out_37;
+   wire FE_OFN131_io_out_37;
+   wire FE_OFN130_io_out_37;
+   wire FE_OFN129_io_out_37;
+   wire FE_OFN128_io_out_37;
+   wire FE_OFN127_io_out_37;
+   wire FE_OFN126_io_out_37;
+   wire FE_OFN125_io_out_37;
+   wire FE_OFN124_io_out_37;
+   wire FE_OFN123_io_out_37;
+   wire FE_OFN122_io_out_37;
+   wire FE_OFN121_io_out_37;
+   wire FE_OFN120_io_out_37;
+   wire FE_OFN119_io_out_37;
+   wire FE_OFN118_io_out_37;
+   wire FE_OFN117_io_out_37;
+   wire FE_OFN116_io_out_37;
+   wire FE_OFN115_io_out_37;
+   wire FE_OFN114_io_out_37;
+   wire FE_OFN113_io_out_37;
+   wire FE_OFN112_io_out_37;
+   wire FE_OFN111_io_out_37;
+   wire FE_OFN110_io_out_37;
+   wire FE_OFN109_io_out_37;
+   wire FE_OFN108_io_out_37;
+   wire FE_OFN107_io_out_37;
+   wire FE_OFN106_io_out_37;
+   wire FE_OFN105_io_out_37;
+   wire FE_OFN104_io_out_37;
+   wire FE_OFN103_io_out_37;
+   wire FE_OFN102_io_out_37;
+   wire FE_OFN101_io_out_37;
+   wire FE_OFN100_io_out_37;
+   wire FE_OFN99_io_out_37;
+   wire FE_OFN98_io_out_37;
+   wire FE_OFN97_io_out_37;
+   wire FE_OFN96_io_out_37;
+   wire FE_OFN95_io_out_37;
+   wire FE_OFN94_io_out_37;
+   wire FE_OFN93_io_out_37;
+   wire FE_OFN92_io_out_37;
+   wire FE_OFN91_io_out_37;
+   wire FE_OFN90_io_out_37;
+   wire FE_OFN89_io_out_37;
+   wire FE_OFN88_io_out_37;
+   wire FE_OFN87_io_out_37;
+   wire FE_OFN85_io_out_37;
+   wire FE_OFN84_io_out_37;
+   wire FE_OFN83_io_out_37;
+   wire FE_OFN82_io_out_37;
+   wire FE_OFN81_io_out_37;
+   wire FE_OFN80_io_out_37;
+   wire FE_OFN79_io_out_37;
+   wire FE_OFN78_io_out_37;
+   wire FE_OFN77_io_out_37;
+   wire FE_OFN76_io_out_37;
+   wire FE_OFN75_io_out_37;
+   wire FE_OFN74_io_out_37;
+   wire FE_OFN73_io_out_37;
+   wire FE_OFN72_io_out_37;
+   wire FE_OFN71_io_out_37;
+   wire FE_OFN70_io_out_37;
+   wire FE_OFN69_io_out_37;
+   wire FE_OFN68_io_out_37;
+   wire FE_OFN66_io_out_37;
+   wire FE_OFN64_io_out_37;
+   wire FE_OFN62_io_out_37;
+   wire FE_OFN61_io_out_37;
+   wire FE_OFN60_io_out_37;
+   wire FE_OFN59_io_out_37;
+   wire FE_OFN58_io_out_37;
+   wire FE_OFN57_io_out_37;
+   wire FE_OFN56_io_out_37;
+   wire FE_OFN55_io_out_37;
+   wire FE_OFN54_io_out_37;
+   wire FE_OFN53_io_out_37;
+   wire FE_OFN52_io_out_37;
+   wire FE_OFN51_io_out_37;
+   wire FE_OFN50_io_out_37;
+   wire FE_OFN49_io_out_37;
+   wire FE_OFN48_io_out_37;
+   wire FE_OFN47_io_out_37;
+   wire FE_OFN46_io_out_37;
+   wire FE_OFN45_io_out_37;
+   wire FE_OFN44_io_out_37;
+   wire FE_OFN43_io_out_37;
+   wire FE_OFN41_io_out_37;
+   wire FE_OFN40_io_out_37;
+   wire FE_OFN39_io_out_37;
+   wire FE_OFN38_io_out_37;
+   wire FE_OFN37_io_out_37;
+   wire FE_OFN35_io_out_37;
+   wire FE_OFN34_io_out_37;
+   wire FE_OFN33_io_out_37;
+   wire FE_OFN32_io_out_37;
+   wire FE_OFN31_io_out_37;
+   wire FE_OFN30_io_out_37;
+   wire FE_OFN29_io_out_37;
+   wire FE_OFN28_io_out_37;
+   wire FE_OFN26_io_out_37;
+   wire FE_OFN25_io_out_37;
+   wire FE_OFN23_io_out_37;
+   wire FE_OFN22_io_out_37;
+   wire FE_OFN21_io_out_37;
+   wire FE_OFN20_io_out_37;
+   wire FE_OFN19_io_out_37;
+   wire FE_OFN18_io_out_37;
+   wire FE_OFN16_io_out_37;
+   wire FE_OFN15_io_out_37;
+   wire FE_OFN13_io_out_37;
+   wire FE_OFN12_io_out_37;
+   wire FE_OFN11_io_out_37;
+   wire FE_OFN7_io_out_37;
+   wire FE_OFN6_io_out_37;
+   wire FE_OFN5_io_out_37;
+   wire FE_OFN1_io_out_37;
+   wire FE_OFN0_io_out_37;
+   wire [31:0] u_soc_data_wdata;
+   wire [31:0] u_soc_u_dccm_rdata1;
+   wire [31:0] u_soc_u_dccm_rdata2;
+   wire [31:0] u_soc_u_dccm_rdata3;
+   wire [31:0] u_soc_u_dccm_rdata4;
+   wire [7:0] u_soc_rx_byte_i;
+   wire [11:0] u_soc_iccm_ctrl_addr_o;
+   wire [31:0] u_soc_iccm_ctrl_data;
+   wire [31:0] u_soc_instr_wdata;
+   wire [31:0] u_soc_u_iccm_rdata1;
+   wire [31:0] u_soc_u_iccm_rdata2;
+   wire [31:0] u_soc_u_iccm_rdata3;
+   wire [31:0] u_soc_u_iccm_rdata4;
+   wire [31:0] \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] ;
+   wire [31:0] u_soc_u_tcam_data_addr;
+   wire [31:0] u_soc_u_tcam_data_wdata;
+   wire [31:0] u_soc_u_tcam_rdata;
+   wire [31:0] u_soc_u_top_u_core_instr_rdata_id;
+   wire [15:0] u_soc_u_top_u_core_instr_rdata_c_id;
+   wire [1:0] u_soc_u_top_u_core_priv_mode_id;
+   wire [2:0] u_soc_u_top_u_core_pc_mux_id;
+   wire [1:0] u_soc_u_top_u_core_exc_pc_mux_id;
+   wire [5:0] u_soc_u_top_u_core_exc_cause;
+   wire [2:0] u_soc_u_top_u_core_debug_cause;
+   wire [31:0] u_soc_u_top_u_core_csr_mtval;
+   wire [2:0] u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec;
+   wire [1:0] u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel;
+   wire [2:0] u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel;
+   wire [5:0] u_soc_u_top_u_core_alu_operator_ex;
+   wire [1:0] u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec;
+   wire [1:0] u_soc_u_top_u_core_multdiv_operator_ex;
+   wire [1:0] u_soc_u_top_u_core_multdiv_signed_mode_ex;
+   wire [1:0] u_soc_u_top_u_core_csr_op;
+   wire [1:0] u_soc_u_top_u_core_lsu_type;
+   wire [15:0] u_soc_baud_reg;
+   wire [15:0] u_soc_u_uart_u_uart_core_control;
+   wire [7:0] u_soc_u_uart_u_uart_core_rx;
+   wire [31:0] u_soc_u_uart_u_uart_core_tx_fifo_data;
+   wire [2:0] u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q;
+   wire [31:0] \u_soc_lsu_to_xbar[a_address] ;
+   wire [31:0] \u_soc_xbar_to_dccm[a_address] ;
+   wire [31:0] u_soc_u_top_u_core_alu_adder_result_ex;
+   wire [31:0] u_soc_u_top_u_core_alu_operand_b_ex;
+   wire [33:0] \u_soc_u_top_u_core_imd_val_q_ex[0] ;
+   wire [32:0] \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q ;
+   wire [32:0] \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q ;
+   wire [31:0] u_soc_u_top_u_core_pc_id;
+   wire [31:0] u_soc_u_top_u_core_lsu_addr_last;
+   wire [1:0] \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q ;
+   wire [1:0] u_soc_main_swith_host_lsu_dev_select_outstanding;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
+   wire [31:0] u_soc_u_top_u_core_rf_wdata_fwd_wb;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
+   wire [31:0] \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
+   wire [2:0] \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [2:0] \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [4:0] u_soc_u_top_u_core_rf_waddr_wb;
+   wire [12:0] \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] ;
+   wire [12:0] \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] ;
+   wire [12:0] \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] ;
+   wire [12:0] \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] ;
+   wire [12:0] \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [12:0] \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [12:0] \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [12:0] \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [2:0] \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [2:0] u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs;
+   wire [2:0] \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q ;
+   wire [2:0] \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [31:0] u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata;
+   wire [31:0] \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] ;
+   wire [31:0] u_soc_u_top_u_core_pc_if;
+   wire [17:0] u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
+   wire [11:0] u_soc_data_addr;
+   wire [3:0] u_soc_data_wmask;
+   wire [11:0] u_soc_instr_addr;
+   wire [3:0] u_soc_instr_wmask;
+   wire [31:0] u_soc_u_uart_u_uart_core_rx_val;
+   wire [31:0] u_soc_u_top_u_core_if_stage_i_if_instr_rdata;
+   wire [31:0] u_soc_u_top_u_core_if_stage_i_fetch_rdata;
+   wire [31:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata ;
+   wire [8:0] u_soc_u_uart_u_uart_core_read_fifo_raddr;
+   wire [31:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] ;
+   wire [2:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q ;
+   wire [7:0] u_soc_u_iccm_addr4;
+   wire [7:0] u_soc_u_iccm_addr2;
+   wire [7:0] u_soc_u_iccm_addr1;
+   wire [7:0] u_soc_u_iccm_addr3;
+   wire [31:0] \u_soc_iccm_to_xbar[d_data] ;
+   wire [32:0] \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [7:0] u_soc_u_dccm_addr2;
+   wire [7:0] u_soc_u_dccm_addr3;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[19] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[23] ;
+   wire [7:0] u_soc_u_dccm_addr1;
+   wire [7:0] u_soc_u_dccm_addr4;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[91] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[155] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[83] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[147] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[191] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[255] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[187] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[251] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[0] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[64] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[172] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[236] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[31] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[223] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[27] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[31] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[11] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[15] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[59] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[63] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[40] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[104] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[168] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[232] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[26] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[30] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[3] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[7] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[58] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[62] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[136] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[200] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[160] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[224] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[43] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[47] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[8] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[72] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[156] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[220] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[128] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[192] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[93] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[157] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[17] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[209] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[89] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[153] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[29] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[221] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[49] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[241] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[185] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[249] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[177] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[137] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[201] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[81] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[145] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[189] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[253] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[25] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[217] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[30] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[222] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[18] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[210] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[190] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[254] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[186] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[250] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[94] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[158] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[90] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[154] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[26] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[218] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[171] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[235] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[3] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[67] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[19] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[211] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[22] ;
+   wire [31:0] \u_soc_xbar_to_dccm[a_data] ;
+   wire [31:0] \u_soc_ifu_to_xbar[a_address] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[61] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[125] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[113] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[21] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[85] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[57] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[121] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[51] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[115] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[55] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[119] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[59] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[123] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[63] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[127] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[51] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[55] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[35] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[39] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[50] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[54] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[34] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[38] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[53] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[117] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[54] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[118] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[58] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[122] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[62] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[126] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[50] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[114] ;
+   wire [1:0] \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[48] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[112] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[12] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[76] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[4] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[68] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[28] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[92] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[15] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[79] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[7] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[71] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[11] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[75] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[99] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[35] ;
+   wire [31:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q ;
+   wire [31:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q ;
+   wire [1:0] \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [31:0] \u_soc_iccm_adapter_inst_mem_wmask_int[0] ;
+   wire [8:0] u_soc_u_uart_u_uart_core_fifo_read_size;
+   wire [1:0] \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [12:0] \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [4:0] \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[41] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[105] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[13] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[77] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[1] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[65] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[45] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[109] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[239] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[175] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[44] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[48] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[203] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[139] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[231] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[167] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[227] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[163] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[195] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[131] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[208] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[144] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[207] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[143] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[60] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[124] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[199] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[135] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[52] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[116] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[61] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[56] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[120] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[13] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[60] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[17] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[216] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[152] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[244] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[180] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[29] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[33] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[16] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[80] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[248] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[184] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[20] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[84] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[45] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[28] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[212] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[148] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[24] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[88] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[240] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[176] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[16] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[252] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[188] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[5] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[69] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[33] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[97] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[205] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[141] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[37] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[101] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[9] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[73] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[197] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[133] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[193] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[129] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[229] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[165] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[233] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[169] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[6] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[70] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[10] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[74] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[14] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[78] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[2] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[66] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[238] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[174] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[202] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[138] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[198] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[134] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[226] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[162] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[42] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[106] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[46] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[110] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[38] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[102] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[234] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[170] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[34] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[98] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[230] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[166] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[194] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[130] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[206] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[142] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[95] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[23] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[87] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[39] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[103] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[8] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[12] ;
+   wire [12:0] \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [31:0] u_soc_u_top_u_core_csr_mtvec;
+   wire [31:0] u_soc_u_top_u_core_csr_mepc;
+   wire [31:0] u_soc_u_top_u_core_csr_depc;
+   wire [2:0] \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [2:0] \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[32] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[96] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[0] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[4] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[25] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[41] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[24] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[57] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[9] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[56] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[173] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[237] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[161] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[225] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[42] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[46] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[183] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[247] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[219] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[179] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[243] ;
+   wire [16:0] u_soc_main_swith_host_lsu_num_req_outstanding;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[49] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[53] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[1] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[5] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[52] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[32] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[36] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[40] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[21] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[37] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[20] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[132] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[196] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[215] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[151] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[27] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[159] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[140] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[204] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[44] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[108] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[36] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[100] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[164] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[228] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[18] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[10] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[14] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[2] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[6] ;
+   wire [1:0] \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[149] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[213] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[245] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[181] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[22] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[214] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[182] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[246] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[86] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[150] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[178] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[242] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[82] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[146] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[47] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[111] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[43] ;
+   wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[107] ;
+   wire [1:0] \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [1:0] \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [1:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q ;
+   wire [1:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy ;
+   wire [1:0] u_soc_u_iccm_bank_sel;
+   wire [4:0] \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [32:0] \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [32:0] \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] ;
+   wire [32:0] \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] ;
+   wire [4:0] \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [4:0] \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [4:0] \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] ;
+   wire [4:0] \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] ;
+   wire [2:0] u_soc_main_swith_host_lsu_err_resp_err_opcode;
+   wire [1:0] u_soc_u_dccm_bank_sel;
+   wire [32:0] \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [32:0] \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] ;
+   wire [32:0] \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] ;
+   wire [4:0] \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [4:0] \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [4:0] \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] ;
+   wire [4:0] \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] ;
+   wire [63:0] \u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] ;
+   wire [63:0] \u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] ;
+   wire [1:0] \u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] ;
+   wire [2:0] \u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] ;
+   wire [31:0] u_soc_u_top_u_core_cs_registers_i_dscratch0_q;
+   wire [31:0] u_soc_u_top_u_core_cs_registers_i_dscratch1_q;
+   wire [5:0] u_soc_u_top_u_core_cs_registers_i_mcause_q;
+   wire [31:0] u_soc_u_top_u_core_cs_registers_i_mscratch_q;
+   wire [31:0] u_soc_u_top_u_core_cs_registers_i_csr_rdata_int;
+   wire [31:0] u_soc_u_top_u_core_cs_registers_i_mtval_q;
+   wire [4:0] \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q ;
+   wire [33:0] \u_soc_u_top_u_core_imd_val_q_ex[1] ;
+   wire [1:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q ;
+   wire [31:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] ;
+   wire [31:0] \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] ;
+   wire [1:0] u_soc_u_top_u_core_load_store_unit_i_data_type_q;
+   wire [1:0] u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q;
+   wire [31:8] u_soc_u_top_u_core_load_store_unit_i_rdata_q;
+   wire [31:0] \u_soc_uart_to_xbar[d_data] ;
+   wire [2:0] \u_soc_uart_to_xbar[d_opcode] ;
+   wire [8:0] u_soc_u_uart_u_uart_core_rx_buffer_size;
+   wire [1:0] u_soc_u_uart_u_uart_core_rx_time_state_reg;
+   wire [31:0] u_soc_u_uart_u_uart_core_rx_time_rx_time;
+   wire [31:0] u_soc_u_uart_u_uart_core_rx_time_rx_timeout;
+   wire [31:0] u_soc_u_uart_u_uart_core_rx_timeout;
+   wire [8:0] u_soc_u_uart_u_uart_core_write_fifo_waddr;
+   wire inc_ADD_UNS_OP2_n_0;
+   wire inc_ADD_UNS_OP2_n_2;
+   wire inc_ADD_UNS_OP2_n_4;
+   wire inc_ADD_UNS_OP2_n_6;
+   wire inc_ADD_UNS_OP2_n_8;
+   wire inc_ADD_UNS_OP2_n_10;
+   wire inc_ADD_UNS_OP2_n_12;
+   wire inc_ADD_UNS_OP2_n_14;
+   wire inc_ADD_UNS_OP2_n_16;
+   wire inc_ADD_UNS_OP2_n_18;
+   wire inc_ADD_UNS_OP2_n_20;
+   wire inc_ADD_UNS_OP2_n_22;
+   wire inc_ADD_UNS_OP2_n_24;
+   wire inc_ADD_UNS_OP2_n_26;
+   wire inc_ADD_UNS_OP2_n_28;
+   wire inc_ADD_UNS_OP2_n_30;
+   wire inc_ADD_UNS_OP2_n_32;
+   wire inc_ADD_UNS_OP2_n_34;
+   wire inc_ADD_UNS_OP2_n_36;
+   wire inc_ADD_UNS_OP2_n_38;
+   wire inc_ADD_UNS_OP2_n_40;
+   wire inc_ADD_UNS_OP2_n_42;
+   wire inc_ADD_UNS_OP2_n_44;
+   wire inc_ADD_UNS_OP2_n_46;
+   wire inc_ADD_UNS_OP2_n_48;
+   wire inc_ADD_UNS_OP2_n_50;
+   wire inc_ADD_UNS_OP2_n_52;
+   wire inc_ADD_UNS_OP2_n_54;
+   wire inc_ADD_UNS_OP2_n_56;
+   wire inc_ADD_UNS_OP2_n_58;
+   wire inc_ADD_UNS_OP2_n_60;
+   wire inc_ADD_UNS_OP2_n_62;
+   wire inc_ADD_UNS_OP2_n_64;
+   wire inc_ADD_UNS_OP2_n_66;
+   wire inc_ADD_UNS_OP2_n_68;
+   wire inc_ADD_UNS_OP2_n_70;
+   wire inc_ADD_UNS_OP2_n_72;
+   wire inc_ADD_UNS_OP2_n_74;
+   wire inc_ADD_UNS_OP2_n_76;
+   wire inc_ADD_UNS_OP2_n_78;
+   wire inc_ADD_UNS_OP2_n_80;
+   wire inc_ADD_UNS_OP2_n_82;
+   wire inc_ADD_UNS_OP2_n_84;
+   wire inc_ADD_UNS_OP2_n_86;
+   wire inc_ADD_UNS_OP2_n_88;
+   wire inc_ADD_UNS_OP2_n_90;
+   wire inc_ADD_UNS_OP2_n_92;
+   wire inc_ADD_UNS_OP2_n_94;
+   wire inc_ADD_UNS_OP2_n_96;
+   wire inc_ADD_UNS_OP2_n_98;
+   wire inc_ADD_UNS_OP2_n_100;
+   wire inc_ADD_UNS_OP2_n_102;
+   wire inc_ADD_UNS_OP2_n_104;
+   wire inc_ADD_UNS_OP2_n_106;
+   wire inc_ADD_UNS_OP2_n_108;
+   wire inc_ADD_UNS_OP2_n_110;
+   wire inc_ADD_UNS_OP2_n_112;
+   wire inc_ADD_UNS_OP2_n_114;
+   wire inc_ADD_UNS_OP2_n_116;
+   wire inc_ADD_UNS_OP2_n_118;
+   wire inc_ADD_UNS_OP2_n_120;
+   wire inc_ADD_UNS_OP2_n_123;
+   wire inc_ADD_UNS_OP2_n_124;
+   wire inc_ADD_UNS_OP_n_0;
+   wire inc_ADD_UNS_OP_n_2;
+   wire inc_ADD_UNS_OP_n_4;
+   wire inc_ADD_UNS_OP_n_6;
+   wire inc_ADD_UNS_OP_n_8;
+   wire inc_ADD_UNS_OP_n_10;
+   wire inc_ADD_UNS_OP_n_12;
+   wire inc_ADD_UNS_OP_n_14;
+   wire inc_ADD_UNS_OP_n_16;
+   wire inc_ADD_UNS_OP_n_18;
+   wire inc_ADD_UNS_OP_n_20;
+   wire inc_ADD_UNS_OP_n_22;
+   wire inc_ADD_UNS_OP_n_24;
+   wire inc_ADD_UNS_OP_n_26;
+   wire inc_ADD_UNS_OP_n_28;
+   wire inc_ADD_UNS_OP_n_30;
+   wire inc_ADD_UNS_OP_n_32;
+   wire inc_ADD_UNS_OP_n_34;
+   wire inc_ADD_UNS_OP_n_36;
+   wire inc_ADD_UNS_OP_n_38;
+   wire inc_ADD_UNS_OP_n_40;
+   wire inc_ADD_UNS_OP_n_42;
+   wire inc_ADD_UNS_OP_n_44;
+   wire inc_ADD_UNS_OP_n_46;
+   wire inc_ADD_UNS_OP_n_48;
+   wire inc_ADD_UNS_OP_n_50;
+   wire inc_ADD_UNS_OP_n_52;
+   wire inc_ADD_UNS_OP_n_54;
+   wire inc_ADD_UNS_OP_n_56;
+   wire inc_ADD_UNS_OP_n_58;
+   wire inc_ADD_UNS_OP_n_60;
+   wire inc_ADD_UNS_OP_n_62;
+   wire inc_ADD_UNS_OP_n_64;
+   wire inc_ADD_UNS_OP_n_66;
+   wire inc_ADD_UNS_OP_n_68;
+   wire inc_ADD_UNS_OP_n_70;
+   wire inc_ADD_UNS_OP_n_72;
+   wire inc_ADD_UNS_OP_n_74;
+   wire inc_ADD_UNS_OP_n_76;
+   wire inc_ADD_UNS_OP_n_78;
+   wire inc_ADD_UNS_OP_n_80;
+   wire inc_ADD_UNS_OP_n_82;
+   wire inc_ADD_UNS_OP_n_84;
+   wire inc_ADD_UNS_OP_n_86;
+   wire inc_ADD_UNS_OP_n_88;
+   wire inc_ADD_UNS_OP_n_90;
+   wire inc_ADD_UNS_OP_n_92;
+   wire inc_ADD_UNS_OP_n_94;
+   wire inc_ADD_UNS_OP_n_96;
+   wire inc_ADD_UNS_OP_n_98;
+   wire inc_ADD_UNS_OP_n_100;
+   wire inc_ADD_UNS_OP_n_102;
+   wire inc_ADD_UNS_OP_n_104;
+   wire inc_ADD_UNS_OP_n_106;
+   wire inc_ADD_UNS_OP_n_108;
+   wire inc_ADD_UNS_OP_n_110;
+   wire inc_ADD_UNS_OP_n_112;
+   wire inc_ADD_UNS_OP_n_114;
+   wire inc_ADD_UNS_OP_n_116;
+   wire inc_ADD_UNS_OP_n_118;
+   wire inc_ADD_UNS_OP_n_120;
+   wire inc_ADD_UNS_OP_n_123;
+   wire inc_ADD_UNS_OP_n_124;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_0;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_2;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_4;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_6;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_8;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_10;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_12;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_14;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_16;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_18;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_20;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_22;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_24;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_27;
+   wire inc_u_soc_main_swith_host_lsu_add_105_52_n_28;
+   wire logic_0_1_net;
+   wire logic_0_2_net;
+   wire logic_0_3_net;
+   wire logic_0_4_net;
+   wire logic_0_5_net;
+   wire logic_0_6_net;
+   wire logic_0_7_net;
+   wire logic_0_8_net;
+   wire logic_0_9_net;
+   wire logic_0_10_net;
+   wire logic_0_11_net;
+   wire logic_0_12_net;
+   wire logic_0_13_net;
+   wire logic_0_14_net;
+   wire logic_0_15_net;
+   wire logic_0_16_net;
+   wire logic_0_17_net;
+   wire logic_0_18_net;
+   wire logic_0_19_net;
+   wire logic_0_20_net;
+   wire logic_0_21_net;
+   wire logic_0_22_net;
+   wire logic_0_23_net;
+   wire logic_0_24_net;
+   wire logic_0_25_net;
+   wire logic_0_26_net;
+   wire logic_0_27_net;
+   wire logic_0_28_net;
+   wire logic_0_29_net;
+   wire logic_0_30_net;
+   wire logic_0_31_net;
+   wire logic_0_32_net;
+   wire logic_0_33_net;
+   wire logic_0_34_net;
+   wire logic_0_35_net;
+   wire logic_0_36_net;
+   wire logic_0_37_net;
+   wire logic_0_38_net;
+   wire logic_0_39_net;
+   wire logic_0_40_net;
+   wire logic_0_41_net;
+   wire logic_0_42_net;
+   wire logic_0_43_net;
+   wire logic_0_44_net;
+   wire logic_0_45_net;
+   wire logic_0_46_net;
+   wire logic_0_47_net;
+   wire logic_0_48_net;
+   wire logic_0_49_net;
+   wire logic_0_50_net;
+   wire logic_0_51_net;
+   wire logic_0_52_net;
+   wire logic_0_53_net;
+   wire logic_0_54_net;
+   wire logic_0_55_net;
+   wire logic_0_56_net;
+   wire logic_0_57_net;
+   wire logic_0_58_net;
+   wire logic_0_59_net;
+   wire logic_0_60_net;
+   wire logic_0_61_net;
+   wire logic_0_62_net;
+   wire logic_0_63_net;
+   wire logic_0_64_net;
+   wire logic_0_65_net;
+   wire logic_0_66_net;
+   wire logic_0_67_net;
+   wire logic_0_68_net;
+   wire logic_0_69_net;
+   wire logic_0_70_net;
+   wire logic_0_71_net;
+   wire logic_0_72_net;
+   wire logic_0_73_net;
+   wire n_0;
+   wire n_1;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_14;
+   wire n_15;
+   wire n_16;
+   wire n_17;
+   wire n_19;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_32;
+   wire n_43;
+   wire n_47;
+   wire n_53;
+   wire n_62;
+   wire n_71;
+   wire n_82;
+   wire n_89;
+   wire n_94;
+   wire n_98;
+   wire n_104;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_121;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_152;
+   wire n_153;
+   wire n_157;
+   wire n_164;
+   wire n_175;
+   wire n_188;
+   wire n_195;
+   wire n_198;
+   wire n_437;
+   wire n_467;
+   wire n_468;
+   wire n_469;
+   wire n_470;
+   wire n_471;
+   wire n_472;
+   wire n_473;
+   wire n_474;
+   wire n_475;
+   wire n_476;
+   wire n_477;
+   wire n_478;
+   wire n_479;
+   wire n_480;
+   wire n_487;
+   wire n_494;
+   wire n_495;
+   wire n_496;
+   wire n_497;
+   wire n_499;
+   wire n_511;
+   wire n_522;
+   wire n_533;
+   wire n_534;
+   wire n_536;
+   wire n_547;
+   wire n_555;
+   wire n_556;
+   wire n_557;
+   wire n_559;
+   wire n_568;
+   wire n_577;
+   wire n_579;
+   wire n_587;
+   wire n_589;
+   wire n_597;
+   wire n_599;
+   wire n_610;
+   wire n_614;
+   wire n_615;
+   wire n_616;
+   wire n_617;
+   wire n_618;
+   wire n_619;
+   wire n_620;
+   wire n_621;
+   wire n_622;
+   wire n_623;
+   wire n_624;
+   wire n_625;
+   wire n_626;
+   wire n_633;
+   wire n_634;
+   wire n_635;
+   wire n_636;
+   wire n_637;
+   wire n_638;
+   wire n_639;
+   wire n_640;
+   wire n_641;
+   wire n_642;
+   wire n_646;
+   wire n_652;
+   wire n_653;
+   wire n_654;
+   wire n_657;
+   wire n_661;
+   wire n_665;
+   wire n_666;
+   wire n_669;
+   wire n_670;
+   wire n_689;
+   wire n_690;
+   wire n_720;
+   wire n_728;
+   wire n_730;
+   wire n_831;
+   wire n_955;
+   wire n_981;
+   wire n_1010;
+   wire n_1011;
+   wire n_1012;
+   wire n_1013;
+   wire n_1014;
+   wire n_1015;
+   wire n_1016;
+   wire n_1017;
+   wire n_1018;
+   wire n_1019;
+   wire n_1020;
+   wire n_1021;
+   wire n_1022;
+   wire n_1023;
+   wire n_1024;
+   wire n_1025;
+   wire n_1026;
+   wire n_1027;
+   wire n_1028;
+   wire n_1029;
+   wire n_1030;
+   wire n_1031;
+   wire n_1032;
+   wire n_1033;
+   wire n_1034;
+   wire n_1035;
+   wire n_1036;
+   wire n_1037;
+   wire n_1038;
+   wire n_1039;
+   wire n_1040;
+   wire n_1042;
+   wire n_1043;
+   wire n_1044;
+   wire n_1045;
+   wire n_1046;
+   wire n_1047;
+   wire n_1048;
+   wire n_1049;
+   wire n_1050;
+   wire n_1051;
+   wire n_1052;
+   wire n_1053;
+   wire n_1054;
+   wire n_1055;
+   wire n_1056;
+   wire n_1057;
+   wire n_1058;
+   wire n_1059;
+   wire n_1060;
+   wire n_1061;
+   wire n_1062;
+   wire n_1063;
+   wire n_1064;
+   wire n_1065;
+   wire n_1066;
+   wire n_1067;
+   wire n_1068;
+   wire n_1069;
+   wire n_1070;
+   wire n_1071;
+   wire n_1072;
+   wire n_1073;
+   wire n_1074;
+   wire n_1075;
+   wire n_1076;
+   wire n_1077;
+   wire n_1078;
+   wire n_1079;
+   wire n_1080;
+   wire n_1081;
+   wire n_1082;
+   wire n_1083;
+   wire n_1084;
+   wire n_1085;
+   wire n_1086;
+   wire n_1087;
+   wire n_1088;
+   wire n_1089;
+   wire n_1090;
+   wire n_1091;
+   wire n_1092;
+   wire n_1093;
+   wire n_1094;
+   wire n_1095;
+   wire n_1096;
+   wire n_1097;
+   wire n_1098;
+   wire n_1099;
+   wire n_1100;
+   wire n_1101;
+   wire n_1102;
+   wire n_1103;
+   wire n_1105;
+   wire n_1106;
+   wire n_1107;
+   wire n_1108;
+   wire n_1109;
+   wire n_1110;
+   wire n_1111;
+   wire n_1112;
+   wire n_1113;
+   wire n_1114;
+   wire n_1115;
+   wire n_1116;
+   wire n_1117;
+   wire n_1118;
+   wire n_1119;
+   wire n_1120;
+   wire n_1121;
+   wire n_1122;
+   wire n_1123;
+   wire n_1124;
+   wire n_1125;
+   wire n_1126;
+   wire n_1127;
+   wire n_1128;
+   wire n_1129;
+   wire n_1130;
+   wire n_1131;
+   wire n_1132;
+   wire n_1133;
+   wire n_1134;
+   wire n_1135;
+   wire n_1136;
+   wire n_1137;
+   wire n_1138;
+   wire n_1139;
+   wire n_1140;
+   wire n_1141;
+   wire n_1142;
+   wire n_1143;
+   wire n_1144;
+   wire n_1145;
+   wire n_1146;
+   wire n_1147;
+   wire n_1148;
+   wire n_1149;
+   wire n_1150;
+   wire n_1151;
+   wire n_1152;
+   wire n_1153;
+   wire n_1154;
+   wire n_1155;
+   wire n_1156;
+   wire n_1157;
+   wire n_1158;
+   wire n_1159;
+   wire n_1160;
+   wire n_1161;
+   wire n_1162;
+   wire n_1163;
+   wire n_1164;
+   wire n_1165;
+   wire n_1166;
+   wire n_1168;
+   wire n_1169;
+   wire n_1170;
+   wire n_1171;
+   wire n_1172;
+   wire n_1173;
+   wire n_1174;
+   wire n_1175;
+   wire n_1176;
+   wire n_1177;
+   wire n_1178;
+   wire n_1179;
+   wire n_1180;
+   wire n_1181;
+   wire n_1182;
+   wire n_1183;
+   wire n_1184;
+   wire n_1185;
+   wire n_1186;
+   wire n_1187;
+   wire n_1188;
+   wire n_1189;
+   wire n_1191;
+   wire n_1192;
+   wire n_1194;
+   wire n_1195;
+   wire n_1196;
+   wire n_1197;
+   wire n_1198;
+   wire n_1199;
+   wire n_1200;
+   wire n_1201;
+   wire n_1202;
+   wire n_1203;
+   wire n_1204;
+   wire n_1205;
+   wire n_1206;
+   wire n_1207;
+   wire n_1208;
+   wire n_1209;
+   wire n_1210;
+   wire n_1211;
+   wire n_1212;
+   wire n_1213;
+   wire n_1214;
+   wire n_1215;
+   wire n_1216;
+   wire n_1217;
+   wire n_1218;
+   wire n_1219;
+   wire n_1220;
+   wire n_1221;
+   wire n_1222;
+   wire n_1223;
+   wire n_1224;
+   wire n_1225;
+   wire n_1226;
+   wire n_1227;
+   wire n_1228;
+   wire n_1229;
+   wire n_1230;
+   wire n_1231;
+   wire n_1233;
+   wire n_1234;
+   wire n_1235;
+   wire n_1236;
+   wire n_1237;
+   wire n_1238;
+   wire n_1239;
+   wire n_1240;
+   wire n_1241;
+   wire n_1243;
+   wire n_1244;
+   wire n_1245;
+   wire n_1246;
+   wire n_1248;
+   wire n_1249;
+   wire n_1250;
+   wire n_1251;
+   wire n_1252;
+   wire n_1253;
+   wire n_1254;
+   wire n_1255;
+   wire n_1256;
+   wire n_1257;
+   wire n_1258;
+   wire n_1259;
+   wire n_1260;
+   wire n_1261;
+   wire n_1262;
+   wire n_1263;
+   wire n_1264;
+   wire n_1266;
+   wire n_1267;
+   wire n_1268;
+   wire n_1269;
+   wire n_1270;
+   wire n_1271;
+   wire n_1272;
+   wire n_1273;
+   wire n_1274;
+   wire n_1276;
+   wire n_1277;
+   wire n_1278;
+   wire n_1279;
+   wire n_1280;
+   wire n_1281;
+   wire n_1282;
+   wire n_1283;
+   wire n_1284;
+   wire n_1285;
+   wire n_1286;
+   wire n_1287;
+   wire n_1288;
+   wire n_1289;
+   wire n_1290;
+   wire n_1292;
+   wire n_1293;
+   wire n_1294;
+   wire n_1295;
+   wire n_1296;
+   wire n_1297;
+   wire n_1298;
+   wire n_1299;
+   wire n_1300;
+   wire n_1301;
+   wire n_1302;
+   wire n_1304;
+   wire n_1305;
+   wire n_1306;
+   wire n_1307;
+   wire n_1308;
+   wire n_1309;
+   wire n_1310;
+   wire n_1311;
+   wire n_1312;
+   wire n_1313;
+   wire n_1314;
+   wire n_1315;
+   wire n_1316;
+   wire n_1317;
+   wire n_1318;
+   wire n_1319;
+   wire n_1320;
+   wire n_1321;
+   wire n_1322;
+   wire n_1323;
+   wire n_1324;
+   wire n_1325;
+   wire n_1326;
+   wire n_1327;
+   wire n_1328;
+   wire n_1329;
+   wire n_1330;
+   wire n_1331;
+   wire n_1332;
+   wire n_1333;
+   wire n_1334;
+   wire n_1335;
+   wire n_1336;
+   wire n_1337;
+   wire n_1338;
+   wire n_1339;
+   wire n_1340;
+   wire n_1341;
+   wire n_1342;
+   wire n_1343;
+   wire n_1344;
+   wire n_1345;
+   wire n_1346;
+   wire n_1347;
+   wire n_1348;
+   wire n_1349;
+   wire n_1350;
+   wire n_1351;
+   wire n_1352;
+   wire n_1353;
+   wire n_1354;
+   wire n_1355;
+   wire n_1356;
+   wire n_1357;
+   wire n_1358;
+   wire n_1359;
+   wire n_1360;
+   wire n_1361;
+   wire n_1362;
+   wire n_1363;
+   wire n_1364;
+   wire n_1365;
+   wire n_1366;
+   wire n_1367;
+   wire n_1368;
+   wire n_1369;
+   wire n_1370;
+   wire n_1371;
+   wire n_1372;
+   wire n_1373;
+   wire n_1374;
+   wire n_1375;
+   wire n_1376;
+   wire n_1377;
+   wire n_1378;
+   wire n_1379;
+   wire n_1380;
+   wire n_1381;
+   wire n_1382;
+   wire n_1383;
+   wire n_1384;
+   wire n_1385;
+   wire n_1386;
+   wire n_1387;
+   wire n_1388;
+   wire n_1389;
+   wire n_1390;
+   wire n_1391;
+   wire n_1392;
+   wire n_1393;
+   wire n_1394;
+   wire n_1395;
+   wire n_1396;
+   wire n_1397;
+   wire n_1398;
+   wire n_1399;
+   wire n_1400;
+   wire n_1401;
+   wire n_1402;
+   wire n_1403;
+   wire n_1404;
+   wire n_1405;
+   wire n_1406;
+   wire n_1407;
+   wire n_1408;
+   wire n_1409;
+   wire n_1410;
+   wire n_1411;
+   wire n_1412;
+   wire n_1413;
+   wire n_1414;
+   wire n_1415;
+   wire n_1416;
+   wire n_1417;
+   wire n_1418;
+   wire n_1419;
+   wire n_1420;
+   wire n_1421;
+   wire n_1422;
+   wire n_1423;
+   wire n_1424;
+   wire n_1425;
+   wire n_1426;
+   wire n_1427;
+   wire n_1428;
+   wire n_1429;
+   wire n_1431;
+   wire n_1432;
+   wire n_1433;
+   wire n_1434;
+   wire n_1435;
+   wire n_1436;
+   wire n_1438;
+   wire n_1439;
+   wire n_1440;
+   wire n_1441;
+   wire n_1442;
+   wire n_1443;
+   wire n_1444;
+   wire n_1445;
+   wire n_1446;
+   wire n_1447;
+   wire n_1448;
+   wire n_1449;
+   wire n_1450;
+   wire n_1451;
+   wire n_1452;
+   wire n_1453;
+   wire n_1454;
+   wire n_1455;
+   wire n_1456;
+   wire n_1457;
+   wire n_1458;
+   wire n_1459;
+   wire n_1460;
+   wire n_1461;
+   wire n_1462;
+   wire n_1463;
+   wire n_1464;
+   wire n_1465;
+   wire n_1466;
+   wire n_1467;
+   wire n_1468;
+   wire n_1469;
+   wire n_1470;
+   wire n_1471;
+   wire n_1473;
+   wire n_1474;
+   wire n_1475;
+   wire n_1476;
+   wire n_1477;
+   wire n_1478;
+   wire n_1479;
+   wire n_1480;
+   wire n_1481;
+   wire n_1482;
+   wire n_1483;
+   wire n_1484;
+   wire n_1485;
+   wire n_1486;
+   wire n_1487;
+   wire n_1488;
+   wire n_1489;
+   wire n_1490;
+   wire n_1491;
+   wire n_1492;
+   wire n_1493;
+   wire n_1494;
+   wire n_1495;
+   wire n_1496;
+   wire n_1497;
+   wire n_1498;
+   wire n_1499;
+   wire n_1500;
+   wire n_1501;
+   wire n_1502;
+   wire n_1503;
+   wire n_1504;
+   wire n_1505;
+   wire n_1506;
+   wire n_1507;
+   wire n_1508;
+   wire n_1509;
+   wire n_1510;
+   wire n_1511;
+   wire n_1512;
+   wire n_1513;
+   wire n_1514;
+   wire n_1515;
+   wire n_1516;
+   wire n_1517;
+   wire n_1518;
+   wire n_1519;
+   wire n_1520;
+   wire n_1521;
+   wire n_1522;
+   wire n_1523;
+   wire n_1524;
+   wire n_1525;
+   wire n_1526;
+   wire n_1527;
+   wire n_1528;
+   wire n_1529;
+   wire n_1531;
+   wire n_1532;
+   wire n_1533;
+   wire n_1534;
+   wire n_1535;
+   wire n_1537;
+   wire n_1538;
+   wire n_1539;
+   wire n_1541;
+   wire n_1542;
+   wire n_1543;
+   wire n_1544;
+   wire n_1545;
+   wire n_1546;
+   wire n_1547;
+   wire n_1548;
+   wire n_1549;
+   wire n_1550;
+   wire n_1551;
+   wire n_1552;
+   wire n_1553;
+   wire n_1555;
+   wire n_1556;
+   wire n_1557;
+   wire n_1558;
+   wire n_1561;
+   wire n_1562;
+   wire n_1563;
+   wire n_1564;
+   wire n_1565;
+   wire n_1566;
+   wire n_1567;
+   wire n_1568;
+   wire n_1569;
+   wire n_1570;
+   wire n_1571;
+   wire n_1572;
+   wire n_1573;
+   wire n_1574;
+   wire n_1575;
+   wire n_1576;
+   wire n_1577;
+   wire n_1578;
+   wire n_1579;
+   wire n_1580;
+   wire n_1581;
+   wire n_1582;
+   wire n_1583;
+   wire n_1584;
+   wire n_1585;
+   wire n_1586;
+   wire n_1587;
+   wire n_1588;
+   wire n_1589;
+   wire n_1590;
+   wire n_1591;
+   wire n_1592;
+   wire n_1593;
+   wire n_1594;
+   wire n_1595;
+   wire n_1596;
+   wire n_1597;
+   wire n_1598;
+   wire n_1599;
+   wire n_1600;
+   wire n_1601;
+   wire n_1602;
+   wire n_1603;
+   wire n_1604;
+   wire n_1605;
+   wire n_1606;
+   wire n_1607;
+   wire n_1608;
+   wire n_1609;
+   wire n_1610;
+   wire n_1611;
+   wire n_1612;
+   wire n_1613;
+   wire n_1614;
+   wire n_1615;
+   wire n_1616;
+   wire n_1617;
+   wire n_1618;
+   wire n_1619;
+   wire n_1620;
+   wire n_1621;
+   wire n_1622;
+   wire n_1623;
+   wire n_1624;
+   wire n_1625;
+   wire n_1626;
+   wire n_1627;
+   wire n_1628;
+   wire n_1629;
+   wire n_1630;
+   wire n_1631;
+   wire n_1632;
+   wire n_1633;
+   wire n_1634;
+   wire n_1635;
+   wire n_1636;
+   wire n_1637;
+   wire n_1638;
+   wire n_1639;
+   wire n_1640;
+   wire n_1641;
+   wire n_1642;
+   wire n_1643;
+   wire n_1644;
+   wire n_1645;
+   wire n_1646;
+   wire n_1647;
+   wire n_1648;
+   wire n_1649;
+   wire n_1650;
+   wire n_1651;
+   wire n_1652;
+   wire n_1653;
+   wire n_1654;
+   wire n_1655;
+   wire n_1656;
+   wire n_1657;
+   wire n_1658;
+   wire n_1659;
+   wire n_1660;
+   wire n_1661;
+   wire n_1662;
+   wire n_1663;
+   wire n_1664;
+   wire n_1665;
+   wire n_1666;
+   wire n_1667;
+   wire n_1668;
+   wire n_1669;
+   wire n_1670;
+   wire n_1671;
+   wire n_1672;
+   wire n_1673;
+   wire n_1674;
+   wire n_1675;
+   wire n_1676;
+   wire n_1677;
+   wire n_1678;
+   wire n_1679;
+   wire n_1680;
+   wire n_1681;
+   wire n_1682;
+   wire n_1683;
+   wire n_1684;
+   wire n_1685;
+   wire n_1686;
+   wire n_1687;
+   wire n_1688;
+   wire n_1689;
+   wire n_1690;
+   wire n_1691;
+   wire n_1692;
+   wire n_1693;
+   wire n_1694;
+   wire n_1695;
+   wire n_1696;
+   wire n_1697;
+   wire n_1698;
+   wire n_1699;
+   wire n_1700;
+   wire n_1701;
+   wire n_1702;
+   wire n_1703;
+   wire n_1704;
+   wire n_1705;
+   wire n_1706;
+   wire n_1707;
+   wire n_1708;
+   wire n_1709;
+   wire n_1710;
+   wire n_1711;
+   wire n_1712;
+   wire n_1713;
+   wire n_1714;
+   wire n_1715;
+   wire n_1716;
+   wire n_1717;
+   wire n_1718;
+   wire n_1719;
+   wire n_1720;
+   wire n_1721;
+   wire n_1722;
+   wire n_1723;
+   wire n_1724;
+   wire n_1725;
+   wire n_1726;
+   wire n_1727;
+   wire n_1728;
+   wire n_1729;
+   wire n_1730;
+   wire n_1731;
+   wire n_1732;
+   wire n_1733;
+   wire n_1734;
+   wire n_1735;
+   wire n_1736;
+   wire n_1737;
+   wire n_1738;
+   wire n_1739;
+   wire n_1740;
+   wire n_1741;
+   wire n_1742;
+   wire n_1743;
+   wire n_1744;
+   wire n_1745;
+   wire n_1746;
+   wire n_1747;
+   wire n_1748;
+   wire n_1749;
+   wire n_1750;
+   wire n_1751;
+   wire n_1752;
+   wire n_1753;
+   wire n_1754;
+   wire n_1755;
+   wire n_1756;
+   wire n_1757;
+   wire n_1758;
+   wire n_1759;
+   wire n_1760;
+   wire n_1761;
+   wire n_1762;
+   wire n_1763;
+   wire n_1764;
+   wire n_1765;
+   wire n_1766;
+   wire n_1767;
+   wire n_1768;
+   wire n_1769;
+   wire n_1770;
+   wire n_1771;
+   wire n_1772;
+   wire n_1773;
+   wire n_1774;
+   wire n_1775;
+   wire n_1776;
+   wire n_1777;
+   wire n_1778;
+   wire n_1779;
+   wire n_1781;
+   wire n_1782;
+   wire n_1783;
+   wire n_1784;
+   wire n_1786;
+   wire n_1787;
+   wire n_1788;
+   wire n_1789;
+   wire n_1790;
+   wire n_1791;
+   wire n_1792;
+   wire n_1793;
+   wire n_1794;
+   wire n_1795;
+   wire n_1796;
+   wire n_1797;
+   wire n_1798;
+   wire n_1799;
+   wire n_1800;
+   wire n_1801;
+   wire n_1802;
+   wire n_1803;
+   wire n_1804;
+   wire n_1805;
+   wire n_1806;
+   wire n_1807;
+   wire n_1808;
+   wire n_1809;
+   wire n_1810;
+   wire n_1811;
+   wire n_1812;
+   wire n_1813;
+   wire n_1814;
+   wire n_1815;
+   wire n_1816;
+   wire n_1817;
+   wire n_1818;
+   wire n_1819;
+   wire n_1820;
+   wire n_1821;
+   wire n_1822;
+   wire n_1823;
+   wire n_1824;
+   wire n_1825;
+   wire n_1826;
+   wire n_1827;
+   wire n_1828;
+   wire n_1829;
+   wire n_1830;
+   wire n_1831;
+   wire n_1832;
+   wire n_1833;
+   wire n_1834;
+   wire n_1835;
+   wire n_1836;
+   wire n_1837;
+   wire n_1838;
+   wire n_1839;
+   wire n_1840;
+   wire n_1841;
+   wire n_1842;
+   wire n_1843;
+   wire n_1844;
+   wire n_1845;
+   wire n_1846;
+   wire n_1847;
+   wire n_1848;
+   wire n_1849;
+   wire n_1850;
+   wire n_1851;
+   wire n_1852;
+   wire n_1853;
+   wire n_1854;
+   wire n_1855;
+   wire n_1856;
+   wire n_1857;
+   wire n_1858;
+   wire n_1859;
+   wire n_1860;
+   wire n_1861;
+   wire n_1862;
+   wire n_1863;
+   wire n_1864;
+   wire n_1865;
+   wire n_1866;
+   wire n_1867;
+   wire n_1868;
+   wire n_1869;
+   wire n_1870;
+   wire n_1871;
+   wire n_1872;
+   wire n_1873;
+   wire n_1874;
+   wire n_1875;
+   wire n_1876;
+   wire n_1877;
+   wire n_1878;
+   wire n_1879;
+   wire n_1880;
+   wire n_1881;
+   wire n_1882;
+   wire n_1883;
+   wire n_1884;
+   wire n_1885;
+   wire n_1886;
+   wire n_1887;
+   wire n_1888;
+   wire n_1889;
+   wire n_1890;
+   wire n_1891;
+   wire n_1892;
+   wire n_1893;
+   wire n_1894;
+   wire n_1895;
+   wire n_1896;
+   wire n_1897;
+   wire n_1898;
+   wire n_1899;
+   wire n_1900;
+   wire n_1901;
+   wire n_1902;
+   wire n_1903;
+   wire n_1904;
+   wire n_1905;
+   wire n_1906;
+   wire n_1907;
+   wire n_1908;
+   wire n_1909;
+   wire n_1910;
+   wire n_1911;
+   wire n_1912;
+   wire n_1913;
+   wire n_1914;
+   wire n_1915;
+   wire n_1916;
+   wire n_1917;
+   wire n_1918;
+   wire n_1920;
+   wire n_1921;
+   wire n_1923;
+   wire n_1924;
+   wire n_1925;
+   wire n_1926;
+   wire n_1927;
+   wire n_1928;
+   wire n_1929;
+   wire n_1930;
+   wire n_1931;
+   wire n_1932;
+   wire n_1933;
+   wire n_1934;
+   wire n_1935;
+   wire n_1936;
+   wire n_1937;
+   wire n_1938;
+   wire n_1939;
+   wire n_1940;
+   wire n_1941;
+   wire n_1942;
+   wire n_1943;
+   wire n_1944;
+   wire n_1945;
+   wire n_1946;
+   wire n_1947;
+   wire n_1948;
+   wire n_1949;
+   wire n_1950;
+   wire n_1951;
+   wire n_1952;
+   wire n_1953;
+   wire n_1954;
+   wire n_1955;
+   wire n_1956;
+   wire n_1957;
+   wire n_1958;
+   wire n_1959;
+   wire n_1960;
+   wire n_1961;
+   wire n_1962;
+   wire n_1963;
+   wire n_1964;
+   wire n_1965;
+   wire n_1966;
+   wire n_1967;
+   wire n_1968;
+   wire n_1969;
+   wire n_1970;
+   wire n_1971;
+   wire n_1972;
+   wire n_1973;
+   wire n_1974;
+   wire n_1975;
+   wire n_1976;
+   wire n_1977;
+   wire n_1978;
+   wire n_1979;
+   wire n_1980;
+   wire n_1981;
+   wire n_1982;
+   wire n_1983;
+   wire n_1984;
+   wire n_1985;
+   wire n_1986;
+   wire n_1987;
+   wire n_1988;
+   wire n_1989;
+   wire n_1990;
+   wire n_1991;
+   wire n_1992;
+   wire n_1993;
+   wire n_1994;
+   wire n_1995;
+   wire n_1996;
+   wire n_1997;
+   wire n_1998;
+   wire n_1999;
+   wire n_2000;
+   wire n_2001;
+   wire n_2003;
+   wire n_2004;
+   wire n_2005;
+   wire n_2006;
+   wire n_2007;
+   wire n_2008;
+   wire n_2009;
+   wire n_2010;
+   wire n_2011;
+   wire n_2012;
+   wire n_2013;
+   wire n_2014;
+   wire n_2015;
+   wire n_2016;
+   wire n_2017;
+   wire n_2018;
+   wire n_2019;
+   wire n_2020;
+   wire n_2021;
+   wire n_2022;
+   wire n_2023;
+   wire n_2024;
+   wire n_2025;
+   wire n_2026;
+   wire n_2027;
+   wire n_2028;
+   wire n_2029;
+   wire n_2030;
+   wire n_2031;
+   wire n_2032;
+   wire n_2033;
+   wire n_2034;
+   wire n_2035;
+   wire n_2036;
+   wire n_2037;
+   wire n_2038;
+   wire n_2039;
+   wire n_2040;
+   wire n_2041;
+   wire n_2042;
+   wire n_2043;
+   wire n_2044;
+   wire n_2045;
+   wire n_2046;
+   wire n_2047;
+   wire n_2048;
+   wire n_2049;
+   wire n_2050;
+   wire n_2051;
+   wire n_2052;
+   wire n_2053;
+   wire n_2054;
+   wire n_2055;
+   wire n_2056;
+   wire n_2057;
+   wire n_2058;
+   wire n_2059;
+   wire n_2060;
+   wire n_2061;
+   wire n_2062;
+   wire n_2063;
+   wire n_2064;
+   wire n_2065;
+   wire n_2066;
+   wire n_2067;
+   wire n_2068;
+   wire n_2069;
+   wire n_2070;
+   wire n_2071;
+   wire n_2072;
+   wire n_2073;
+   wire n_2074;
+   wire n_2075;
+   wire n_2076;
+   wire n_2077;
+   wire n_2078;
+   wire n_2079;
+   wire n_2080;
+   wire n_2081;
+   wire n_2082;
+   wire n_2083;
+   wire n_2084;
+   wire n_2085;
+   wire n_2086;
+   wire n_2087;
+   wire n_2088;
+   wire n_2089;
+   wire n_2090;
+   wire n_2091;
+   wire n_2092;
+   wire n_2093;
+   wire n_2094;
+   wire n_2095;
+   wire n_2096;
+   wire n_2097;
+   wire n_2098;
+   wire n_2099;
+   wire n_2100;
+   wire n_2101;
+   wire n_2102;
+   wire n_2103;
+   wire n_2104;
+   wire n_2105;
+   wire n_2106;
+   wire n_2107;
+   wire n_2108;
+   wire n_2109;
+   wire n_2110;
+   wire n_2111;
+   wire n_2112;
+   wire n_2113;
+   wire n_2114;
+   wire n_2115;
+   wire n_2116;
+   wire n_2117;
+   wire n_2118;
+   wire n_2119;
+   wire n_2120;
+   wire n_2121;
+   wire n_2122;
+   wire n_2123;
+   wire n_2124;
+   wire n_2125;
+   wire n_2126;
+   wire n_2127;
+   wire n_2128;
+   wire n_2129;
+   wire n_2130;
+   wire n_2131;
+   wire n_2132;
+   wire n_2133;
+   wire n_2134;
+   wire n_2135;
+   wire n_2136;
+   wire n_2137;
+   wire n_2138;
+   wire n_2139;
+   wire n_2140;
+   wire n_2141;
+   wire n_2142;
+   wire n_2143;
+   wire n_2144;
+   wire n_2145;
+   wire n_2146;
+   wire n_2147;
+   wire n_2148;
+   wire n_2149;
+   wire n_2150;
+   wire n_2151;
+   wire n_2152;
+   wire n_2153;
+   wire n_2154;
+   wire n_2156;
+   wire n_2157;
+   wire n_2158;
+   wire n_2159;
+   wire n_2160;
+   wire n_2161;
+   wire n_2162;
+   wire n_2163;
+   wire n_2164;
+   wire n_2165;
+   wire n_2166;
+   wire n_2167;
+   wire n_2169;
+   wire n_2170;
+   wire n_2171;
+   wire n_2172;
+   wire n_2173;
+   wire n_2174;
+   wire n_2175;
+   wire n_2176;
+   wire n_2177;
+   wire n_2178;
+   wire n_2179;
+   wire n_2180;
+   wire n_2181;
+   wire n_2182;
+   wire n_2183;
+   wire n_2184;
+   wire n_2185;
+   wire n_2186;
+   wire n_2187;
+   wire n_2188;
+   wire n_2189;
+   wire n_2190;
+   wire n_2191;
+   wire n_2192;
+   wire n_2193;
+   wire n_2194;
+   wire n_2195;
+   wire n_2196;
+   wire n_2197;
+   wire n_2198;
+   wire n_2199;
+   wire n_2200;
+   wire n_2201;
+   wire n_2202;
+   wire n_2203;
+   wire n_2204;
+   wire n_2205;
+   wire n_2206;
+   wire n_2207;
+   wire n_2208;
+   wire n_2209;
+   wire n_2210;
+   wire n_2211;
+   wire n_2212;
+   wire n_2213;
+   wire n_2214;
+   wire n_2215;
+   wire n_2216;
+   wire n_2217;
+   wire n_2218;
+   wire n_2219;
+   wire n_2220;
+   wire n_2221;
+   wire n_2222;
+   wire n_2223;
+   wire n_2224;
+   wire n_2225;
+   wire n_2226;
+   wire n_2227;
+   wire n_2228;
+   wire n_2229;
+   wire n_2230;
+   wire n_2231;
+   wire n_2232;
+   wire n_2233;
+   wire n_2234;
+   wire n_2235;
+   wire n_2236;
+   wire n_2237;
+   wire n_2238;
+   wire n_2239;
+   wire n_2240;
+   wire n_2241;
+   wire n_2242;
+   wire n_2243;
+   wire n_2244;
+   wire n_2245;
+   wire n_2246;
+   wire n_2247;
+   wire n_2248;
+   wire n_2249;
+   wire n_2250;
+   wire n_2251;
+   wire n_2252;
+   wire n_2253;
+   wire n_2254;
+   wire n_2255;
+   wire n_2256;
+   wire n_2257;
+   wire n_2258;
+   wire n_2259;
+   wire n_2260;
+   wire n_2261;
+   wire n_2262;
+   wire n_2263;
+   wire n_2264;
+   wire n_2265;
+   wire n_2266;
+   wire n_2267;
+   wire n_2268;
+   wire n_2269;
+   wire n_2270;
+   wire n_2271;
+   wire n_2272;
+   wire n_2273;
+   wire n_2274;
+   wire n_2275;
+   wire n_2276;
+   wire n_2277;
+   wire n_2278;
+   wire n_2279;
+   wire n_2280;
+   wire n_2281;
+   wire n_2282;
+   wire n_2283;
+   wire n_2284;
+   wire n_2285;
+   wire n_2286;
+   wire n_2287;
+   wire n_2288;
+   wire n_2289;
+   wire n_2290;
+   wire n_2291;
+   wire n_2292;
+   wire n_2294;
+   wire n_2295;
+   wire n_2296;
+   wire n_2297;
+   wire n_2298;
+   wire n_2299;
+   wire n_2300;
+   wire n_2301;
+   wire n_2302;
+   wire n_2303;
+   wire n_2304;
+   wire n_2305;
+   wire n_2306;
+   wire n_2307;
+   wire n_2308;
+   wire n_2309;
+   wire n_2310;
+   wire n_2311;
+   wire n_2312;
+   wire n_2313;
+   wire n_2314;
+   wire n_2315;
+   wire n_2316;
+   wire n_2317;
+   wire n_2318;
+   wire n_2319;
+   wire n_2320;
+   wire n_2321;
+   wire n_2322;
+   wire n_2323;
+   wire n_2324;
+   wire n_2325;
+   wire n_2326;
+   wire n_2327;
+   wire n_2328;
+   wire n_2329;
+   wire n_2330;
+   wire n_2331;
+   wire n_2332;
+   wire n_2333;
+   wire n_2334;
+   wire n_2335;
+   wire n_2336;
+   wire n_2337;
+   wire n_2338;
+   wire n_2339;
+   wire n_2340;
+   wire n_2341;
+   wire n_2342;
+   wire n_2343;
+   wire n_2344;
+   wire n_2345;
+   wire n_2346;
+   wire n_2347;
+   wire n_2348;
+   wire n_2349;
+   wire n_2350;
+   wire n_2351;
+   wire n_2352;
+   wire n_2353;
+   wire n_2354;
+   wire n_2355;
+   wire n_2356;
+   wire n_2357;
+   wire n_2358;
+   wire n_2359;
+   wire n_2360;
+   wire n_2361;
+   wire n_2362;
+   wire n_2363;
+   wire n_2364;
+   wire n_2365;
+   wire n_2366;
+   wire n_2367;
+   wire n_2368;
+   wire n_2369;
+   wire n_2370;
+   wire n_2371;
+   wire n_2372;
+   wire n_2373;
+   wire n_2374;
+   wire n_2375;
+   wire n_2376;
+   wire n_2377;
+   wire n_2378;
+   wire n_2379;
+   wire n_2380;
+   wire n_2381;
+   wire n_2382;
+   wire n_2383;
+   wire n_2384;
+   wire n_2385;
+   wire n_2386;
+   wire n_2387;
+   wire n_2388;
+   wire n_2389;
+   wire n_2390;
+   wire n_2391;
+   wire n_2392;
+   wire n_2393;
+   wire n_2394;
+   wire n_2395;
+   wire n_2396;
+   wire n_2397;
+   wire n_2398;
+   wire n_2399;
+   wire n_2400;
+   wire n_2401;
+   wire n_2402;
+   wire n_2403;
+   wire n_2404;
+   wire n_2405;
+   wire n_2406;
+   wire n_2407;
+   wire n_2408;
+   wire n_2409;
+   wire n_2410;
+   wire n_2411;
+   wire n_2412;
+   wire n_2413;
+   wire n_2414;
+   wire n_2415;
+   wire n_2416;
+   wire n_2417;
+   wire n_2418;
+   wire n_2419;
+   wire n_2420;
+   wire n_2421;
+   wire n_2422;
+   wire n_2423;
+   wire n_2424;
+   wire n_2425;
+   wire n_2426;
+   wire n_2427;
+   wire n_2428;
+   wire n_2429;
+   wire n_2430;
+   wire n_2431;
+   wire n_2432;
+   wire n_2433;
+   wire n_2434;
+   wire n_2435;
+   wire n_2436;
+   wire n_2437;
+   wire n_2438;
+   wire n_2439;
+   wire n_2440;
+   wire n_2441;
+   wire n_2442;
+   wire n_2443;
+   wire n_2444;
+   wire n_2445;
+   wire n_2446;
+   wire n_2447;
+   wire n_2448;
+   wire n_2449;
+   wire n_2450;
+   wire n_2451;
+   wire n_2452;
+   wire n_2453;
+   wire n_2454;
+   wire n_2455;
+   wire n_2456;
+   wire n_2457;
+   wire n_2458;
+   wire n_2459;
+   wire n_2460;
+   wire n_2461;
+   wire n_2462;
+   wire n_2463;
+   wire n_2464;
+   wire n_2465;
+   wire n_2466;
+   wire n_2467;
+   wire n_2468;
+   wire n_2469;
+   wire n_2470;
+   wire n_2471;
+   wire n_2472;
+   wire n_2473;
+   wire n_2474;
+   wire n_2475;
+   wire n_2476;
+   wire n_2477;
+   wire n_2478;
+   wire n_2479;
+   wire n_2480;
+   wire n_2481;
+   wire n_2482;
+   wire n_2483;
+   wire n_2484;
+   wire n_2485;
+   wire n_2486;
+   wire n_2487;
+   wire n_2488;
+   wire n_2489;
+   wire n_2490;
+   wire n_2491;
+   wire n_2492;
+   wire n_2493;
+   wire n_2494;
+   wire n_2495;
+   wire n_2496;
+   wire n_2497;
+   wire n_2498;
+   wire n_2499;
+   wire n_2500;
+   wire n_2501;
+   wire n_2502;
+   wire n_2503;
+   wire n_2504;
+   wire n_2505;
+   wire n_2506;
+   wire n_2507;
+   wire n_2508;
+   wire n_2509;
+   wire n_2510;
+   wire n_2511;
+   wire n_2512;
+   wire n_2513;
+   wire n_2514;
+   wire n_2515;
+   wire n_2516;
+   wire n_2517;
+   wire n_2518;
+   wire n_2519;
+   wire n_2520;
+   wire n_2521;
+   wire n_2522;
+   wire n_2523;
+   wire n_2524;
+   wire n_2525;
+   wire n_2526;
+   wire n_2527;
+   wire n_2528;
+   wire n_2529;
+   wire n_2530;
+   wire n_2531;
+   wire n_2532;
+   wire n_2533;
+   wire n_2534;
+   wire n_2535;
+   wire n_2536;
+   wire n_2537;
+   wire n_2538;
+   wire n_2539;
+   wire n_2540;
+   wire n_2541;
+   wire n_2542;
+   wire n_2543;
+   wire n_2544;
+   wire n_2545;
+   wire n_2546;
+   wire n_2547;
+   wire n_2548;
+   wire n_2549;
+   wire n_2550;
+   wire n_2551;
+   wire n_2552;
+   wire n_2553;
+   wire n_2554;
+   wire n_2555;
+   wire n_2556;
+   wire n_2557;
+   wire n_2558;
+   wire n_2559;
+   wire n_2560;
+   wire n_2561;
+   wire n_2562;
+   wire n_2563;
+   wire n_2564;
+   wire n_2565;
+   wire n_2566;
+   wire n_2567;
+   wire n_2568;
+   wire n_2569;
+   wire n_2570;
+   wire n_2571;
+   wire n_2572;
+   wire n_2573;
+   wire n_2574;
+   wire n_2575;
+   wire n_2576;
+   wire n_2577;
+   wire n_2578;
+   wire n_2579;
+   wire n_2580;
+   wire n_2581;
+   wire n_2582;
+   wire n_2583;
+   wire n_2584;
+   wire n_2585;
+   wire n_2586;
+   wire n_2587;
+   wire n_2588;
+   wire n_2589;
+   wire n_2590;
+   wire n_2591;
+   wire n_2592;
+   wire n_2593;
+   wire n_2594;
+   wire n_2595;
+   wire n_2596;
+   wire n_2597;
+   wire n_2598;
+   wire n_2599;
+   wire n_2600;
+   wire n_2601;
+   wire n_2602;
+   wire n_2603;
+   wire n_2604;
+   wire n_2605;
+   wire n_2608;
+   wire n_2609;
+   wire n_2610;
+   wire n_2611;
+   wire n_2612;
+   wire n_2613;
+   wire n_2614;
+   wire n_2615;
+   wire n_2616;
+   wire n_2617;
+   wire n_2618;
+   wire n_2619;
+   wire n_2620;
+   wire n_2621;
+   wire n_2622;
+   wire n_2623;
+   wire n_2624;
+   wire n_2625;
+   wire n_2626;
+   wire n_2627;
+   wire n_2628;
+   wire n_2629;
+   wire n_2630;
+   wire n_2631;
+   wire n_2632;
+   wire n_2633;
+   wire n_2634;
+   wire n_2635;
+   wire n_2636;
+   wire n_2637;
+   wire n_2638;
+   wire n_2639;
+   wire n_2640;
+   wire n_2641;
+   wire n_2642;
+   wire n_2643;
+   wire n_2644;
+   wire n_2645;
+   wire n_2646;
+   wire n_2647;
+   wire n_2648;
+   wire n_2649;
+   wire n_2650;
+   wire n_2651;
+   wire n_2652;
+   wire n_2653;
+   wire n_2654;
+   wire n_2655;
+   wire n_2656;
+   wire n_2657;
+   wire n_2658;
+   wire n_2659;
+   wire n_2660;
+   wire n_2661;
+   wire n_2662;
+   wire n_2663;
+   wire n_2664;
+   wire n_2665;
+   wire n_2666;
+   wire n_2667;
+   wire n_2668;
+   wire n_2669;
+   wire n_2670;
+   wire n_2671;
+   wire n_2672;
+   wire n_2673;
+   wire n_2674;
+   wire n_2675;
+   wire n_2676;
+   wire n_2677;
+   wire n_2678;
+   wire n_2679;
+   wire n_2680;
+   wire n_2681;
+   wire n_2682;
+   wire n_2683;
+   wire n_2684;
+   wire n_2685;
+   wire n_2686;
+   wire n_2687;
+   wire n_2688;
+   wire n_2689;
+   wire n_2690;
+   wire n_2691;
+   wire n_2692;
+   wire n_2693;
+   wire n_2694;
+   wire n_2695;
+   wire n_2696;
+   wire n_2697;
+   wire n_2698;
+   wire n_2699;
+   wire n_2700;
+   wire n_2701;
+   wire n_2702;
+   wire n_2703;
+   wire n_2704;
+   wire n_2705;
+   wire n_2706;
+   wire n_2707;
+   wire n_2708;
+   wire n_2709;
+   wire n_2710;
+   wire n_2711;
+   wire n_2712;
+   wire n_2713;
+   wire n_2714;
+   wire n_2715;
+   wire n_2716;
+   wire n_2717;
+   wire n_2718;
+   wire n_2719;
+   wire n_2720;
+   wire n_2721;
+   wire n_2722;
+   wire n_2723;
+   wire n_2724;
+   wire n_2725;
+   wire n_2726;
+   wire n_2727;
+   wire n_2728;
+   wire n_2729;
+   wire n_2730;
+   wire n_2731;
+   wire n_2732;
+   wire n_2733;
+   wire n_2734;
+   wire n_2735;
+   wire n_2736;
+   wire n_2737;
+   wire n_2738;
+   wire n_2739;
+   wire n_2740;
+   wire n_2741;
+   wire n_2742;
+   wire n_2743;
+   wire n_2744;
+   wire n_2745;
+   wire n_2746;
+   wire n_2747;
+   wire n_2748;
+   wire n_2749;
+   wire n_2750;
+   wire n_2751;
+   wire n_2752;
+   wire n_2753;
+   wire n_2754;
+   wire n_2755;
+   wire n_2756;
+   wire n_2757;
+   wire n_2758;
+   wire n_2759;
+   wire n_2760;
+   wire n_2761;
+   wire n_2762;
+   wire n_2763;
+   wire n_2764;
+   wire n_2765;
+   wire n_2766;
+   wire n_2767;
+   wire n_2768;
+   wire n_2769;
+   wire n_2770;
+   wire n_2771;
+   wire n_2772;
+   wire n_2773;
+   wire n_2774;
+   wire n_2775;
+   wire n_2776;
+   wire n_2777;
+   wire n_2778;
+   wire n_2779;
+   wire n_2780;
+   wire n_2781;
+   wire n_2782;
+   wire n_2783;
+   wire n_2784;
+   wire n_2785;
+   wire n_2786;
+   wire n_2787;
+   wire n_2788;
+   wire n_2789;
+   wire n_2790;
+   wire n_2791;
+   wire n_2792;
+   wire n_2793;
+   wire n_2794;
+   wire n_2795;
+   wire n_2796;
+   wire n_2797;
+   wire n_2798;
+   wire n_2799;
+   wire n_2800;
+   wire n_2801;
+   wire n_2802;
+   wire n_2803;
+   wire n_2804;
+   wire n_2805;
+   wire n_2806;
+   wire n_2807;
+   wire n_2808;
+   wire n_2809;
+   wire n_2810;
+   wire n_2811;
+   wire n_2812;
+   wire n_2813;
+   wire n_2814;
+   wire n_2815;
+   wire n_2816;
+   wire n_2817;
+   wire n_2818;
+   wire n_2819;
+   wire n_2820;
+   wire n_2821;
+   wire n_2822;
+   wire n_2823;
+   wire n_2824;
+   wire n_2825;
+   wire n_2826;
+   wire n_2827;
+   wire n_2828;
+   wire n_2829;
+   wire n_2830;
+   wire n_2831;
+   wire n_2832;
+   wire n_2833;
+   wire n_2834;
+   wire n_2835;
+   wire n_2836;
+   wire n_2837;
+   wire n_2838;
+   wire n_2839;
+   wire n_2840;
+   wire n_2841;
+   wire n_2842;
+   wire n_2843;
+   wire n_2844;
+   wire n_2845;
+   wire n_2846;
+   wire n_2847;
+   wire n_2848;
+   wire n_2849;
+   wire n_2850;
+   wire n_2851;
+   wire n_2852;
+   wire n_2853;
+   wire n_2854;
+   wire n_2855;
+   wire n_2856;
+   wire n_2857;
+   wire n_2858;
+   wire n_2859;
+   wire n_2860;
+   wire n_2861;
+   wire n_2862;
+   wire n_2863;
+   wire n_2864;
+   wire n_2865;
+   wire n_2866;
+   wire n_2867;
+   wire n_2868;
+   wire n_2869;
+   wire n_2870;
+   wire n_2871;
+   wire n_2872;
+   wire n_2873;
+   wire n_2874;
+   wire n_2875;
+   wire n_2876;
+   wire n_2877;
+   wire n_2878;
+   wire n_2879;
+   wire n_2880;
+   wire n_2881;
+   wire n_2882;
+   wire n_2883;
+   wire n_2884;
+   wire n_2885;
+   wire n_2886;
+   wire n_2887;
+   wire n_2888;
+   wire n_2889;
+   wire n_2890;
+   wire n_2891;
+   wire n_2892;
+   wire n_2893;
+   wire n_2894;
+   wire n_2895;
+   wire n_2896;
+   wire n_2897;
+   wire n_2898;
+   wire n_2899;
+   wire n_2900;
+   wire n_2901;
+   wire n_2902;
+   wire n_2903;
+   wire n_2904;
+   wire n_2905;
+   wire n_2906;
+   wire n_2907;
+   wire n_2908;
+   wire n_2909;
+   wire n_2910;
+   wire n_2911;
+   wire n_2912;
+   wire n_2913;
+   wire n_2914;
+   wire n_2915;
+   wire n_2916;
+   wire n_2917;
+   wire n_2918;
+   wire n_2919;
+   wire n_2920;
+   wire n_2921;
+   wire n_2922;
+   wire n_2923;
+   wire n_2924;
+   wire n_2925;
+   wire n_2926;
+   wire n_2927;
+   wire n_2928;
+   wire n_2929;
+   wire n_2930;
+   wire n_2931;
+   wire n_2932;
+   wire n_2933;
+   wire n_2934;
+   wire n_2935;
+   wire n_2936;
+   wire n_2937;
+   wire n_2938;
+   wire n_2939;
+   wire n_2940;
+   wire n_2941;
+   wire n_2942;
+   wire n_2943;
+   wire n_2944;
+   wire n_2945;
+   wire n_2946;
+   wire n_2947;
+   wire n_2948;
+   wire n_2949;
+   wire n_2950;
+   wire n_2951;
+   wire n_2952;
+   wire n_2953;
+   wire n_2954;
+   wire n_2955;
+   wire n_2956;
+   wire n_2957;
+   wire n_2958;
+   wire n_2959;
+   wire n_2960;
+   wire n_2961;
+   wire n_2962;
+   wire n_2963;
+   wire n_2964;
+   wire n_2965;
+   wire n_2966;
+   wire n_2967;
+   wire n_2968;
+   wire n_2969;
+   wire n_2970;
+   wire n_2971;
+   wire n_2972;
+   wire n_2973;
+   wire n_2974;
+   wire n_2975;
+   wire n_2976;
+   wire n_2977;
+   wire n_2978;
+   wire n_2979;
+   wire n_2980;
+   wire n_2981;
+   wire n_2982;
+   wire n_2983;
+   wire n_2984;
+   wire n_2985;
+   wire n_2986;
+   wire n_2987;
+   wire n_2988;
+   wire n_2989;
+   wire n_2990;
+   wire n_2991;
+   wire n_2992;
+   wire n_2993;
+   wire n_2994;
+   wire n_2995;
+   wire n_2996;
+   wire n_2997;
+   wire n_2998;
+   wire n_2999;
+   wire n_3000;
+   wire n_3001;
+   wire n_3002;
+   wire n_3003;
+   wire n_3004;
+   wire n_3005;
+   wire n_3006;
+   wire n_3007;
+   wire n_3008;
+   wire n_3009;
+   wire n_3010;
+   wire n_3011;
+   wire n_3012;
+   wire n_3013;
+   wire n_3014;
+   wire n_3015;
+   wire n_3016;
+   wire n_3017;
+   wire n_3018;
+   wire n_3019;
+   wire n_3020;
+   wire n_3021;
+   wire n_3022;
+   wire n_3023;
+   wire n_3024;
+   wire n_3025;
+   wire n_3026;
+   wire n_3027;
+   wire n_3028;
+   wire n_3029;
+   wire n_3030;
+   wire n_3031;
+   wire n_3032;
+   wire n_3033;
+   wire n_3034;
+   wire n_3035;
+   wire n_3036;
+   wire n_3037;
+   wire n_3038;
+   wire n_3039;
+   wire n_3040;
+   wire n_3041;
+   wire n_3042;
+   wire n_3043;
+   wire n_3044;
+   wire n_3045;
+   wire n_3046;
+   wire n_3047;
+   wire n_3048;
+   wire n_3049;
+   wire n_3050;
+   wire n_3051;
+   wire n_3052;
+   wire n_3053;
+   wire n_3054;
+   wire n_3055;
+   wire n_3056;
+   wire n_3057;
+   wire n_3058;
+   wire n_3059;
+   wire n_3060;
+   wire n_3061;
+   wire n_3062;
+   wire n_3063;
+   wire n_3064;
+   wire n_3065;
+   wire n_3066;
+   wire n_3067;
+   wire n_3068;
+   wire n_3069;
+   wire n_3070;
+   wire n_3071;
+   wire n_3072;
+   wire n_3073;
+   wire n_3074;
+   wire n_3075;
+   wire n_3076;
+   wire n_3077;
+   wire n_3078;
+   wire n_3080;
+   wire n_3081;
+   wire n_3082;
+   wire n_3083;
+   wire n_3084;
+   wire n_3085;
+   wire n_3086;
+   wire n_3087;
+   wire n_3088;
+   wire n_3090;
+   wire n_3091;
+   wire n_3093;
+   wire n_3094;
+   wire n_3095;
+   wire n_3096;
+   wire n_3097;
+   wire n_3098;
+   wire n_3099;
+   wire n_3100;
+   wire n_3101;
+   wire n_3102;
+   wire n_3103;
+   wire n_3104;
+   wire n_3105;
+   wire n_3106;
+   wire n_3107;
+   wire n_3108;
+   wire n_3109;
+   wire n_3110;
+   wire n_3111;
+   wire n_3112;
+   wire n_3113;
+   wire n_3114;
+   wire n_3115;
+   wire n_3116;
+   wire n_3117;
+   wire n_3118;
+   wire n_3119;
+   wire n_3120;
+   wire n_3121;
+   wire n_3122;
+   wire n_3123;
+   wire n_3124;
+   wire n_3125;
+   wire n_3126;
+   wire n_3127;
+   wire n_3128;
+   wire n_3129;
+   wire n_3130;
+   wire n_3131;
+   wire n_3132;
+   wire n_3133;
+   wire n_3134;
+   wire n_3135;
+   wire n_3136;
+   wire n_3137;
+   wire n_3138;
+   wire n_3139;
+   wire n_3140;
+   wire n_3141;
+   wire n_3142;
+   wire n_3143;
+   wire n_3144;
+   wire n_3145;
+   wire n_3146;
+   wire n_3147;
+   wire n_3148;
+   wire n_3149;
+   wire n_3150;
+   wire n_3151;
+   wire n_3152;
+   wire n_3153;
+   wire n_3154;
+   wire n_3155;
+   wire n_3156;
+   wire n_3157;
+   wire n_3158;
+   wire n_3159;
+   wire n_3160;
+   wire n_3161;
+   wire n_3162;
+   wire n_3163;
+   wire n_3164;
+   wire n_3165;
+   wire n_3166;
+   wire n_3167;
+   wire n_3168;
+   wire n_3169;
+   wire n_3170;
+   wire n_3171;
+   wire n_3172;
+   wire n_3173;
+   wire n_3174;
+   wire n_3175;
+   wire n_3176;
+   wire n_3177;
+   wire n_3178;
+   wire n_3179;
+   wire n_3180;
+   wire n_3181;
+   wire n_3182;
+   wire n_3183;
+   wire n_3184;
+   wire n_3185;
+   wire n_3186;
+   wire n_3187;
+   wire n_3188;
+   wire n_3189;
+   wire n_3190;
+   wire n_3191;
+   wire n_3192;
+   wire n_3193;
+   wire n_3194;
+   wire n_3195;
+   wire n_3196;
+   wire n_3197;
+   wire n_3198;
+   wire n_3199;
+   wire n_3200;
+   wire n_3201;
+   wire n_3202;
+   wire n_3203;
+   wire n_3204;
+   wire n_3205;
+   wire n_3206;
+   wire n_3207;
+   wire n_3208;
+   wire n_3209;
+   wire n_3210;
+   wire n_3211;
+   wire n_3212;
+   wire n_3213;
+   wire n_3214;
+   wire n_3215;
+   wire n_3216;
+   wire n_3217;
+   wire n_3218;
+   wire n_3219;
+   wire n_3220;
+   wire n_3221;
+   wire n_3222;
+   wire n_3223;
+   wire n_3224;
+   wire n_3225;
+   wire n_3226;
+   wire n_3227;
+   wire n_3228;
+   wire n_3229;
+   wire n_3230;
+   wire n_3231;
+   wire n_3232;
+   wire n_3233;
+   wire n_3234;
+   wire n_3235;
+   wire n_3236;
+   wire n_3237;
+   wire n_3238;
+   wire n_3239;
+   wire n_3240;
+   wire n_3241;
+   wire n_3242;
+   wire n_3243;
+   wire n_3244;
+   wire n_3245;
+   wire n_3246;
+   wire n_3247;
+   wire n_3248;
+   wire n_3249;
+   wire n_3250;
+   wire n_3251;
+   wire n_3252;
+   wire n_3253;
+   wire n_3254;
+   wire n_3255;
+   wire n_3256;
+   wire n_3257;
+   wire n_3258;
+   wire n_3259;
+   wire n_3260;
+   wire n_3261;
+   wire n_3262;
+   wire n_3263;
+   wire n_3264;
+   wire n_3265;
+   wire n_3266;
+   wire n_3267;
+   wire n_3268;
+   wire n_3269;
+   wire n_3270;
+   wire n_3271;
+   wire n_3272;
+   wire n_3273;
+   wire n_3274;
+   wire n_3275;
+   wire n_3276;
+   wire n_3277;
+   wire n_3278;
+   wire n_3279;
+   wire n_3280;
+   wire n_3281;
+   wire n_3282;
+   wire n_3283;
+   wire n_3284;
+   wire n_3285;
+   wire n_3286;
+   wire n_3287;
+   wire n_3288;
+   wire n_3289;
+   wire n_3290;
+   wire n_3291;
+   wire n_3292;
+   wire n_3293;
+   wire n_3294;
+   wire n_3295;
+   wire n_3296;
+   wire n_3297;
+   wire n_3298;
+   wire n_3299;
+   wire n_3300;
+   wire n_3301;
+   wire n_3302;
+   wire n_3303;
+   wire n_3304;
+   wire n_3305;
+   wire n_3306;
+   wire n_3307;
+   wire n_3308;
+   wire n_3309;
+   wire n_3310;
+   wire n_3311;
+   wire n_3312;
+   wire n_3313;
+   wire n_3314;
+   wire n_3315;
+   wire n_3316;
+   wire n_3317;
+   wire n_3318;
+   wire n_3319;
+   wire n_3320;
+   wire n_3321;
+   wire n_3322;
+   wire n_3323;
+   wire n_3324;
+   wire n_3325;
+   wire n_3326;
+   wire n_3327;
+   wire n_3328;
+   wire n_3329;
+   wire n_3330;
+   wire n_3331;
+   wire n_3332;
+   wire n_3333;
+   wire n_3334;
+   wire n_3335;
+   wire n_3336;
+   wire n_3337;
+   wire n_3338;
+   wire n_3339;
+   wire n_3340;
+   wire n_3341;
+   wire n_3342;
+   wire n_3343;
+   wire n_3344;
+   wire n_3345;
+   wire n_3346;
+   wire n_3347;
+   wire n_3348;
+   wire n_3349;
+   wire n_3350;
+   wire n_3351;
+   wire n_3352;
+   wire n_3353;
+   wire n_3354;
+   wire n_3355;
+   wire n_3356;
+   wire n_3357;
+   wire n_3358;
+   wire n_3359;
+   wire n_3360;
+   wire n_3361;
+   wire n_3362;
+   wire n_3363;
+   wire n_3364;
+   wire n_3365;
+   wire n_3366;
+   wire n_3367;
+   wire n_3368;
+   wire n_3369;
+   wire n_3370;
+   wire n_3371;
+   wire n_3372;
+   wire n_3373;
+   wire n_3374;
+   wire n_3375;
+   wire n_3376;
+   wire n_3377;
+   wire n_3378;
+   wire n_3379;
+   wire n_3380;
+   wire n_3381;
+   wire n_3382;
+   wire n_3383;
+   wire n_3384;
+   wire n_3385;
+   wire n_3386;
+   wire n_3387;
+   wire n_3388;
+   wire n_3389;
+   wire n_3390;
+   wire n_3391;
+   wire n_3392;
+   wire n_3393;
+   wire n_3394;
+   wire n_3395;
+   wire n_3396;
+   wire n_3397;
+   wire n_3398;
+   wire n_3399;
+   wire n_3400;
+   wire n_3401;
+   wire n_3402;
+   wire n_3403;
+   wire n_3404;
+   wire n_3405;
+   wire n_3406;
+   wire n_3407;
+   wire n_3408;
+   wire n_3409;
+   wire n_3410;
+   wire n_3411;
+   wire n_3412;
+   wire n_3413;
+   wire n_3414;
+   wire n_3415;
+   wire n_3416;
+   wire n_3417;
+   wire n_3418;
+   wire n_3419;
+   wire n_3420;
+   wire n_3421;
+   wire n_3422;
+   wire n_3423;
+   wire n_3424;
+   wire n_3425;
+   wire n_3426;
+   wire n_3427;
+   wire n_3428;
+   wire n_3429;
+   wire n_3430;
+   wire n_3431;
+   wire n_3432;
+   wire n_3433;
+   wire n_3434;
+   wire n_3435;
+   wire n_3436;
+   wire n_3437;
+   wire n_3438;
+   wire n_3439;
+   wire n_3440;
+   wire n_3441;
+   wire n_3442;
+   wire n_3443;
+   wire n_3444;
+   wire n_3445;
+   wire n_3446;
+   wire n_3447;
+   wire n_3448;
+   wire n_3449;
+   wire n_3450;
+   wire n_3451;
+   wire n_3452;
+   wire n_3453;
+   wire n_3454;
+   wire n_3455;
+   wire n_3456;
+   wire n_3457;
+   wire n_3458;
+   wire n_3459;
+   wire n_3460;
+   wire n_3461;
+   wire n_3462;
+   wire n_3463;
+   wire n_3464;
+   wire n_3465;
+   wire n_3466;
+   wire n_3467;
+   wire n_3468;
+   wire n_3469;
+   wire n_3470;
+   wire n_3471;
+   wire n_3472;
+   wire n_3473;
+   wire n_3474;
+   wire n_3475;
+   wire n_3476;
+   wire n_3477;
+   wire n_3478;
+   wire n_3479;
+   wire n_3480;
+   wire n_3481;
+   wire n_3482;
+   wire n_3483;
+   wire n_3484;
+   wire n_3485;
+   wire n_3486;
+   wire n_3487;
+   wire n_3488;
+   wire n_3489;
+   wire n_3490;
+   wire n_3491;
+   wire n_3492;
+   wire n_3493;
+   wire n_3494;
+   wire n_3495;
+   wire n_3496;
+   wire n_3497;
+   wire n_3498;
+   wire n_3499;
+   wire n_3500;
+   wire n_3501;
+   wire n_3502;
+   wire n_3503;
+   wire n_3504;
+   wire n_3505;
+   wire n_3506;
+   wire n_3507;
+   wire n_3508;
+   wire n_3509;
+   wire n_3510;
+   wire n_3511;
+   wire n_3512;
+   wire n_3513;
+   wire n_3514;
+   wire n_3515;
+   wire n_3516;
+   wire n_3517;
+   wire n_3518;
+   wire n_3519;
+   wire n_3520;
+   wire n_3521;
+   wire n_3522;
+   wire n_3523;
+   wire n_3524;
+   wire n_3525;
+   wire n_3526;
+   wire n_3527;
+   wire n_3528;
+   wire n_3529;
+   wire n_3530;
+   wire n_3531;
+   wire n_3532;
+   wire n_3533;
+   wire n_3534;
+   wire n_3535;
+   wire n_3536;
+   wire n_3537;
+   wire n_3538;
+   wire n_3539;
+   wire n_3540;
+   wire n_3541;
+   wire n_3542;
+   wire n_3543;
+   wire n_3544;
+   wire n_3545;
+   wire n_3546;
+   wire n_3547;
+   wire n_3548;
+   wire n_3549;
+   wire n_3550;
+   wire n_3551;
+   wire n_3552;
+   wire n_3553;
+   wire n_3554;
+   wire n_3555;
+   wire n_3556;
+   wire n_3557;
+   wire n_3558;
+   wire n_3559;
+   wire n_3560;
+   wire n_3561;
+   wire n_3562;
+   wire n_3563;
+   wire n_3564;
+   wire n_3565;
+   wire n_3566;
+   wire n_3567;
+   wire n_3568;
+   wire n_3569;
+   wire n_3570;
+   wire n_3571;
+   wire n_3572;
+   wire n_3573;
+   wire n_3574;
+   wire n_3575;
+   wire n_3576;
+   wire n_3577;
+   wire n_3578;
+   wire n_3579;
+   wire n_3580;
+   wire n_3581;
+   wire n_3582;
+   wire n_3583;
+   wire n_3584;
+   wire n_3585;
+   wire n_3586;
+   wire n_3587;
+   wire n_3588;
+   wire n_3589;
+   wire n_3590;
+   wire n_3591;
+   wire n_3592;
+   wire n_3593;
+   wire n_3594;
+   wire n_3595;
+   wire n_3596;
+   wire n_3597;
+   wire n_3598;
+   wire n_3599;
+   wire n_3600;
+   wire n_3601;
+   wire n_3602;
+   wire n_3603;
+   wire n_3604;
+   wire n_3605;
+   wire n_3606;
+   wire n_3607;
+   wire n_3608;
+   wire n_3609;
+   wire n_3610;
+   wire n_3611;
+   wire n_3612;
+   wire n_3613;
+   wire n_3614;
+   wire n_3615;
+   wire n_3616;
+   wire n_3617;
+   wire n_3618;
+   wire n_3619;
+   wire n_3620;
+   wire n_3621;
+   wire n_3622;
+   wire n_3623;
+   wire n_3624;
+   wire n_3625;
+   wire n_3626;
+   wire n_3627;
+   wire n_3628;
+   wire n_3629;
+   wire n_3630;
+   wire n_3631;
+   wire n_3632;
+   wire n_3633;
+   wire n_3634;
+   wire n_3635;
+   wire n_3636;
+   wire n_3637;
+   wire n_3638;
+   wire n_3639;
+   wire n_3640;
+   wire n_3641;
+   wire n_3642;
+   wire n_3643;
+   wire n_3644;
+   wire n_3645;
+   wire n_3646;
+   wire n_3647;
+   wire n_3648;
+   wire n_3649;
+   wire n_3650;
+   wire n_3651;
+   wire n_3652;
+   wire n_3653;
+   wire n_3654;
+   wire n_3655;
+   wire n_3656;
+   wire n_3657;
+   wire n_3658;
+   wire n_3659;
+   wire n_3660;
+   wire n_3661;
+   wire n_3662;
+   wire n_3663;
+   wire n_3664;
+   wire n_3665;
+   wire n_3666;
+   wire n_3667;
+   wire n_3668;
+   wire n_3669;
+   wire n_3670;
+   wire n_3671;
+   wire n_3672;
+   wire n_3673;
+   wire n_3674;
+   wire n_3675;
+   wire n_3676;
+   wire n_3677;
+   wire n_3678;
+   wire n_3679;
+   wire n_3680;
+   wire n_3681;
+   wire n_3682;
+   wire n_3683;
+   wire n_3684;
+   wire n_3685;
+   wire n_3686;
+   wire n_3687;
+   wire n_3688;
+   wire n_3689;
+   wire n_3690;
+   wire n_3691;
+   wire n_3692;
+   wire n_3693;
+   wire n_3694;
+   wire n_3695;
+   wire n_3696;
+   wire n_3697;
+   wire n_3698;
+   wire n_3699;
+   wire n_3700;
+   wire n_3701;
+   wire n_3702;
+   wire n_3703;
+   wire n_3704;
+   wire n_3705;
+   wire n_3706;
+   wire n_3707;
+   wire n_3708;
+   wire n_3709;
+   wire n_3710;
+   wire n_3711;
+   wire n_3712;
+   wire n_3713;
+   wire n_3714;
+   wire n_3715;
+   wire n_3716;
+   wire n_3717;
+   wire n_3718;
+   wire n_3719;
+   wire n_3720;
+   wire n_3721;
+   wire n_3722;
+   wire n_3723;
+   wire n_3724;
+   wire n_3725;
+   wire n_3726;
+   wire n_3727;
+   wire n_3728;
+   wire n_3729;
+   wire n_3730;
+   wire n_3731;
+   wire n_3732;
+   wire n_3733;
+   wire n_3734;
+   wire n_3735;
+   wire n_3736;
+   wire n_3737;
+   wire n_3738;
+   wire n_3739;
+   wire n_3740;
+   wire n_3741;
+   wire n_3742;
+   wire n_3743;
+   wire n_3744;
+   wire n_3745;
+   wire n_3746;
+   wire n_3747;
+   wire n_3748;
+   wire n_3749;
+   wire n_3750;
+   wire n_3751;
+   wire n_3752;
+   wire n_3753;
+   wire n_3754;
+   wire n_3755;
+   wire n_3756;
+   wire n_3757;
+   wire n_3758;
+   wire n_3759;
+   wire n_3760;
+   wire n_3761;
+   wire n_3762;
+   wire n_3763;
+   wire n_3764;
+   wire n_3765;
+   wire n_3766;
+   wire n_3767;
+   wire n_3768;
+   wire n_3769;
+   wire n_3770;
+   wire n_3771;
+   wire n_3772;
+   wire n_3773;
+   wire n_3774;
+   wire n_3775;
+   wire n_3776;
+   wire n_3777;
+   wire n_3778;
+   wire n_3779;
+   wire n_3780;
+   wire n_3781;
+   wire n_3782;
+   wire n_3783;
+   wire n_3784;
+   wire n_3785;
+   wire n_3786;
+   wire n_3787;
+   wire n_3788;
+   wire n_3789;
+   wire n_3790;
+   wire n_3791;
+   wire n_3792;
+   wire n_3793;
+   wire n_3794;
+   wire n_3795;
+   wire n_3796;
+   wire n_3797;
+   wire n_3798;
+   wire n_3799;
+   wire n_3800;
+   wire n_3801;
+   wire n_3802;
+   wire n_3803;
+   wire n_3804;
+   wire n_3805;
+   wire n_3806;
+   wire n_3807;
+   wire n_3808;
+   wire n_3809;
+   wire n_3810;
+   wire n_3811;
+   wire n_3812;
+   wire n_3813;
+   wire n_3814;
+   wire n_3815;
+   wire n_3816;
+   wire n_3817;
+   wire n_3818;
+   wire n_3819;
+   wire n_3820;
+   wire n_3821;
+   wire n_3822;
+   wire n_3823;
+   wire n_3824;
+   wire n_3825;
+   wire n_3826;
+   wire n_3827;
+   wire n_3828;
+   wire n_3829;
+   wire n_3830;
+   wire n_3831;
+   wire n_3832;
+   wire n_3833;
+   wire n_3834;
+   wire n_3835;
+   wire n_3836;
+   wire n_3837;
+   wire n_3838;
+   wire n_3839;
+   wire n_3840;
+   wire n_3841;
+   wire n_3842;
+   wire n_3843;
+   wire n_3844;
+   wire n_3845;
+   wire n_3846;
+   wire n_3847;
+   wire n_3848;
+   wire n_3849;
+   wire n_3850;
+   wire n_3851;
+   wire n_3852;
+   wire n_3853;
+   wire n_3854;
+   wire n_3855;
+   wire n_3856;
+   wire n_3857;
+   wire n_3858;
+   wire n_3859;
+   wire n_3860;
+   wire n_3861;
+   wire n_3862;
+   wire n_3863;
+   wire n_3864;
+   wire n_3865;
+   wire n_3866;
+   wire n_3867;
+   wire n_3868;
+   wire n_3869;
+   wire n_3870;
+   wire n_3871;
+   wire n_3872;
+   wire n_3873;
+   wire n_3874;
+   wire n_3875;
+   wire n_3876;
+   wire n_3877;
+   wire n_3878;
+   wire n_3879;
+   wire n_3880;
+   wire n_3881;
+   wire n_3882;
+   wire n_3883;
+   wire n_3884;
+   wire n_3885;
+   wire n_3886;
+   wire n_3887;
+   wire n_3888;
+   wire n_3889;
+   wire n_3890;
+   wire n_3891;
+   wire n_3892;
+   wire n_3893;
+   wire n_3894;
+   wire n_3895;
+   wire n_3896;
+   wire n_3897;
+   wire n_3898;
+   wire n_3899;
+   wire n_3900;
+   wire n_3901;
+   wire n_3902;
+   wire n_3903;
+   wire n_3904;
+   wire n_3905;
+   wire n_3906;
+   wire n_3907;
+   wire n_3908;
+   wire n_3909;
+   wire n_3910;
+   wire n_3911;
+   wire n_3912;
+   wire n_3913;
+   wire n_3914;
+   wire n_3915;
+   wire n_3916;
+   wire n_3917;
+   wire n_3918;
+   wire n_3919;
+   wire n_3920;
+   wire n_3921;
+   wire n_3922;
+   wire n_3923;
+   wire n_3924;
+   wire n_3925;
+   wire n_3926;
+   wire n_3927;
+   wire n_3928;
+   wire n_3929;
+   wire n_3930;
+   wire n_3931;
+   wire n_3932;
+   wire n_3933;
+   wire n_3934;
+   wire n_3935;
+   wire n_3936;
+   wire n_3937;
+   wire n_3938;
+   wire n_3939;
+   wire n_3940;
+   wire n_3941;
+   wire n_3942;
+   wire n_3943;
+   wire n_3944;
+   wire n_3945;
+   wire n_3946;
+   wire n_3947;
+   wire n_3948;
+   wire n_3949;
+   wire n_3950;
+   wire n_3951;
+   wire n_3952;
+   wire n_3953;
+   wire n_3954;
+   wire n_3955;
+   wire n_3956;
+   wire n_3957;
+   wire n_3958;
+   wire n_3959;
+   wire n_3960;
+   wire n_3961;
+   wire n_3962;
+   wire n_3963;
+   wire n_3964;
+   wire n_3965;
+   wire n_3966;
+   wire n_3967;
+   wire n_3968;
+   wire n_3969;
+   wire n_3970;
+   wire n_3971;
+   wire n_3972;
+   wire n_3973;
+   wire n_3974;
+   wire n_3975;
+   wire n_3976;
+   wire n_3977;
+   wire n_3978;
+   wire n_3979;
+   wire n_3980;
+   wire n_3981;
+   wire n_3982;
+   wire n_3983;
+   wire n_3984;
+   wire n_3985;
+   wire n_3986;
+   wire n_3987;
+   wire n_3988;
+   wire n_3989;
+   wire n_3990;
+   wire n_3991;
+   wire n_3992;
+   wire n_3993;
+   wire n_3994;
+   wire n_3995;
+   wire n_3996;
+   wire n_3997;
+   wire n_3998;
+   wire n_3999;
+   wire n_4000;
+   wire n_4001;
+   wire n_4002;
+   wire n_4003;
+   wire n_4004;
+   wire n_4005;
+   wire n_4006;
+   wire n_4007;
+   wire n_4008;
+   wire n_4009;
+   wire n_4010;
+   wire n_4011;
+   wire n_4012;
+   wire n_4013;
+   wire n_4014;
+   wire n_4015;
+   wire n_4016;
+   wire n_4017;
+   wire n_4018;
+   wire n_4019;
+   wire n_4020;
+   wire n_4021;
+   wire n_4022;
+   wire n_4023;
+   wire n_4024;
+   wire n_4025;
+   wire n_4026;
+   wire n_4027;
+   wire n_4028;
+   wire n_4029;
+   wire n_4030;
+   wire n_4031;
+   wire n_4032;
+   wire n_4033;
+   wire n_4034;
+   wire n_4035;
+   wire n_4036;
+   wire n_4037;
+   wire n_4038;
+   wire n_4039;
+   wire n_4040;
+   wire n_4041;
+   wire n_4042;
+   wire n_4043;
+   wire n_4044;
+   wire n_4045;
+   wire n_4046;
+   wire n_4047;
+   wire n_4048;
+   wire n_4049;
+   wire n_4050;
+   wire n_4051;
+   wire n_4052;
+   wire n_4053;
+   wire n_4054;
+   wire n_4055;
+   wire n_4056;
+   wire n_4057;
+   wire n_4058;
+   wire n_4059;
+   wire n_4060;
+   wire n_4061;
+   wire n_4062;
+   wire n_4063;
+   wire n_4064;
+   wire n_4065;
+   wire n_4066;
+   wire n_4067;
+   wire n_4068;
+   wire n_4069;
+   wire n_4070;
+   wire n_4071;
+   wire n_4072;
+   wire n_4073;
+   wire n_4074;
+   wire n_4075;
+   wire n_4076;
+   wire n_4077;
+   wire n_4078;
+   wire n_4079;
+   wire n_4080;
+   wire n_4081;
+   wire n_4082;
+   wire n_4083;
+   wire n_4084;
+   wire n_4085;
+   wire n_4086;
+   wire n_4087;
+   wire n_4088;
+   wire n_4089;
+   wire n_4090;
+   wire n_4091;
+   wire n_4092;
+   wire n_4093;
+   wire n_4094;
+   wire n_4095;
+   wire n_4096;
+   wire n_4097;
+   wire n_4098;
+   wire n_4099;
+   wire n_4100;
+   wire n_4101;
+   wire n_4102;
+   wire n_4103;
+   wire n_4104;
+   wire n_4105;
+   wire n_4106;
+   wire n_4107;
+   wire n_4108;
+   wire n_4109;
+   wire n_4110;
+   wire n_4111;
+   wire n_4112;
+   wire n_4113;
+   wire n_4114;
+   wire n_4115;
+   wire n_4116;
+   wire n_4117;
+   wire n_4118;
+   wire n_4119;
+   wire n_4120;
+   wire n_4121;
+   wire n_4122;
+   wire n_4123;
+   wire n_4124;
+   wire n_4125;
+   wire n_4126;
+   wire n_4127;
+   wire n_4128;
+   wire n_4129;
+   wire n_4130;
+   wire n_4131;
+   wire n_4132;
+   wire n_4133;
+   wire n_4134;
+   wire n_4135;
+   wire n_4136;
+   wire n_4137;
+   wire n_4138;
+   wire n_4139;
+   wire n_4140;
+   wire n_4141;
+   wire n_4142;
+   wire n_4143;
+   wire n_4144;
+   wire n_4145;
+   wire n_4146;
+   wire n_4147;
+   wire n_4148;
+   wire n_4149;
+   wire n_4150;
+   wire n_4151;
+   wire n_4152;
+   wire n_4153;
+   wire n_4154;
+   wire n_4155;
+   wire n_4156;
+   wire n_4157;
+   wire n_4158;
+   wire n_4159;
+   wire n_4160;
+   wire n_4161;
+   wire n_4162;
+   wire n_4163;
+   wire n_4164;
+   wire n_4165;
+   wire n_4166;
+   wire n_4167;
+   wire n_4168;
+   wire n_4169;
+   wire n_4170;
+   wire n_4171;
+   wire n_4172;
+   wire n_4173;
+   wire n_4174;
+   wire n_4175;
+   wire n_4176;
+   wire n_4177;
+   wire n_4178;
+   wire n_4179;
+   wire n_4180;
+   wire n_4181;
+   wire n_4182;
+   wire n_4183;
+   wire n_4184;
+   wire n_4185;
+   wire n_4186;
+   wire n_4187;
+   wire n_4188;
+   wire n_4189;
+   wire n_4190;
+   wire n_4191;
+   wire n_4192;
+   wire n_4193;
+   wire n_4194;
+   wire n_4195;
+   wire n_4196;
+   wire n_4197;
+   wire n_4198;
+   wire n_4199;
+   wire n_4200;
+   wire n_4201;
+   wire n_4202;
+   wire n_4203;
+   wire n_4204;
+   wire n_4205;
+   wire n_4206;
+   wire n_4207;
+   wire n_4208;
+   wire n_4209;
+   wire n_4210;
+   wire n_4211;
+   wire n_4212;
+   wire n_4213;
+   wire n_4214;
+   wire n_4215;
+   wire n_4216;
+   wire n_4217;
+   wire n_4218;
+   wire n_4219;
+   wire n_4220;
+   wire n_4221;
+   wire n_4222;
+   wire n_4223;
+   wire n_4224;
+   wire n_4225;
+   wire n_4226;
+   wire n_4227;
+   wire n_4228;
+   wire n_4229;
+   wire n_4230;
+   wire n_4231;
+   wire n_4232;
+   wire n_4233;
+   wire n_4234;
+   wire n_4235;
+   wire n_4236;
+   wire n_4237;
+   wire n_4238;
+   wire n_4239;
+   wire n_4240;
+   wire n_4241;
+   wire n_4242;
+   wire n_4243;
+   wire n_4244;
+   wire n_4245;
+   wire n_4246;
+   wire n_4247;
+   wire n_4248;
+   wire n_4249;
+   wire n_4250;
+   wire n_4251;
+   wire n_4252;
+   wire n_4253;
+   wire n_4254;
+   wire n_4255;
+   wire n_4256;
+   wire n_4257;
+   wire n_4258;
+   wire n_4259;
+   wire n_4260;
+   wire n_4261;
+   wire n_4262;
+   wire n_4263;
+   wire n_4264;
+   wire n_4265;
+   wire n_4266;
+   wire n_4267;
+   wire n_4268;
+   wire n_4269;
+   wire n_4270;
+   wire n_4271;
+   wire n_4272;
+   wire n_4273;
+   wire n_4274;
+   wire n_4275;
+   wire n_4276;
+   wire n_4277;
+   wire n_4278;
+   wire n_4279;
+   wire n_4280;
+   wire n_4281;
+   wire n_4282;
+   wire n_4283;
+   wire n_4284;
+   wire n_4285;
+   wire n_4286;
+   wire n_4287;
+   wire n_4288;
+   wire n_4289;
+   wire n_4290;
+   wire n_4291;
+   wire n_4292;
+   wire n_4293;
+   wire n_4294;
+   wire n_4295;
+   wire n_4296;
+   wire n_4297;
+   wire n_4298;
+   wire n_4299;
+   wire n_4300;
+   wire n_4301;
+   wire n_4302;
+   wire n_4303;
+   wire n_4304;
+   wire n_4305;
+   wire n_4306;
+   wire n_4307;
+   wire n_4308;
+   wire n_4309;
+   wire n_4310;
+   wire n_4311;
+   wire n_4312;
+   wire n_4313;
+   wire n_4314;
+   wire n_4315;
+   wire n_4316;
+   wire n_4317;
+   wire n_4318;
+   wire n_4319;
+   wire n_4320;
+   wire n_4321;
+   wire n_4322;
+   wire n_4323;
+   wire n_4324;
+   wire n_4325;
+   wire n_4326;
+   wire n_4327;
+   wire n_4328;
+   wire n_4329;
+   wire n_4330;
+   wire n_4331;
+   wire n_4332;
+   wire n_4333;
+   wire n_4334;
+   wire n_4335;
+   wire n_4336;
+   wire n_4337;
+   wire n_4338;
+   wire n_4339;
+   wire n_4340;
+   wire n_4341;
+   wire n_4342;
+   wire n_4343;
+   wire n_4344;
+   wire n_4345;
+   wire n_4346;
+   wire n_4347;
+   wire n_4348;
+   wire n_4349;
+   wire n_4350;
+   wire n_4351;
+   wire n_4352;
+   wire n_4353;
+   wire n_4354;
+   wire n_4355;
+   wire n_4356;
+   wire n_4357;
+   wire n_4358;
+   wire n_4359;
+   wire n_4360;
+   wire n_4361;
+   wire n_4362;
+   wire n_4363;
+   wire n_4364;
+   wire n_4365;
+   wire n_4366;
+   wire n_4367;
+   wire n_4368;
+   wire n_4369;
+   wire n_4370;
+   wire n_4371;
+   wire n_4372;
+   wire n_4373;
+   wire n_4374;
+   wire n_4375;
+   wire n_4376;
+   wire n_4377;
+   wire n_4378;
+   wire n_4379;
+   wire n_4380;
+   wire n_4381;
+   wire n_4382;
+   wire n_4383;
+   wire n_4384;
+   wire n_4385;
+   wire n_4386;
+   wire n_4387;
+   wire n_4388;
+   wire n_4389;
+   wire n_4390;
+   wire n_4391;
+   wire n_4392;
+   wire n_4393;
+   wire n_4394;
+   wire n_4395;
+   wire n_4396;
+   wire n_4397;
+   wire n_4398;
+   wire n_4399;
+   wire n_4400;
+   wire n_4401;
+   wire n_4402;
+   wire n_4403;
+   wire n_4404;
+   wire n_4405;
+   wire n_4406;
+   wire n_4407;
+   wire n_4408;
+   wire n_4409;
+   wire n_4410;
+   wire n_4411;
+   wire n_4412;
+   wire n_4413;
+   wire n_4414;
+   wire n_4415;
+   wire n_4416;
+   wire n_4417;
+   wire n_4418;
+   wire n_4419;
+   wire n_4420;
+   wire n_4421;
+   wire n_4422;
+   wire n_4423;
+   wire n_4424;
+   wire n_4425;
+   wire n_4426;
+   wire n_4427;
+   wire n_4428;
+   wire n_4429;
+   wire n_4430;
+   wire n_4431;
+   wire n_4432;
+   wire n_4433;
+   wire n_4434;
+   wire n_4435;
+   wire n_4436;
+   wire n_4437;
+   wire n_4438;
+   wire n_4439;
+   wire n_4440;
+   wire n_4441;
+   wire n_4442;
+   wire n_4443;
+   wire n_4444;
+   wire n_4445;
+   wire n_4446;
+   wire n_4447;
+   wire n_4448;
+   wire n_4449;
+   wire n_4450;
+   wire n_4451;
+   wire n_4452;
+   wire n_4453;
+   wire n_4454;
+   wire n_4455;
+   wire n_4456;
+   wire n_4457;
+   wire n_4458;
+   wire n_4459;
+   wire n_4460;
+   wire n_4461;
+   wire n_4462;
+   wire n_4463;
+   wire n_4464;
+   wire n_4465;
+   wire n_4466;
+   wire n_4467;
+   wire n_4468;
+   wire n_4469;
+   wire n_4470;
+   wire n_4471;
+   wire n_4472;
+   wire n_4473;
+   wire n_4474;
+   wire n_4475;
+   wire n_4476;
+   wire n_4477;
+   wire n_4478;
+   wire n_4479;
+   wire n_4480;
+   wire n_4481;
+   wire n_4482;
+   wire n_4483;
+   wire n_4484;
+   wire n_4485;
+   wire n_4486;
+   wire n_4487;
+   wire n_4488;
+   wire n_4489;
+   wire n_4490;
+   wire n_4491;
+   wire n_4492;
+   wire n_4493;
+   wire n_4494;
+   wire n_4495;
+   wire n_4496;
+   wire n_4497;
+   wire n_4498;
+   wire n_4499;
+   wire n_4500;
+   wire n_4501;
+   wire n_4502;
+   wire n_4503;
+   wire n_4504;
+   wire n_4505;
+   wire n_4506;
+   wire n_4507;
+   wire n_4508;
+   wire n_4509;
+   wire n_4510;
+   wire n_4511;
+   wire n_4512;
+   wire n_4513;
+   wire n_4514;
+   wire n_4515;
+   wire n_4516;
+   wire n_4517;
+   wire n_4518;
+   wire n_4519;
+   wire n_4520;
+   wire n_4521;
+   wire n_4522;
+   wire n_4523;
+   wire n_4524;
+   wire n_4525;
+   wire n_4526;
+   wire n_4527;
+   wire n_4528;
+   wire n_4529;
+   wire n_4530;
+   wire n_4531;
+   wire n_4532;
+   wire n_4533;
+   wire n_4534;
+   wire n_4535;
+   wire n_4536;
+   wire n_4537;
+   wire n_4538;
+   wire n_4539;
+   wire n_4540;
+   wire n_4541;
+   wire n_4542;
+   wire n_4543;
+   wire n_4544;
+   wire n_4545;
+   wire n_4546;
+   wire n_4547;
+   wire n_4548;
+   wire n_4549;
+   wire n_4550;
+   wire n_4551;
+   wire n_4552;
+   wire n_4553;
+   wire n_4554;
+   wire n_4555;
+   wire n_4556;
+   wire n_4557;
+   wire n_4558;
+   wire n_4559;
+   wire n_4560;
+   wire n_4561;
+   wire n_4562;
+   wire n_4563;
+   wire n_4564;
+   wire n_4565;
+   wire n_4566;
+   wire n_4567;
+   wire n_4568;
+   wire n_4569;
+   wire n_4570;
+   wire n_4571;
+   wire n_4572;
+   wire n_4573;
+   wire n_4574;
+   wire n_4575;
+   wire n_4576;
+   wire n_4577;
+   wire n_4578;
+   wire n_4579;
+   wire n_4580;
+   wire n_4581;
+   wire n_4582;
+   wire n_4583;
+   wire n_4584;
+   wire n_4585;
+   wire n_4586;
+   wire n_4587;
+   wire n_4588;
+   wire n_4589;
+   wire n_4590;
+   wire n_4591;
+   wire n_4592;
+   wire n_4593;
+   wire n_4594;
+   wire n_4595;
+   wire n_4596;
+   wire n_4597;
+   wire n_4598;
+   wire n_4599;
+   wire n_4600;
+   wire n_4601;
+   wire n_4602;
+   wire n_4603;
+   wire n_4604;
+   wire n_4605;
+   wire n_4606;
+   wire n_4607;
+   wire n_4608;
+   wire n_4609;
+   wire n_4610;
+   wire n_4611;
+   wire n_4612;
+   wire n_4613;
+   wire n_4614;
+   wire n_4615;
+   wire n_4616;
+   wire n_4617;
+   wire n_4618;
+   wire n_4619;
+   wire n_4620;
+   wire n_4621;
+   wire n_4622;
+   wire n_4623;
+   wire n_4624;
+   wire n_4625;
+   wire n_4626;
+   wire n_4627;
+   wire n_4628;
+   wire n_4629;
+   wire n_4630;
+   wire n_4631;
+   wire n_4632;
+   wire n_4633;
+   wire n_4634;
+   wire n_4635;
+   wire n_4636;
+   wire n_4637;
+   wire n_4638;
+   wire n_4639;
+   wire n_4640;
+   wire n_4641;
+   wire n_4642;
+   wire n_4643;
+   wire n_4644;
+   wire n_4645;
+   wire n_4646;
+   wire n_4647;
+   wire n_4648;
+   wire n_4649;
+   wire n_4650;
+   wire n_4651;
+   wire n_4652;
+   wire n_4653;
+   wire n_4654;
+   wire n_4655;
+   wire n_4656;
+   wire n_4657;
+   wire n_4658;
+   wire n_4659;
+   wire n_4660;
+   wire n_4661;
+   wire n_4662;
+   wire n_4663;
+   wire n_4664;
+   wire n_4665;
+   wire n_4666;
+   wire n_4667;
+   wire n_4668;
+   wire n_4669;
+   wire n_4670;
+   wire n_4671;
+   wire n_4672;
+   wire n_4673;
+   wire n_4674;
+   wire n_4675;
+   wire n_4676;
+   wire n_4677;
+   wire n_4678;
+   wire n_4679;
+   wire n_4680;
+   wire n_4681;
+   wire n_4682;
+   wire n_4683;
+   wire n_4684;
+   wire n_4685;
+   wire n_4686;
+   wire n_4687;
+   wire n_4688;
+   wire n_4689;
+   wire n_4690;
+   wire n_4691;
+   wire n_4692;
+   wire n_4693;
+   wire n_4694;
+   wire n_4695;
+   wire n_4696;
+   wire n_4697;
+   wire n_4698;
+   wire n_4699;
+   wire n_4700;
+   wire n_4701;
+   wire n_4702;
+   wire n_4703;
+   wire n_4704;
+   wire n_4705;
+   wire n_4706;
+   wire n_4707;
+   wire n_4708;
+   wire n_4709;
+   wire n_4710;
+   wire n_4711;
+   wire n_4712;
+   wire n_4713;
+   wire n_4714;
+   wire n_4715;
+   wire n_4716;
+   wire n_4717;
+   wire n_4718;
+   wire n_4719;
+   wire n_4720;
+   wire n_4721;
+   wire n_4722;
+   wire n_4723;
+   wire n_4724;
+   wire n_4725;
+   wire n_4726;
+   wire n_4727;
+   wire n_4728;
+   wire n_4729;
+   wire n_4730;
+   wire n_4731;
+   wire n_4732;
+   wire n_4733;
+   wire n_4734;
+   wire n_4735;
+   wire n_4736;
+   wire n_4737;
+   wire n_4738;
+   wire n_4739;
+   wire n_4740;
+   wire n_4741;
+   wire n_4742;
+   wire n_4743;
+   wire n_4744;
+   wire n_4745;
+   wire n_4746;
+   wire n_4747;
+   wire n_4748;
+   wire n_4749;
+   wire n_4750;
+   wire n_4751;
+   wire n_4752;
+   wire n_4753;
+   wire n_4754;
+   wire n_4755;
+   wire n_4756;
+   wire n_4757;
+   wire n_4758;
+   wire n_4759;
+   wire n_4760;
+   wire n_4761;
+   wire n_4762;
+   wire n_4763;
+   wire n_4764;
+   wire n_4765;
+   wire n_4766;
+   wire n_4767;
+   wire n_4768;
+   wire n_4769;
+   wire n_4770;
+   wire n_4771;
+   wire n_4772;
+   wire n_4773;
+   wire n_4774;
+   wire n_4775;
+   wire n_4776;
+   wire n_4777;
+   wire n_4778;
+   wire n_4779;
+   wire n_4780;
+   wire n_4781;
+   wire n_4782;
+   wire n_4783;
+   wire n_4784;
+   wire n_4785;
+   wire n_4786;
+   wire n_4787;
+   wire n_4788;
+   wire n_4789;
+   wire n_4790;
+   wire n_4791;
+   wire n_4792;
+   wire n_4793;
+   wire n_4794;
+   wire n_4795;
+   wire n_4796;
+   wire n_4797;
+   wire n_4798;
+   wire n_4799;
+   wire n_4800;
+   wire n_4801;
+   wire n_4802;
+   wire n_4803;
+   wire n_4804;
+   wire n_4805;
+   wire n_4806;
+   wire n_4807;
+   wire n_4808;
+   wire n_4809;
+   wire n_4810;
+   wire n_4811;
+   wire n_4812;
+   wire n_4813;
+   wire n_4814;
+   wire n_4815;
+   wire n_4816;
+   wire n_4817;
+   wire n_4818;
+   wire n_4819;
+   wire n_4820;
+   wire n_4821;
+   wire n_4822;
+   wire n_4823;
+   wire n_4824;
+   wire n_4825;
+   wire n_4826;
+   wire n_4827;
+   wire n_4828;
+   wire n_4829;
+   wire n_4830;
+   wire n_4831;
+   wire n_4832;
+   wire n_4833;
+   wire n_4834;
+   wire n_4835;
+   wire n_4836;
+   wire n_4837;
+   wire n_4838;
+   wire n_4839;
+   wire n_4840;
+   wire n_4841;
+   wire n_4842;
+   wire n_4843;
+   wire n_4844;
+   wire n_4845;
+   wire n_4846;
+   wire n_4847;
+   wire n_4848;
+   wire n_4849;
+   wire n_4850;
+   wire n_4851;
+   wire n_4852;
+   wire n_4853;
+   wire n_4854;
+   wire n_4855;
+   wire n_4856;
+   wire n_4857;
+   wire n_4858;
+   wire n_4859;
+   wire n_4860;
+   wire n_4861;
+   wire n_4862;
+   wire n_4863;
+   wire n_4864;
+   wire n_4865;
+   wire n_4866;
+   wire n_4867;
+   wire n_4868;
+   wire n_4869;
+   wire n_4870;
+   wire n_4871;
+   wire n_4872;
+   wire n_4873;
+   wire n_4874;
+   wire n_4875;
+   wire n_4876;
+   wire n_4877;
+   wire n_4878;
+   wire n_4879;
+   wire n_4880;
+   wire n_4881;
+   wire n_4882;
+   wire n_4883;
+   wire n_4884;
+   wire n_4885;
+   wire n_4886;
+   wire n_4887;
+   wire n_4888;
+   wire n_4889;
+   wire n_4890;
+   wire n_4891;
+   wire n_4892;
+   wire n_4893;
+   wire n_4894;
+   wire n_4895;
+   wire n_4896;
+   wire n_4897;
+   wire n_4898;
+   wire n_4899;
+   wire n_4900;
+   wire n_4901;
+   wire n_4902;
+   wire n_4903;
+   wire n_4904;
+   wire n_4905;
+   wire n_4906;
+   wire n_4907;
+   wire n_4908;
+   wire n_4909;
+   wire n_4910;
+   wire n_4911;
+   wire n_4912;
+   wire n_4913;
+   wire n_4914;
+   wire n_4915;
+   wire n_4916;
+   wire n_4917;
+   wire n_4918;
+   wire n_4919;
+   wire n_4920;
+   wire n_4921;
+   wire n_4922;
+   wire n_4923;
+   wire n_4924;
+   wire n_4925;
+   wire n_4926;
+   wire n_4927;
+   wire n_4928;
+   wire n_4929;
+   wire n_4930;
+   wire n_4931;
+   wire n_4932;
+   wire n_4933;
+   wire n_4934;
+   wire n_4935;
+   wire n_4936;
+   wire n_4937;
+   wire n_4938;
+   wire n_4939;
+   wire n_4940;
+   wire n_4941;
+   wire n_4942;
+   wire n_4943;
+   wire n_4944;
+   wire n_4945;
+   wire n_4946;
+   wire n_4947;
+   wire n_4948;
+   wire n_4949;
+   wire n_4950;
+   wire n_4951;
+   wire n_4952;
+   wire n_4953;
+   wire n_4954;
+   wire n_4955;
+   wire n_4956;
+   wire n_4957;
+   wire n_4958;
+   wire n_4959;
+   wire n_4960;
+   wire n_4961;
+   wire n_4962;
+   wire n_4963;
+   wire n_4964;
+   wire n_4965;
+   wire n_4966;
+   wire n_4967;
+   wire n_4968;
+   wire n_4969;
+   wire n_4970;
+   wire n_4971;
+   wire n_4972;
+   wire n_4973;
+   wire n_4974;
+   wire n_4975;
+   wire n_4976;
+   wire n_4977;
+   wire n_4978;
+   wire n_4979;
+   wire n_4980;
+   wire n_4981;
+   wire n_4982;
+   wire n_4983;
+   wire n_4984;
+   wire n_4985;
+   wire n_4986;
+   wire n_4987;
+   wire n_4988;
+   wire n_4989;
+   wire n_4990;
+   wire n_4991;
+   wire n_4992;
+   wire n_4993;
+   wire n_4994;
+   wire n_4995;
+   wire n_4996;
+   wire n_4997;
+   wire n_4998;
+   wire n_4999;
+   wire n_5000;
+   wire n_5001;
+   wire n_5002;
+   wire n_5003;
+   wire n_5004;
+   wire n_5005;
+   wire n_5006;
+   wire n_5007;
+   wire n_5008;
+   wire n_5009;
+   wire n_5010;
+   wire n_5011;
+   wire n_5012;
+   wire n_5013;
+   wire n_5014;
+   wire n_5015;
+   wire n_5016;
+   wire n_5017;
+   wire n_5018;
+   wire n_5019;
+   wire n_5020;
+   wire n_5021;
+   wire n_5022;
+   wire n_5023;
+   wire n_5024;
+   wire n_5025;
+   wire n_5026;
+   wire n_5027;
+   wire n_5028;
+   wire n_5029;
+   wire n_5030;
+   wire n_5031;
+   wire n_5032;
+   wire n_5033;
+   wire n_5034;
+   wire n_5035;
+   wire n_5036;
+   wire n_5037;
+   wire n_5038;
+   wire n_5039;
+   wire n_5040;
+   wire n_5041;
+   wire n_5042;
+   wire n_5043;
+   wire n_5044;
+   wire n_5045;
+   wire n_5046;
+   wire n_5047;
+   wire n_5048;
+   wire n_5049;
+   wire n_5050;
+   wire n_5051;
+   wire n_5052;
+   wire n_5053;
+   wire n_5054;
+   wire n_5055;
+   wire n_5056;
+   wire n_5057;
+   wire n_5058;
+   wire n_5059;
+   wire n_5060;
+   wire n_5061;
+   wire n_5062;
+   wire n_5063;
+   wire n_5064;
+   wire n_5065;
+   wire n_5066;
+   wire n_5067;
+   wire n_5068;
+   wire n_5069;
+   wire n_5070;
+   wire n_5071;
+   wire n_5072;
+   wire n_5073;
+   wire n_5074;
+   wire n_5075;
+   wire n_5076;
+   wire n_5077;
+   wire n_5079;
+   wire n_5080;
+   wire n_5081;
+   wire n_5082;
+   wire n_5083;
+   wire n_5084;
+   wire n_5085;
+   wire n_5086;
+   wire n_5087;
+   wire n_5088;
+   wire n_5089;
+   wire n_5090;
+   wire n_5091;
+   wire n_5092;
+   wire n_5094;
+   wire n_5095;
+   wire n_5096;
+   wire n_5097;
+   wire n_5098;
+   wire n_5099;
+   wire n_5100;
+   wire n_5101;
+   wire n_5102;
+   wire n_5103;
+   wire n_5104;
+   wire n_5105;
+   wire n_5106;
+   wire n_5107;
+   wire n_5108;
+   wire n_5109;
+   wire n_5110;
+   wire n_5111;
+   wire n_5112;
+   wire n_5113;
+   wire n_5114;
+   wire n_5115;
+   wire n_5116;
+   wire n_5117;
+   wire n_5118;
+   wire n_5119;
+   wire n_5120;
+   wire n_5121;
+   wire n_5122;
+   wire n_5123;
+   wire n_5124;
+   wire n_5125;
+   wire n_5126;
+   wire n_5127;
+   wire n_5128;
+   wire n_5129;
+   wire n_5130;
+   wire n_5131;
+   wire n_5132;
+   wire n_5133;
+   wire n_5134;
+   wire n_5135;
+   wire n_5136;
+   wire n_5137;
+   wire n_5138;
+   wire n_5139;
+   wire n_5140;
+   wire n_5141;
+   wire n_5142;
+   wire n_5143;
+   wire n_5144;
+   wire n_5145;
+   wire n_5146;
+   wire n_5147;
+   wire n_5148;
+   wire n_5149;
+   wire n_5150;
+   wire n_5151;
+   wire n_5152;
+   wire n_5153;
+   wire n_5154;
+   wire n_5155;
+   wire n_5156;
+   wire n_5157;
+   wire n_5158;
+   wire n_5159;
+   wire n_5160;
+   wire n_5161;
+   wire n_5162;
+   wire n_5163;
+   wire n_5164;
+   wire n_5165;
+   wire n_5166;
+   wire n_5167;
+   wire n_5168;
+   wire n_5169;
+   wire n_5170;
+   wire n_5171;
+   wire n_5172;
+   wire n_5173;
+   wire n_5174;
+   wire n_5175;
+   wire n_5176;
+   wire n_5177;
+   wire n_5178;
+   wire n_5179;
+   wire n_5180;
+   wire n_5181;
+   wire n_5182;
+   wire n_5183;
+   wire n_5184;
+   wire n_5185;
+   wire n_5186;
+   wire n_5187;
+   wire n_5188;
+   wire n_5189;
+   wire n_5190;
+   wire n_5191;
+   wire n_5192;
+   wire n_5193;
+   wire n_5194;
+   wire n_5195;
+   wire n_5196;
+   wire n_5197;
+   wire n_5198;
+   wire n_5199;
+   wire n_5200;
+   wire n_5201;
+   wire n_5202;
+   wire n_5203;
+   wire n_5204;
+   wire n_5205;
+   wire n_5206;
+   wire n_5207;
+   wire n_5208;
+   wire n_5209;
+   wire n_5210;
+   wire n_5211;
+   wire n_5212;
+   wire n_5213;
+   wire n_5214;
+   wire n_5215;
+   wire n_5216;
+   wire n_5217;
+   wire n_5218;
+   wire n_5219;
+   wire n_5220;
+   wire n_5221;
+   wire n_5222;
+   wire n_5223;
+   wire n_5224;
+   wire n_5225;
+   wire n_5226;
+   wire n_5227;
+   wire n_5228;
+   wire n_5229;
+   wire n_5230;
+   wire n_5231;
+   wire n_5232;
+   wire n_5233;
+   wire n_5234;
+   wire n_5235;
+   wire n_5236;
+   wire n_5237;
+   wire n_5238;
+   wire n_5239;
+   wire n_5240;
+   wire n_5241;
+   wire n_5242;
+   wire n_5243;
+   wire n_5244;
+   wire n_5245;
+   wire n_5246;
+   wire n_5247;
+   wire n_5248;
+   wire n_5249;
+   wire n_5250;
+   wire n_5251;
+   wire n_5252;
+   wire n_5253;
+   wire n_5254;
+   wire n_5255;
+   wire n_5256;
+   wire n_5257;
+   wire n_5258;
+   wire n_5259;
+   wire n_5260;
+   wire n_5261;
+   wire n_5262;
+   wire n_5263;
+   wire n_5264;
+   wire n_5265;
+   wire n_5266;
+   wire n_5267;
+   wire n_5268;
+   wire n_5269;
+   wire n_5270;
+   wire n_5271;
+   wire n_5272;
+   wire n_5273;
+   wire n_5274;
+   wire n_5275;
+   wire n_5276;
+   wire n_5277;
+   wire n_5278;
+   wire n_5279;
+   wire n_5280;
+   wire n_5281;
+   wire n_5282;
+   wire n_5283;
+   wire n_5284;
+   wire n_5285;
+   wire n_5286;
+   wire n_5287;
+   wire n_5288;
+   wire n_5289;
+   wire n_5290;
+   wire n_5291;
+   wire n_5292;
+   wire n_5293;
+   wire n_5294;
+   wire n_5295;
+   wire n_5296;
+   wire n_5297;
+   wire n_5298;
+   wire n_5299;
+   wire n_5300;
+   wire n_5301;
+   wire n_5302;
+   wire n_5303;
+   wire n_5304;
+   wire n_5305;
+   wire n_5306;
+   wire n_5307;
+   wire n_5308;
+   wire n_5309;
+   wire n_5310;
+   wire n_5311;
+   wire n_5312;
+   wire n_5313;
+   wire n_5314;
+   wire n_5315;
+   wire n_5316;
+   wire n_5317;
+   wire n_5318;
+   wire n_5319;
+   wire n_5320;
+   wire n_5321;
+   wire n_5322;
+   wire n_5323;
+   wire n_5324;
+   wire n_5325;
+   wire n_5326;
+   wire n_5327;
+   wire n_5328;
+   wire n_5329;
+   wire n_5330;
+   wire n_5331;
+   wire n_5333;
+   wire n_5334;
+   wire n_5335;
+   wire n_5336;
+   wire n_5337;
+   wire n_5338;
+   wire n_5339;
+   wire n_5340;
+   wire n_5341;
+   wire n_5342;
+   wire n_5343;
+   wire n_5344;
+   wire n_5345;
+   wire n_5346;
+   wire n_5347;
+   wire n_5348;
+   wire n_5349;
+   wire n_5350;
+   wire n_5351;
+   wire n_5352;
+   wire n_5353;
+   wire n_5354;
+   wire n_5355;
+   wire n_5356;
+   wire n_5357;
+   wire n_5358;
+   wire n_5360;
+   wire n_5361;
+   wire n_5362;
+   wire n_5363;
+   wire n_5364;
+   wire n_5366;
+   wire n_5367;
+   wire n_5368;
+   wire n_5369;
+   wire n_5370;
+   wire n_5371;
+   wire n_5372;
+   wire n_5373;
+   wire n_5374;
+   wire n_5375;
+   wire n_5376;
+   wire n_5377;
+   wire n_5378;
+   wire n_5379;
+   wire n_5380;
+   wire n_5381;
+   wire n_5382;
+   wire n_5383;
+   wire n_5384;
+   wire n_5385;
+   wire n_5386;
+   wire n_5387;
+   wire n_5388;
+   wire n_5389;
+   wire n_5390;
+   wire n_5391;
+   wire n_5392;
+   wire n_5393;
+   wire n_5394;
+   wire n_5395;
+   wire n_5396;
+   wire n_5397;
+   wire n_5398;
+   wire n_5399;
+   wire n_5400;
+   wire n_5401;
+   wire n_5403;
+   wire n_5404;
+   wire n_5405;
+   wire n_5406;
+   wire n_5407;
+   wire n_5408;
+   wire n_5409;
+   wire n_5410;
+   wire n_5411;
+   wire n_5412;
+   wire n_5413;
+   wire n_5414;
+   wire n_5415;
+   wire n_5416;
+   wire n_5417;
+   wire n_5418;
+   wire n_5419;
+   wire n_5420;
+   wire n_5421;
+   wire n_5422;
+   wire n_5423;
+   wire n_5425;
+   wire n_5426;
+   wire n_5427;
+   wire n_5428;
+   wire n_5429;
+   wire n_5430;
+   wire n_5431;
+   wire n_5432;
+   wire n_5433;
+   wire n_5434;
+   wire n_5435;
+   wire n_5436;
+   wire n_5437;
+   wire n_5438;
+   wire n_5439;
+   wire n_5440;
+   wire n_5441;
+   wire n_5442;
+   wire n_5443;
+   wire n_5444;
+   wire n_5445;
+   wire n_5446;
+   wire n_5447;
+   wire n_5448;
+   wire n_5449;
+   wire n_5450;
+   wire n_5451;
+   wire n_5452;
+   wire n_5453;
+   wire n_5454;
+   wire n_5455;
+   wire n_5456;
+   wire n_5457;
+   wire n_5458;
+   wire n_5459;
+   wire n_5460;
+   wire n_5461;
+   wire n_5462;
+   wire n_5463;
+   wire n_5464;
+   wire n_5465;
+   wire n_5466;
+   wire n_5467;
+   wire n_5468;
+   wire n_5469;
+   wire n_5470;
+   wire n_5471;
+   wire n_5472;
+   wire n_5473;
+   wire n_5474;
+   wire n_5475;
+   wire n_5476;
+   wire n_5477;
+   wire n_5478;
+   wire n_5479;
+   wire n_5480;
+   wire n_5481;
+   wire n_5482;
+   wire n_5483;
+   wire n_5484;
+   wire n_5485;
+   wire n_5486;
+   wire n_5487;
+   wire n_5488;
+   wire n_5489;
+   wire n_5490;
+   wire n_5491;
+   wire n_5492;
+   wire n_5493;
+   wire n_5494;
+   wire n_5495;
+   wire n_5496;
+   wire n_5497;
+   wire n_5498;
+   wire n_5499;
+   wire n_5500;
+   wire n_5501;
+   wire n_5502;
+   wire n_5503;
+   wire n_5504;
+   wire n_5505;
+   wire n_5506;
+   wire n_5507;
+   wire n_5508;
+   wire n_5509;
+   wire n_5510;
+   wire n_5511;
+   wire n_5512;
+   wire n_5513;
+   wire n_5514;
+   wire n_5515;
+   wire n_5516;
+   wire n_5517;
+   wire n_5518;
+   wire n_5519;
+   wire n_5520;
+   wire n_5521;
+   wire n_5522;
+   wire n_5523;
+   wire n_5524;
+   wire n_5525;
+   wire n_5526;
+   wire n_5527;
+   wire n_5528;
+   wire n_5529;
+   wire n_5530;
+   wire n_5531;
+   wire n_5532;
+   wire n_5533;
+   wire n_5534;
+   wire n_5535;
+   wire n_5536;
+   wire n_5537;
+   wire n_5538;
+   wire n_5539;
+   wire n_5540;
+   wire n_5541;
+   wire n_5542;
+   wire n_5543;
+   wire n_5544;
+   wire n_5545;
+   wire n_5546;
+   wire n_5547;
+   wire n_5548;
+   wire n_5549;
+   wire n_5550;
+   wire n_5551;
+   wire n_5552;
+   wire n_5553;
+   wire n_5554;
+   wire n_5555;
+   wire n_5556;
+   wire n_5557;
+   wire n_5558;
+   wire n_5559;
+   wire n_5560;
+   wire n_5561;
+   wire n_5562;
+   wire n_5563;
+   wire n_5564;
+   wire n_5565;
+   wire n_5566;
+   wire n_5567;
+   wire n_5568;
+   wire n_5569;
+   wire n_5570;
+   wire n_5571;
+   wire n_5572;
+   wire n_5573;
+   wire n_5574;
+   wire n_5575;
+   wire n_5576;
+   wire n_5577;
+   wire n_5578;
+   wire n_5579;
+   wire n_5580;
+   wire n_5581;
+   wire n_5582;
+   wire n_5583;
+   wire n_5584;
+   wire n_5585;
+   wire n_5586;
+   wire n_5587;
+   wire n_5588;
+   wire n_5589;
+   wire n_5590;
+   wire n_5591;
+   wire n_5592;
+   wire n_5593;
+   wire n_5594;
+   wire n_5595;
+   wire n_5596;
+   wire n_5597;
+   wire n_5598;
+   wire n_5599;
+   wire n_5600;
+   wire n_5601;
+   wire n_5602;
+   wire n_5603;
+   wire n_5604;
+   wire n_5605;
+   wire n_5606;
+   wire n_5607;
+   wire n_5608;
+   wire n_5609;
+   wire n_5610;
+   wire n_5611;
+   wire n_5612;
+   wire n_5613;
+   wire n_5614;
+   wire n_5615;
+   wire n_5616;
+   wire n_5617;
+   wire n_5618;
+   wire n_5619;
+   wire n_5620;
+   wire n_5621;
+   wire n_5622;
+   wire n_5623;
+   wire n_5624;
+   wire n_5625;
+   wire n_5626;
+   wire n_5627;
+   wire n_5628;
+   wire n_5629;
+   wire n_5630;
+   wire n_5631;
+   wire n_5632;
+   wire n_5633;
+   wire n_5634;
+   wire n_5635;
+   wire n_5636;
+   wire n_5637;
+   wire n_5638;
+   wire n_5639;
+   wire n_5640;
+   wire n_5641;
+   wire n_5642;
+   wire n_5643;
+   wire n_5644;
+   wire n_5645;
+   wire n_5646;
+   wire n_5647;
+   wire n_5648;
+   wire n_5649;
+   wire n_5650;
+   wire n_5651;
+   wire n_5652;
+   wire n_5653;
+   wire n_5654;
+   wire n_5655;
+   wire n_5656;
+   wire n_5657;
+   wire n_5658;
+   wire n_5659;
+   wire n_5660;
+   wire n_5661;
+   wire n_5662;
+   wire n_5663;
+   wire n_5664;
+   wire n_5665;
+   wire n_5666;
+   wire n_5667;
+   wire n_5668;
+   wire n_5669;
+   wire n_5670;
+   wire n_5671;
+   wire n_5672;
+   wire n_5673;
+   wire n_5674;
+   wire n_5675;
+   wire n_5676;
+   wire n_5677;
+   wire n_5678;
+   wire n_5679;
+   wire n_5680;
+   wire n_5681;
+   wire n_5682;
+   wire n_5683;
+   wire n_5684;
+   wire n_5685;
+   wire n_5686;
+   wire n_5687;
+   wire n_5688;
+   wire n_5689;
+   wire n_5690;
+   wire n_5691;
+   wire n_5692;
+   wire n_5693;
+   wire n_5694;
+   wire n_5695;
+   wire n_5696;
+   wire n_5697;
+   wire n_5699;
+   wire n_5700;
+   wire n_5701;
+   wire n_5702;
+   wire n_5703;
+   wire n_5704;
+   wire n_5705;
+   wire n_5706;
+   wire n_5707;
+   wire n_5708;
+   wire n_5709;
+   wire n_5710;
+   wire n_5711;
+   wire n_5712;
+   wire n_5713;
+   wire n_5714;
+   wire n_5715;
+   wire n_5716;
+   wire n_5717;
+   wire n_5718;
+   wire n_5719;
+   wire n_5720;
+   wire n_5721;
+   wire n_5722;
+   wire n_5723;
+   wire n_5724;
+   wire n_5725;
+   wire n_5726;
+   wire n_5727;
+   wire n_5728;
+   wire n_5729;
+   wire n_5730;
+   wire n_5731;
+   wire n_5732;
+   wire n_5733;
+   wire n_5734;
+   wire n_5735;
+   wire n_5736;
+   wire n_5737;
+   wire n_5738;
+   wire n_5739;
+   wire n_5740;
+   wire n_5741;
+   wire n_5742;
+   wire n_5743;
+   wire n_5744;
+   wire n_5745;
+   wire n_5746;
+   wire n_5747;
+   wire n_5748;
+   wire n_5749;
+   wire n_5750;
+   wire n_5751;
+   wire n_5752;
+   wire n_5753;
+   wire n_5754;
+   wire n_5755;
+   wire n_5756;
+   wire n_5757;
+   wire n_5758;
+   wire n_5759;
+   wire n_5760;
+   wire n_5761;
+   wire n_5762;
+   wire n_5763;
+   wire n_5764;
+   wire n_5765;
+   wire n_5766;
+   wire n_5767;
+   wire n_5768;
+   wire n_5769;
+   wire n_5770;
+   wire n_5771;
+   wire n_5772;
+   wire n_5773;
+   wire n_5774;
+   wire n_5775;
+   wire n_5776;
+   wire n_5777;
+   wire n_5778;
+   wire n_5779;
+   wire n_5780;
+   wire n_5781;
+   wire n_5782;
+   wire n_5783;
+   wire n_5784;
+   wire n_5785;
+   wire n_5786;
+   wire n_5787;
+   wire n_5788;
+   wire n_5789;
+   wire n_5790;
+   wire n_5791;
+   wire n_5792;
+   wire n_5793;
+   wire n_5795;
+   wire n_5796;
+   wire n_5797;
+   wire n_5798;
+   wire n_5799;
+   wire n_5800;
+   wire n_5801;
+   wire n_5802;
+   wire n_5803;
+   wire n_5804;
+   wire n_5805;
+   wire n_5806;
+   wire n_5807;
+   wire n_5808;
+   wire n_5809;
+   wire n_5810;
+   wire n_5811;
+   wire n_5812;
+   wire n_5813;
+   wire n_5814;
+   wire n_5815;
+   wire n_5816;
+   wire n_5817;
+   wire n_5818;
+   wire n_5819;
+   wire n_5820;
+   wire n_5821;
+   wire n_5822;
+   wire n_5823;
+   wire n_5824;
+   wire n_5825;
+   wire n_5826;
+   wire n_5827;
+   wire n_5828;
+   wire n_5829;
+   wire n_5830;
+   wire n_5831;
+   wire n_5832;
+   wire n_5833;
+   wire n_5834;
+   wire n_5835;
+   wire n_5836;
+   wire n_5837;
+   wire n_5838;
+   wire n_5839;
+   wire n_5840;
+   wire n_5841;
+   wire n_5842;
+   wire n_5843;
+   wire n_5844;
+   wire n_5845;
+   wire n_5846;
+   wire n_5847;
+   wire n_5848;
+   wire n_5849;
+   wire n_5850;
+   wire n_5851;
+   wire n_5852;
+   wire n_5853;
+   wire n_5854;
+   wire n_5855;
+   wire n_5856;
+   wire n_5857;
+   wire n_5858;
+   wire n_5859;
+   wire n_5860;
+   wire n_5861;
+   wire n_5862;
+   wire n_5863;
+   wire n_5864;
+   wire n_5865;
+   wire n_5866;
+   wire n_5867;
+   wire n_5868;
+   wire n_5869;
+   wire n_5870;
+   wire n_5871;
+   wire n_5872;
+   wire n_5873;
+   wire n_5874;
+   wire n_5875;
+   wire n_5876;
+   wire n_5877;
+   wire n_5878;
+   wire n_5880;
+   wire n_5881;
+   wire n_5882;
+   wire n_5883;
+   wire n_5884;
+   wire n_5885;
+   wire n_5886;
+   wire n_5887;
+   wire n_5888;
+   wire n_5889;
+   wire n_5890;
+   wire n_5891;
+   wire n_5892;
+   wire n_5893;
+   wire n_5894;
+   wire n_5895;
+   wire n_5896;
+   wire n_5897;
+   wire n_5898;
+   wire n_5899;
+   wire n_5900;
+   wire n_5901;
+   wire n_5902;
+   wire n_5903;
+   wire n_5904;
+   wire n_5905;
+   wire n_5906;
+   wire n_5907;
+   wire n_5908;
+   wire n_5909;
+   wire n_5910;
+   wire n_5911;
+   wire n_5912;
+   wire n_5913;
+   wire n_5914;
+   wire n_5915;
+   wire n_5916;
+   wire n_5917;
+   wire n_5918;
+   wire n_5919;
+   wire n_5921;
+   wire n_5922;
+   wire n_5923;
+   wire n_5924;
+   wire n_5925;
+   wire n_5926;
+   wire n_5927;
+   wire n_5928;
+   wire n_5929;
+   wire n_5930;
+   wire n_5932;
+   wire n_5933;
+   wire n_5934;
+   wire n_5935;
+   wire n_5937;
+   wire n_5938;
+   wire n_5939;
+   wire n_5940;
+   wire n_5941;
+   wire n_5942;
+   wire n_5943;
+   wire n_5944;
+   wire n_5945;
+   wire n_5946;
+   wire n_5947;
+   wire n_5948;
+   wire n_5949;
+   wire n_5950;
+   wire n_5951;
+   wire n_5952;
+   wire n_5953;
+   wire n_5954;
+   wire n_5955;
+   wire n_5956;
+   wire n_5957;
+   wire n_5958;
+   wire n_5959;
+   wire n_5960;
+   wire n_5961;
+   wire n_5962;
+   wire n_5963;
+   wire n_5964;
+   wire n_5965;
+   wire n_5966;
+   wire n_5967;
+   wire n_5968;
+   wire n_5969;
+   wire n_5970;
+   wire n_5971;
+   wire n_5972;
+   wire n_5973;
+   wire n_5974;
+   wire n_5975;
+   wire n_5976;
+   wire n_5977;
+   wire n_5978;
+   wire n_5979;
+   wire n_5980;
+   wire n_5981;
+   wire n_5982;
+   wire n_5983;
+   wire n_5984;
+   wire n_5985;
+   wire n_5986;
+   wire n_5987;
+   wire n_5988;
+   wire n_5989;
+   wire n_5990;
+   wire n_5991;
+   wire n_5992;
+   wire n_5993;
+   wire n_5994;
+   wire n_5995;
+   wire n_5996;
+   wire n_5997;
+   wire n_5998;
+   wire n_5999;
+   wire n_6000;
+   wire n_6001;
+   wire n_6002;
+   wire n_6003;
+   wire n_6004;
+   wire n_6005;
+   wire n_6006;
+   wire n_6007;
+   wire n_6008;
+   wire n_6010;
+   wire n_6011;
+   wire n_6012;
+   wire n_6013;
+   wire n_6014;
+   wire n_6015;
+   wire n_6016;
+   wire n_6017;
+   wire n_6018;
+   wire n_6019;
+   wire n_6020;
+   wire n_6021;
+   wire n_6022;
+   wire n_6023;
+   wire n_6024;
+   wire n_6025;
+   wire n_6026;
+   wire n_6027;
+   wire n_6028;
+   wire n_6029;
+   wire n_6030;
+   wire n_6031;
+   wire n_6032;
+   wire n_6033;
+   wire n_6034;
+   wire n_6035;
+   wire n_6036;
+   wire n_6037;
+   wire n_6038;
+   wire n_6039;
+   wire n_6040;
+   wire n_6041;
+   wire n_6042;
+   wire n_6043;
+   wire n_6044;
+   wire n_6045;
+   wire n_6046;
+   wire n_6047;
+   wire n_6048;
+   wire n_6049;
+   wire n_6050;
+   wire n_6051;
+   wire n_6052;
+   wire n_6053;
+   wire n_6054;
+   wire n_6055;
+   wire n_6056;
+   wire n_6057;
+   wire n_6058;
+   wire n_6059;
+   wire n_6060;
+   wire n_6061;
+   wire n_6062;
+   wire n_6063;
+   wire n_6064;
+   wire n_6065;
+   wire n_6066;
+   wire n_6067;
+   wire n_6068;
+   wire n_6069;
+   wire n_6070;
+   wire n_6071;
+   wire n_6072;
+   wire n_6073;
+   wire n_6074;
+   wire n_6075;
+   wire n_6076;
+   wire n_6077;
+   wire n_6078;
+   wire n_6079;
+   wire n_6080;
+   wire n_6081;
+   wire n_6082;
+   wire n_6083;
+   wire n_6084;
+   wire n_6085;
+   wire n_6086;
+   wire n_6087;
+   wire n_6088;
+   wire n_6089;
+   wire n_6090;
+   wire n_6091;
+   wire n_6092;
+   wire n_6093;
+   wire n_6094;
+   wire n_6095;
+   wire n_6096;
+   wire n_6097;
+   wire n_6098;
+   wire n_6099;
+   wire n_6100;
+   wire n_6101;
+   wire n_6102;
+   wire n_6103;
+   wire n_6104;
+   wire n_6105;
+   wire n_6106;
+   wire n_6107;
+   wire n_6108;
+   wire n_6109;
+   wire n_6110;
+   wire n_6111;
+   wire n_6112;
+   wire n_6113;
+   wire n_6114;
+   wire n_6115;
+   wire n_6116;
+   wire n_6117;
+   wire n_6118;
+   wire n_6119;
+   wire n_6120;
+   wire n_6121;
+   wire n_6122;
+   wire n_6123;
+   wire n_6124;
+   wire n_6125;
+   wire n_6126;
+   wire n_6127;
+   wire n_6128;
+   wire n_6129;
+   wire n_6130;
+   wire n_6131;
+   wire n_6132;
+   wire n_6133;
+   wire n_6134;
+   wire n_6135;
+   wire n_6136;
+   wire n_6137;
+   wire n_6138;
+   wire n_6139;
+   wire n_6140;
+   wire n_6141;
+   wire n_6142;
+   wire n_6143;
+   wire n_6144;
+   wire n_6145;
+   wire n_6146;
+   wire n_6147;
+   wire n_6148;
+   wire n_6149;
+   wire n_6150;
+   wire n_6151;
+   wire n_6152;
+   wire n_6153;
+   wire n_6154;
+   wire n_6155;
+   wire n_6156;
+   wire n_6157;
+   wire n_6158;
+   wire n_6159;
+   wire n_6160;
+   wire n_6161;
+   wire n_6162;
+   wire n_6163;
+   wire n_6164;
+   wire n_6165;
+   wire n_6166;
+   wire n_6167;
+   wire n_6168;
+   wire n_6169;
+   wire n_6170;
+   wire n_6171;
+   wire n_6172;
+   wire n_6173;
+   wire n_6174;
+   wire n_6175;
+   wire n_6176;
+   wire n_6177;
+   wire n_6178;
+   wire n_6179;
+   wire n_6180;
+   wire n_6181;
+   wire n_6182;
+   wire n_6183;
+   wire n_6184;
+   wire n_6185;
+   wire n_6186;
+   wire n_6187;
+   wire n_6188;
+   wire n_6189;
+   wire n_6190;
+   wire n_6191;
+   wire n_6192;
+   wire n_6193;
+   wire n_6194;
+   wire n_6195;
+   wire n_6196;
+   wire n_6197;
+   wire n_6198;
+   wire n_6199;
+   wire n_6200;
+   wire n_6201;
+   wire n_6202;
+   wire n_6203;
+   wire n_6204;
+   wire n_6205;
+   wire n_6206;
+   wire n_6207;
+   wire n_6208;
+   wire n_6209;
+   wire n_6210;
+   wire n_6211;
+   wire n_6212;
+   wire n_6213;
+   wire n_6214;
+   wire n_6215;
+   wire n_6216;
+   wire n_6217;
+   wire n_6218;
+   wire n_6219;
+   wire n_6220;
+   wire n_6221;
+   wire n_6222;
+   wire n_6223;
+   wire n_6224;
+   wire n_6225;
+   wire n_6226;
+   wire n_6227;
+   wire n_6228;
+   wire n_6229;
+   wire n_6230;
+   wire n_6231;
+   wire n_6232;
+   wire n_6233;
+   wire n_6234;
+   wire n_6235;
+   wire n_6236;
+   wire n_6237;
+   wire n_6238;
+   wire n_6239;
+   wire n_6240;
+   wire n_6241;
+   wire n_6242;
+   wire n_6243;
+   wire n_6244;
+   wire n_6245;
+   wire n_6246;
+   wire n_6247;
+   wire n_6248;
+   wire n_6249;
+   wire n_6250;
+   wire n_6251;
+   wire n_6252;
+   wire n_6253;
+   wire n_6254;
+   wire n_6255;
+   wire n_6256;
+   wire n_6257;
+   wire n_6258;
+   wire n_6259;
+   wire n_6260;
+   wire n_6261;
+   wire n_6262;
+   wire n_6263;
+   wire n_6264;
+   wire n_6265;
+   wire n_6266;
+   wire n_6267;
+   wire n_6268;
+   wire n_6269;
+   wire n_6270;
+   wire n_6271;
+   wire n_6272;
+   wire n_6273;
+   wire n_6274;
+   wire n_6275;
+   wire n_6276;
+   wire n_6277;
+   wire n_6278;
+   wire n_6279;
+   wire n_6280;
+   wire n_6281;
+   wire n_6282;
+   wire n_6283;
+   wire n_6284;
+   wire n_6285;
+   wire n_6286;
+   wire n_6287;
+   wire n_6288;
+   wire n_6289;
+   wire n_6290;
+   wire n_6291;
+   wire n_6292;
+   wire n_6293;
+   wire n_6294;
+   wire n_6295;
+   wire n_6296;
+   wire n_6297;
+   wire n_6298;
+   wire n_6299;
+   wire n_6300;
+   wire n_6301;
+   wire n_6302;
+   wire n_6303;
+   wire n_6304;
+   wire n_6305;
+   wire n_6306;
+   wire n_6307;
+   wire n_6308;
+   wire n_6309;
+   wire n_6310;
+   wire n_6311;
+   wire n_6312;
+   wire n_6313;
+   wire n_6314;
+   wire n_6315;
+   wire n_6316;
+   wire n_6317;
+   wire n_6318;
+   wire n_6319;
+   wire n_6320;
+   wire n_6321;
+   wire n_6322;
+   wire n_6323;
+   wire n_6324;
+   wire n_6325;
+   wire n_6326;
+   wire n_6327;
+   wire n_6328;
+   wire n_6329;
+   wire n_6330;
+   wire n_6331;
+   wire n_6332;
+   wire n_6333;
+   wire n_6334;
+   wire n_6335;
+   wire n_6336;
+   wire n_6337;
+   wire n_6338;
+   wire n_6339;
+   wire n_6340;
+   wire n_6341;
+   wire n_6342;
+   wire n_6343;
+   wire n_6344;
+   wire n_6345;
+   wire n_6346;
+   wire n_6347;
+   wire n_6348;
+   wire n_6349;
+   wire n_6350;
+   wire n_6351;
+   wire n_6352;
+   wire n_6353;
+   wire n_6354;
+   wire n_6355;
+   wire n_6356;
+   wire n_6357;
+   wire n_6358;
+   wire n_6359;
+   wire n_6360;
+   wire n_6361;
+   wire n_6362;
+   wire n_6363;
+   wire n_6364;
+   wire n_6365;
+   wire n_6366;
+   wire n_6367;
+   wire n_6368;
+   wire n_6369;
+   wire n_6370;
+   wire n_6371;
+   wire n_6372;
+   wire n_6373;
+   wire n_6374;
+   wire n_6375;
+   wire n_6376;
+   wire n_6377;
+   wire n_6378;
+   wire n_6379;
+   wire n_6380;
+   wire n_6381;
+   wire n_6382;
+   wire n_6383;
+   wire n_6384;
+   wire n_6385;
+   wire n_6386;
+   wire n_6387;
+   wire n_6388;
+   wire n_6389;
+   wire n_6390;
+   wire n_6391;
+   wire n_6392;
+   wire n_6393;
+   wire n_6394;
+   wire n_6395;
+   wire n_6396;
+   wire n_6397;
+   wire n_6398;
+   wire n_6399;
+   wire n_6400;
+   wire n_6402;
+   wire n_6403;
+   wire n_6404;
+   wire n_6405;
+   wire n_6406;
+   wire n_6407;
+   wire n_6408;
+   wire n_6409;
+   wire n_6410;
+   wire n_6411;
+   wire n_6412;
+   wire n_6413;
+   wire n_6414;
+   wire n_6415;
+   wire n_6416;
+   wire n_6417;
+   wire n_6418;
+   wire n_6419;
+   wire n_6420;
+   wire n_6421;
+   wire n_6422;
+   wire n_6423;
+   wire n_6424;
+   wire n_6425;
+   wire n_6426;
+   wire n_6427;
+   wire n_6428;
+   wire n_6429;
+   wire n_6430;
+   wire n_6431;
+   wire n_6432;
+   wire n_6433;
+   wire n_6434;
+   wire n_6435;
+   wire n_6436;
+   wire n_6437;
+   wire n_6438;
+   wire n_6439;
+   wire n_6440;
+   wire n_6441;
+   wire n_6442;
+   wire n_6443;
+   wire n_6444;
+   wire n_6445;
+   wire n_6446;
+   wire n_6447;
+   wire n_6448;
+   wire n_6449;
+   wire n_6450;
+   wire n_6451;
+   wire n_6452;
+   wire n_6453;
+   wire n_6454;
+   wire n_6455;
+   wire n_6456;
+   wire n_6457;
+   wire n_6458;
+   wire n_6459;
+   wire n_6460;
+   wire n_6461;
+   wire n_6462;
+   wire n_6463;
+   wire n_6464;
+   wire n_6465;
+   wire n_6466;
+   wire n_6467;
+   wire n_6468;
+   wire n_6469;
+   wire n_6470;
+   wire n_6471;
+   wire n_6472;
+   wire n_6473;
+   wire n_6474;
+   wire n_6475;
+   wire n_6476;
+   wire n_6477;
+   wire n_6478;
+   wire n_6479;
+   wire n_6480;
+   wire n_6481;
+   wire n_6482;
+   wire n_6483;
+   wire n_6484;
+   wire n_6485;
+   wire n_6486;
+   wire n_6487;
+   wire n_6488;
+   wire n_6489;
+   wire n_6490;
+   wire n_6491;
+   wire n_6492;
+   wire n_6493;
+   wire n_6494;
+   wire n_6495;
+   wire n_6496;
+   wire n_6497;
+   wire n_6498;
+   wire n_6499;
+   wire n_6500;
+   wire n_6501;
+   wire n_6502;
+   wire n_6503;
+   wire n_6504;
+   wire n_6505;
+   wire n_6506;
+   wire n_6507;
+   wire n_6508;
+   wire n_6509;
+   wire n_6510;
+   wire n_6511;
+   wire n_6512;
+   wire n_6513;
+   wire n_6514;
+   wire n_6515;
+   wire n_6516;
+   wire n_6517;
+   wire n_6518;
+   wire n_6519;
+   wire n_6520;
+   wire n_6521;
+   wire n_6522;
+   wire n_6523;
+   wire n_6524;
+   wire n_6525;
+   wire n_6526;
+   wire n_6527;
+   wire n_6528;
+   wire n_6529;
+   wire n_6530;
+   wire n_6531;
+   wire n_6532;
+   wire n_6533;
+   wire n_6534;
+   wire n_6535;
+   wire n_6536;
+   wire n_6537;
+   wire n_6538;
+   wire n_6539;
+   wire n_6540;
+   wire n_6541;
+   wire n_6542;
+   wire n_6543;
+   wire n_6544;
+   wire n_6546;
+   wire n_6547;
+   wire n_6548;
+   wire n_6549;
+   wire n_6550;
+   wire n_6551;
+   wire n_6552;
+   wire n_6553;
+   wire n_6554;
+   wire n_6555;
+   wire n_6556;
+   wire n_6557;
+   wire n_6558;
+   wire n_6559;
+   wire n_6560;
+   wire n_6561;
+   wire n_6562;
+   wire n_6563;
+   wire n_6564;
+   wire n_6565;
+   wire n_6566;
+   wire n_6567;
+   wire n_6568;
+   wire n_6569;
+   wire n_6570;
+   wire n_6571;
+   wire n_6572;
+   wire n_6573;
+   wire n_6574;
+   wire n_6575;
+   wire n_6576;
+   wire n_6577;
+   wire n_6578;
+   wire n_6579;
+   wire n_6580;
+   wire n_6581;
+   wire n_6582;
+   wire n_6583;
+   wire n_6584;
+   wire n_6585;
+   wire n_6586;
+   wire n_6587;
+   wire n_6588;
+   wire n_6589;
+   wire n_6590;
+   wire n_6591;
+   wire n_6592;
+   wire n_6593;
+   wire n_6594;
+   wire n_6595;
+   wire n_6596;
+   wire n_6597;
+   wire n_6598;
+   wire n_6599;
+   wire n_6600;
+   wire n_6601;
+   wire n_6602;
+   wire n_6603;
+   wire n_6604;
+   wire n_6605;
+   wire n_6606;
+   wire n_6607;
+   wire n_6608;
+   wire n_6609;
+   wire n_6610;
+   wire n_6611;
+   wire n_6612;
+   wire n_6613;
+   wire n_6614;
+   wire n_6615;
+   wire n_6616;
+   wire n_6617;
+   wire n_6618;
+   wire n_6619;
+   wire n_6620;
+   wire n_6621;
+   wire n_6622;
+   wire n_6623;
+   wire n_6624;
+   wire n_6625;
+   wire n_6626;
+   wire n_6627;
+   wire n_6628;
+   wire n_6629;
+   wire n_6630;
+   wire n_6631;
+   wire n_6632;
+   wire n_6633;
+   wire n_6634;
+   wire n_6635;
+   wire n_6636;
+   wire n_6637;
+   wire n_6638;
+   wire n_6639;
+   wire n_6640;
+   wire n_6641;
+   wire n_6642;
+   wire n_6643;
+   wire n_6644;
+   wire n_6645;
+   wire n_6646;
+   wire n_6647;
+   wire n_6648;
+   wire n_6649;
+   wire n_6650;
+   wire n_6651;
+   wire n_6652;
+   wire n_6653;
+   wire n_6654;
+   wire n_6655;
+   wire n_6656;
+   wire n_6657;
+   wire n_6658;
+   wire n_6659;
+   wire n_6660;
+   wire n_6661;
+   wire n_6662;
+   wire n_6663;
+   wire n_6664;
+   wire n_6665;
+   wire n_6666;
+   wire n_6667;
+   wire n_6668;
+   wire n_6669;
+   wire n_6670;
+   wire n_6671;
+   wire n_6672;
+   wire n_6673;
+   wire n_6674;
+   wire n_6675;
+   wire n_6676;
+   wire n_6677;
+   wire n_6678;
+   wire n_6679;
+   wire n_6680;
+   wire n_6681;
+   wire n_6682;
+   wire n_6683;
+   wire n_6684;
+   wire n_6685;
+   wire n_6686;
+   wire n_6687;
+   wire n_6688;
+   wire n_6689;
+   wire n_6690;
+   wire n_6691;
+   wire n_6692;
+   wire n_6693;
+   wire n_6694;
+   wire n_6696;
+   wire n_6697;
+   wire n_6698;
+   wire n_6699;
+   wire n_6700;
+   wire n_6701;
+   wire n_6702;
+   wire n_6703;
+   wire n_6704;
+   wire n_6705;
+   wire n_6706;
+   wire n_6707;
+   wire n_6708;
+   wire n_6709;
+   wire n_6710;
+   wire n_6711;
+   wire n_6712;
+   wire n_6713;
+   wire n_6714;
+   wire n_6715;
+   wire n_6716;
+   wire n_6717;
+   wire n_6718;
+   wire n_6719;
+   wire n_6720;
+   wire n_6721;
+   wire n_6722;
+   wire n_6723;
+   wire n_6724;
+   wire n_6725;
+   wire n_6726;
+   wire n_6727;
+   wire n_6728;
+   wire n_6729;
+   wire n_6730;
+   wire n_6731;
+   wire n_6732;
+   wire n_6733;
+   wire n_6734;
+   wire n_6735;
+   wire n_6736;
+   wire n_6737;
+   wire n_6738;
+   wire n_6739;
+   wire n_6740;
+   wire n_6741;
+   wire n_6742;
+   wire n_6743;
+   wire n_6744;
+   wire n_6745;
+   wire n_6746;
+   wire n_6747;
+   wire n_6748;
+   wire n_6749;
+   wire n_6750;
+   wire n_6751;
+   wire n_6752;
+   wire n_6753;
+   wire n_6754;
+   wire n_6755;
+   wire n_6756;
+   wire n_6757;
+   wire n_6758;
+   wire n_6759;
+   wire n_6760;
+   wire n_6761;
+   wire n_6762;
+   wire n_6763;
+   wire n_6764;
+   wire n_6765;
+   wire n_6766;
+   wire n_6767;
+   wire n_6768;
+   wire n_6769;
+   wire n_6770;
+   wire n_6771;
+   wire n_6772;
+   wire n_6773;
+   wire n_6774;
+   wire n_6775;
+   wire n_6776;
+   wire n_6777;
+   wire n_6778;
+   wire n_6779;
+   wire n_6780;
+   wire n_6781;
+   wire n_6782;
+   wire n_6783;
+   wire n_6784;
+   wire n_6785;
+   wire n_6786;
+   wire n_6787;
+   wire n_6788;
+   wire n_6789;
+   wire n_6790;
+   wire n_6791;
+   wire n_6792;
+   wire n_6793;
+   wire n_6794;
+   wire n_6795;
+   wire n_6796;
+   wire n_6797;
+   wire n_6798;
+   wire n_6799;
+   wire n_6800;
+   wire n_6801;
+   wire n_6802;
+   wire n_6803;
+   wire n_6804;
+   wire n_6805;
+   wire n_6806;
+   wire n_6807;
+   wire n_6808;
+   wire n_6809;
+   wire n_6810;
+   wire n_6811;
+   wire n_6812;
+   wire n_6813;
+   wire n_6814;
+   wire n_6815;
+   wire n_6816;
+   wire n_6817;
+   wire n_6818;
+   wire n_6819;
+   wire n_6820;
+   wire n_6821;
+   wire n_6822;
+   wire n_6823;
+   wire n_6824;
+   wire n_6825;
+   wire n_6826;
+   wire n_6827;
+   wire n_6828;
+   wire n_6829;
+   wire n_6830;
+   wire n_6831;
+   wire n_6832;
+   wire n_6833;
+   wire n_6834;
+   wire n_6835;
+   wire n_6836;
+   wire n_6837;
+   wire n_6838;
+   wire n_6839;
+   wire n_6840;
+   wire n_6841;
+   wire n_6842;
+   wire n_6843;
+   wire n_6844;
+   wire n_6845;
+   wire n_6846;
+   wire n_6847;
+   wire n_6848;
+   wire n_6849;
+   wire n_6850;
+   wire n_6851;
+   wire n_6852;
+   wire n_6853;
+   wire n_6854;
+   wire n_6855;
+   wire n_6856;
+   wire n_6857;
+   wire n_6858;
+   wire n_6859;
+   wire n_6860;
+   wire n_6861;
+   wire n_6862;
+   wire n_6863;
+   wire n_6864;
+   wire n_6865;
+   wire n_6866;
+   wire n_6867;
+   wire n_6868;
+   wire n_6869;
+   wire n_6870;
+   wire n_6871;
+   wire n_6872;
+   wire n_6873;
+   wire n_6874;
+   wire n_6875;
+   wire n_6876;
+   wire n_6877;
+   wire n_6878;
+   wire n_6879;
+   wire n_6880;
+   wire n_6881;
+   wire n_6882;
+   wire n_6883;
+   wire n_6884;
+   wire n_6886;
+   wire n_6887;
+   wire n_6888;
+   wire n_6889;
+   wire n_6890;
+   wire n_6891;
+   wire n_6892;
+   wire n_6893;
+   wire n_6894;
+   wire n_6895;
+   wire n_6896;
+   wire n_6897;
+   wire n_6898;
+   wire n_6899;
+   wire n_6900;
+   wire n_6901;
+   wire n_6902;
+   wire n_6903;
+   wire n_6904;
+   wire n_6905;
+   wire n_6906;
+   wire n_6907;
+   wire n_6908;
+   wire n_6909;
+   wire n_6910;
+   wire n_6911;
+   wire n_6912;
+   wire n_6913;
+   wire n_6915;
+   wire n_6916;
+   wire n_6917;
+   wire n_6918;
+   wire n_6919;
+   wire n_6920;
+   wire n_6921;
+   wire n_6922;
+   wire n_6923;
+   wire n_6924;
+   wire n_6925;
+   wire n_6926;
+   wire n_6927;
+   wire n_6928;
+   wire n_6929;
+   wire n_6930;
+   wire n_6931;
+   wire n_6932;
+   wire n_6933;
+   wire n_6934;
+   wire n_6935;
+   wire n_6936;
+   wire n_6937;
+   wire n_6938;
+   wire n_6939;
+   wire n_6940;
+   wire n_6941;
+   wire n_6942;
+   wire n_6943;
+   wire n_6944;
+   wire n_6945;
+   wire n_6946;
+   wire n_6947;
+   wire n_6948;
+   wire n_6949;
+   wire n_6950;
+   wire n_6951;
+   wire n_6952;
+   wire n_6953;
+   wire n_6954;
+   wire n_6955;
+   wire n_6956;
+   wire n_6957;
+   wire n_6958;
+   wire n_6959;
+   wire n_6960;
+   wire n_6961;
+   wire n_6962;
+   wire n_6963;
+   wire n_6964;
+   wire n_6965;
+   wire n_6966;
+   wire n_6967;
+   wire n_6968;
+   wire n_6969;
+   wire n_6970;
+   wire n_6971;
+   wire n_6972;
+   wire n_6973;
+   wire n_6974;
+   wire n_6975;
+   wire n_6976;
+   wire n_6977;
+   wire n_6978;
+   wire n_6979;
+   wire n_6980;
+   wire n_6981;
+   wire n_6982;
+   wire n_6983;
+   wire n_6984;
+   wire n_6985;
+   wire n_6986;
+   wire n_6987;
+   wire n_6988;
+   wire n_6989;
+   wire n_6990;
+   wire n_6991;
+   wire n_6992;
+   wire n_6993;
+   wire n_6994;
+   wire n_6995;
+   wire n_6996;
+   wire n_6997;
+   wire n_6998;
+   wire n_6999;
+   wire n_7000;
+   wire n_7001;
+   wire n_7002;
+   wire n_7003;
+   wire n_7004;
+   wire n_7005;
+   wire n_7006;
+   wire n_7007;
+   wire n_7008;
+   wire n_7009;
+   wire n_7010;
+   wire n_7011;
+   wire n_7012;
+   wire n_7013;
+   wire n_7014;
+   wire n_7015;
+   wire n_7016;
+   wire n_7017;
+   wire n_7018;
+   wire n_7019;
+   wire n_7020;
+   wire n_7021;
+   wire n_7022;
+   wire n_7023;
+   wire n_7024;
+   wire n_7025;
+   wire n_7026;
+   wire n_7027;
+   wire n_7028;
+   wire n_7029;
+   wire n_7030;
+   wire n_7031;
+   wire n_7032;
+   wire n_7033;
+   wire n_7034;
+   wire n_7035;
+   wire n_7036;
+   wire n_7037;
+   wire n_7038;
+   wire n_7039;
+   wire n_7040;
+   wire n_7041;
+   wire n_7042;
+   wire n_7043;
+   wire n_7044;
+   wire n_7045;
+   wire n_7046;
+   wire n_7047;
+   wire n_7048;
+   wire n_7049;
+   wire n_7050;
+   wire n_7051;
+   wire n_7052;
+   wire n_7053;
+   wire n_7054;
+   wire n_7055;
+   wire n_7056;
+   wire n_7057;
+   wire n_7058;
+   wire n_7059;
+   wire n_7060;
+   wire n_7061;
+   wire n_7062;
+   wire n_7063;
+   wire n_7064;
+   wire n_7065;
+   wire n_7066;
+   wire n_7067;
+   wire n_7068;
+   wire n_7069;
+   wire n_7070;
+   wire n_7071;
+   wire n_7072;
+   wire n_7073;
+   wire n_7074;
+   wire n_7075;
+   wire n_7076;
+   wire n_7077;
+   wire n_7078;
+   wire n_7079;
+   wire n_7080;
+   wire n_7081;
+   wire n_7082;
+   wire n_7083;
+   wire n_7084;
+   wire n_7085;
+   wire n_7086;
+   wire n_7087;
+   wire n_7088;
+   wire n_7089;
+   wire n_7090;
+   wire n_7091;
+   wire n_7092;
+   wire n_7093;
+   wire n_7094;
+   wire n_7095;
+   wire n_7096;
+   wire n_7097;
+   wire n_7098;
+   wire n_7099;
+   wire n_7100;
+   wire n_7101;
+   wire n_7102;
+   wire n_7103;
+   wire n_7104;
+   wire n_7105;
+   wire n_7106;
+   wire n_7107;
+   wire n_7108;
+   wire n_7109;
+   wire n_7110;
+   wire n_7111;
+   wire n_7112;
+   wire n_7113;
+   wire n_7114;
+   wire n_7115;
+   wire n_7116;
+   wire n_7117;
+   wire n_7118;
+   wire n_7119;
+   wire n_7120;
+   wire n_7121;
+   wire n_7122;
+   wire n_7123;
+   wire n_7124;
+   wire n_7125;
+   wire n_7126;
+   wire n_7127;
+   wire n_7128;
+   wire n_7129;
+   wire n_7130;
+   wire n_7131;
+   wire n_7132;
+   wire n_7133;
+   wire n_7134;
+   wire n_7135;
+   wire n_7136;
+   wire n_7137;
+   wire n_7138;
+   wire n_7139;
+   wire n_7140;
+   wire n_7141;
+   wire n_7142;
+   wire n_7143;
+   wire n_7144;
+   wire n_7145;
+   wire n_7146;
+   wire n_7147;
+   wire n_7148;
+   wire n_7149;
+   wire n_7150;
+   wire n_7151;
+   wire n_7152;
+   wire n_7153;
+   wire n_7154;
+   wire n_7155;
+   wire n_7156;
+   wire n_7157;
+   wire n_7158;
+   wire n_7159;
+   wire n_7160;
+   wire n_7161;
+   wire n_7162;
+   wire n_7163;
+   wire n_7164;
+   wire n_7165;
+   wire n_7166;
+   wire n_7167;
+   wire n_7168;
+   wire n_7169;
+   wire n_7170;
+   wire n_7171;
+   wire n_7172;
+   wire n_7173;
+   wire n_7174;
+   wire n_7175;
+   wire n_7176;
+   wire n_7177;
+   wire n_7178;
+   wire n_7179;
+   wire n_7180;
+   wire n_7181;
+   wire n_7182;
+   wire n_7183;
+   wire n_7184;
+   wire n_7185;
+   wire n_7186;
+   wire n_7187;
+   wire n_7188;
+   wire n_7189;
+   wire n_7190;
+   wire n_7191;
+   wire n_7192;
+   wire n_7193;
+   wire n_7194;
+   wire n_7195;
+   wire n_7196;
+   wire n_7197;
+   wire n_7199;
+   wire n_7200;
+   wire n_7201;
+   wire n_7202;
+   wire n_7204;
+   wire n_7205;
+   wire n_7206;
+   wire n_7207;
+   wire n_7208;
+   wire n_7209;
+   wire n_7210;
+   wire n_7211;
+   wire n_7212;
+   wire n_7213;
+   wire n_7214;
+   wire n_7215;
+   wire n_7216;
+   wire n_7217;
+   wire n_7218;
+   wire n_7219;
+   wire n_7220;
+   wire n_7221;
+   wire n_7222;
+   wire n_7223;
+   wire n_7224;
+   wire n_7225;
+   wire n_7226;
+   wire n_7227;
+   wire n_7228;
+   wire n_7229;
+   wire n_7230;
+   wire n_7231;
+   wire n_7232;
+   wire n_7233;
+   wire n_7234;
+   wire n_7235;
+   wire n_7236;
+   wire n_7237;
+   wire n_7238;
+   wire n_7239;
+   wire n_7240;
+   wire n_7241;
+   wire n_7242;
+   wire n_7243;
+   wire n_7244;
+   wire n_7245;
+   wire n_7246;
+   wire n_7247;
+   wire n_7248;
+   wire n_7249;
+   wire n_7250;
+   wire n_7251;
+   wire n_7252;
+   wire n_7253;
+   wire n_7254;
+   wire n_7255;
+   wire n_7256;
+   wire n_7257;
+   wire n_7258;
+   wire n_7259;
+   wire n_7260;
+   wire n_7261;
+   wire n_7262;
+   wire n_7263;
+   wire n_7264;
+   wire n_7265;
+   wire n_7266;
+   wire n_7267;
+   wire n_7268;
+   wire n_7269;
+   wire n_7270;
+   wire n_7271;
+   wire n_7272;
+   wire n_7273;
+   wire n_7274;
+   wire n_7275;
+   wire n_7276;
+   wire n_7277;
+   wire n_7279;
+   wire n_7280;
+   wire n_7281;
+   wire n_7282;
+   wire n_7283;
+   wire n_7284;
+   wire n_7285;
+   wire n_7286;
+   wire n_7287;
+   wire n_7288;
+   wire n_7289;
+   wire n_7290;
+   wire n_7291;
+   wire n_7292;
+   wire n_7293;
+   wire n_7294;
+   wire n_7295;
+   wire n_7297;
+   wire n_7298;
+   wire n_7299;
+   wire n_7300;
+   wire n_7301;
+   wire n_7302;
+   wire n_7303;
+   wire n_7304;
+   wire n_7305;
+   wire n_7306;
+   wire n_7307;
+   wire n_7308;
+   wire n_7309;
+   wire n_7310;
+   wire n_7311;
+   wire n_7312;
+   wire n_7313;
+   wire n_7314;
+   wire n_7315;
+   wire n_7316;
+   wire n_7317;
+   wire n_7318;
+   wire n_7319;
+   wire n_7320;
+   wire n_7321;
+   wire n_7322;
+   wire n_7323;
+   wire n_7324;
+   wire n_7325;
+   wire n_7326;
+   wire n_7327;
+   wire n_7328;
+   wire n_7329;
+   wire n_7330;
+   wire n_7331;
+   wire n_7332;
+   wire n_7333;
+   wire n_7334;
+   wire n_7335;
+   wire n_7336;
+   wire n_7337;
+   wire n_7338;
+   wire n_7339;
+   wire n_7340;
+   wire n_7341;
+   wire n_7342;
+   wire n_7343;
+   wire n_7344;
+   wire n_7345;
+   wire n_7346;
+   wire n_7347;
+   wire n_7348;
+   wire n_7349;
+   wire n_7350;
+   wire n_7351;
+   wire n_7352;
+   wire n_7353;
+   wire n_7354;
+   wire n_7355;
+   wire n_7356;
+   wire n_7357;
+   wire n_7358;
+   wire n_7359;
+   wire n_7360;
+   wire n_7361;
+   wire n_7362;
+   wire n_7363;
+   wire n_7364;
+   wire n_7365;
+   wire n_7366;
+   wire n_7367;
+   wire n_7368;
+   wire n_7369;
+   wire n_7370;
+   wire n_7371;
+   wire n_7372;
+   wire n_7373;
+   wire n_7374;
+   wire n_7375;
+   wire n_7376;
+   wire n_7377;
+   wire n_7378;
+   wire n_7379;
+   wire n_7380;
+   wire n_7382;
+   wire n_7383;
+   wire n_7386;
+   wire n_7388;
+   wire n_7389;
+   wire n_7390;
+   wire n_7391;
+   wire n_7392;
+   wire n_7393;
+   wire n_7394;
+   wire n_7395;
+   wire n_7396;
+   wire n_7397;
+   wire n_7398;
+   wire n_7399;
+   wire n_7401;
+   wire n_7402;
+   wire n_7404;
+   wire n_7405;
+   wire n_7406;
+   wire n_7407;
+   wire n_7408;
+   wire n_7409;
+   wire n_7410;
+   wire n_7411;
+   wire n_7412;
+   wire n_7413;
+   wire n_7414;
+   wire n_7415;
+   wire n_7416;
+   wire n_7417;
+   wire n_7418;
+   wire n_7419;
+   wire n_7420;
+   wire n_7421;
+   wire n_7422;
+   wire n_7423;
+   wire n_7424;
+   wire n_7425;
+   wire n_7426;
+   wire n_7427;
+   wire n_7428;
+   wire n_7429;
+   wire n_7430;
+   wire n_7431;
+   wire n_7432;
+   wire n_7433;
+   wire n_7434;
+   wire n_7435;
+   wire n_7436;
+   wire n_7437;
+   wire n_7438;
+   wire n_7439;
+   wire n_7440;
+   wire n_7441;
+   wire n_7442;
+   wire n_7443;
+   wire n_7444;
+   wire n_7445;
+   wire n_7446;
+   wire n_7447;
+   wire n_7448;
+   wire n_7449;
+   wire n_7450;
+   wire n_7451;
+   wire n_7452;
+   wire n_7453;
+   wire n_7454;
+   wire n_7455;
+   wire n_7456;
+   wire n_7457;
+   wire n_7458;
+   wire n_7459;
+   wire n_7460;
+   wire n_7461;
+   wire n_7462;
+   wire n_7463;
+   wire n_7464;
+   wire n_7465;
+   wire n_7466;
+   wire n_7467;
+   wire n_7468;
+   wire n_7469;
+   wire n_7470;
+   wire n_7471;
+   wire n_7472;
+   wire n_7473;
+   wire n_7474;
+   wire n_7475;
+   wire n_7476;
+   wire n_7477;
+   wire n_7478;
+   wire n_7479;
+   wire n_7480;
+   wire n_7481;
+   wire n_7482;
+   wire n_7483;
+   wire n_7484;
+   wire n_7485;
+   wire n_7486;
+   wire n_7487;
+   wire n_7488;
+   wire n_7489;
+   wire n_7490;
+   wire n_7491;
+   wire n_7492;
+   wire n_7493;
+   wire n_7494;
+   wire n_7495;
+   wire n_7496;
+   wire n_7497;
+   wire n_7498;
+   wire n_7499;
+   wire n_7500;
+   wire n_7501;
+   wire n_7502;
+   wire n_7503;
+   wire n_7504;
+   wire n_7505;
+   wire n_7506;
+   wire n_7507;
+   wire n_7508;
+   wire n_7509;
+   wire n_7510;
+   wire n_7511;
+   wire n_7512;
+   wire n_7513;
+   wire n_7514;
+   wire n_7515;
+   wire n_7516;
+   wire n_7517;
+   wire n_7518;
+   wire n_7519;
+   wire n_7520;
+   wire n_7521;
+   wire n_7522;
+   wire n_7523;
+   wire n_7524;
+   wire n_7525;
+   wire n_7526;
+   wire n_7527;
+   wire n_7528;
+   wire n_7529;
+   wire n_7530;
+   wire n_7531;
+   wire n_7532;
+   wire n_7533;
+   wire n_7534;
+   wire n_7535;
+   wire n_7536;
+   wire n_7537;
+   wire n_7538;
+   wire n_7539;
+   wire n_7540;
+   wire n_7541;
+   wire n_7542;
+   wire n_7543;
+   wire n_7544;
+   wire n_7545;
+   wire n_7546;
+   wire n_7547;
+   wire n_7548;
+   wire n_7549;
+   wire n_7550;
+   wire n_7551;
+   wire n_7552;
+   wire n_7553;
+   wire n_7554;
+   wire n_7555;
+   wire n_7556;
+   wire n_7557;
+   wire n_7558;
+   wire n_7559;
+   wire n_7560;
+   wire n_7561;
+   wire n_7562;
+   wire n_7563;
+   wire n_7564;
+   wire n_7565;
+   wire n_7566;
+   wire n_7567;
+   wire n_7568;
+   wire n_7569;
+   wire n_7570;
+   wire n_7571;
+   wire n_7572;
+   wire n_7573;
+   wire n_7574;
+   wire n_7575;
+   wire n_7576;
+   wire n_7577;
+   wire n_7578;
+   wire n_7579;
+   wire n_7580;
+   wire n_7581;
+   wire n_7582;
+   wire n_7583;
+   wire n_7584;
+   wire n_7585;
+   wire n_7586;
+   wire n_7587;
+   wire n_7588;
+   wire n_7589;
+   wire n_7590;
+   wire n_7591;
+   wire n_7592;
+   wire n_7593;
+   wire n_7594;
+   wire n_7595;
+   wire n_7596;
+   wire n_7597;
+   wire n_7598;
+   wire n_7599;
+   wire n_7600;
+   wire n_7601;
+   wire n_7602;
+   wire n_7603;
+   wire n_7604;
+   wire n_7605;
+   wire n_7606;
+   wire n_7607;
+   wire n_7609;
+   wire n_7610;
+   wire n_7611;
+   wire n_7612;
+   wire n_7613;
+   wire n_7614;
+   wire n_7615;
+   wire n_7616;
+   wire n_7617;
+   wire n_7618;
+   wire n_7619;
+   wire n_7620;
+   wire n_7622;
+   wire n_7624;
+   wire n_7625;
+   wire n_7626;
+   wire n_7627;
+   wire n_7628;
+   wire n_7630;
+   wire n_7631;
+   wire n_7632;
+   wire n_7633;
+   wire n_7634;
+   wire n_7635;
+   wire n_7636;
+   wire n_7637;
+   wire n_7638;
+   wire n_7639;
+   wire n_7640;
+   wire n_7641;
+   wire n_7642;
+   wire n_7643;
+   wire n_7644;
+   wire n_7645;
+   wire n_7646;
+   wire n_7647;
+   wire n_7648;
+   wire n_7649;
+   wire n_7650;
+   wire n_7651;
+   wire n_7652;
+   wire n_7653;
+   wire n_7654;
+   wire n_7655;
+   wire n_7656;
+   wire n_7657;
+   wire n_7658;
+   wire n_7660;
+   wire n_7661;
+   wire n_7662;
+   wire n_7663;
+   wire n_7664;
+   wire n_7665;
+   wire n_7666;
+   wire n_7667;
+   wire n_7668;
+   wire n_7669;
+   wire n_7670;
+   wire n_7671;
+   wire n_7672;
+   wire n_7673;
+   wire n_7674;
+   wire n_7675;
+   wire n_7676;
+   wire n_7677;
+   wire n_7678;
+   wire n_7679;
+   wire n_7680;
+   wire n_7681;
+   wire n_7683;
+   wire n_7684;
+   wire n_7685;
+   wire n_7686;
+   wire n_7687;
+   wire n_7688;
+   wire n_7689;
+   wire n_7690;
+   wire n_7691;
+   wire n_7692;
+   wire n_7693;
+   wire n_7694;
+   wire n_7695;
+   wire n_7696;
+   wire n_7697;
+   wire n_7698;
+   wire n_7699;
+   wire n_7700;
+   wire n_7701;
+   wire n_7702;
+   wire n_7703;
+   wire n_7704;
+   wire n_7705;
+   wire n_7706;
+   wire n_7707;
+   wire n_7708;
+   wire n_7709;
+   wire n_7710;
+   wire n_7711;
+   wire n_7712;
+   wire n_7713;
+   wire n_7714;
+   wire n_7715;
+   wire n_7716;
+   wire n_7717;
+   wire n_7718;
+   wire n_7719;
+   wire n_7720;
+   wire n_7721;
+   wire n_7722;
+   wire n_7723;
+   wire n_7724;
+   wire n_7725;
+   wire n_7726;
+   wire n_7727;
+   wire n_7728;
+   wire n_7729;
+   wire n_7730;
+   wire n_7731;
+   wire n_7732;
+   wire n_7733;
+   wire n_7734;
+   wire n_7735;
+   wire n_7736;
+   wire n_7737;
+   wire n_7738;
+   wire n_7739;
+   wire n_7740;
+   wire n_7741;
+   wire n_7742;
+   wire n_7743;
+   wire n_7744;
+   wire n_7745;
+   wire n_7746;
+   wire n_7747;
+   wire n_7748;
+   wire n_7749;
+   wire n_7750;
+   wire n_7751;
+   wire n_7752;
+   wire n_7753;
+   wire n_7754;
+   wire n_7755;
+   wire n_7756;
+   wire n_7757;
+   wire n_7758;
+   wire n_7759;
+   wire n_7760;
+   wire n_7761;
+   wire n_7762;
+   wire n_7763;
+   wire n_7764;
+   wire n_7765;
+   wire n_7766;
+   wire n_7767;
+   wire n_7768;
+   wire n_7769;
+   wire n_7770;
+   wire n_7771;
+   wire n_7772;
+   wire n_7773;
+   wire n_7774;
+   wire n_7775;
+   wire n_7776;
+   wire n_7777;
+   wire n_7778;
+   wire n_7779;
+   wire n_7780;
+   wire n_7781;
+   wire n_7782;
+   wire n_7783;
+   wire n_7784;
+   wire n_7785;
+   wire n_7786;
+   wire n_7787;
+   wire n_7788;
+   wire n_7789;
+   wire n_7790;
+   wire n_7791;
+   wire n_7792;
+   wire n_7793;
+   wire n_7794;
+   wire n_7795;
+   wire n_7796;
+   wire n_7797;
+   wire n_7798;
+   wire n_7799;
+   wire n_7800;
+   wire n_7801;
+   wire n_7802;
+   wire n_7803;
+   wire n_7804;
+   wire n_7805;
+   wire n_7806;
+   wire n_7807;
+   wire n_7808;
+   wire n_7809;
+   wire n_7810;
+   wire n_7811;
+   wire n_7812;
+   wire n_7813;
+   wire n_7814;
+   wire n_7815;
+   wire n_7816;
+   wire n_7817;
+   wire n_7818;
+   wire n_7819;
+   wire n_7820;
+   wire n_7821;
+   wire n_7822;
+   wire n_7823;
+   wire n_7824;
+   wire n_7825;
+   wire n_7826;
+   wire n_7827;
+   wire n_7828;
+   wire n_7829;
+   wire n_7830;
+   wire n_7831;
+   wire n_7832;
+   wire n_7833;
+   wire n_7834;
+   wire n_7835;
+   wire n_7836;
+   wire n_7837;
+   wire n_7838;
+   wire n_7839;
+   wire n_7840;
+   wire n_7841;
+   wire n_7842;
+   wire n_7843;
+   wire n_7844;
+   wire n_7845;
+   wire n_7846;
+   wire n_7847;
+   wire n_7848;
+   wire n_7849;
+   wire n_7850;
+   wire n_7851;
+   wire n_7852;
+   wire n_7853;
+   wire n_7854;
+   wire n_7855;
+   wire n_7856;
+   wire n_7857;
+   wire n_7858;
+   wire n_7859;
+   wire n_7860;
+   wire n_7861;
+   wire n_7862;
+   wire n_7863;
+   wire n_7864;
+   wire n_7865;
+   wire n_7866;
+   wire n_7867;
+   wire n_7868;
+   wire n_7869;
+   wire n_7870;
+   wire n_7871;
+   wire n_7872;
+   wire n_7873;
+   wire n_7874;
+   wire n_7875;
+   wire n_7876;
+   wire n_7877;
+   wire n_7878;
+   wire n_7879;
+   wire n_7880;
+   wire n_7881;
+   wire n_7882;
+   wire n_7883;
+   wire n_7884;
+   wire n_7885;
+   wire n_7886;
+   wire n_7887;
+   wire n_7888;
+   wire n_7889;
+   wire n_7890;
+   wire n_7891;
+   wire n_7892;
+   wire n_7893;
+   wire n_7894;
+   wire n_7895;
+   wire n_7896;
+   wire n_7897;
+   wire n_7898;
+   wire n_7899;
+   wire n_7900;
+   wire n_7901;
+   wire n_7902;
+   wire n_7903;
+   wire n_7904;
+   wire n_7905;
+   wire n_7906;
+   wire n_7907;
+   wire n_7908;
+   wire n_7909;
+   wire n_7910;
+   wire n_7911;
+   wire n_7912;
+   wire n_7913;
+   wire n_7914;
+   wire n_7915;
+   wire n_7916;
+   wire n_7917;
+   wire n_7918;
+   wire n_7919;
+   wire n_7920;
+   wire n_7921;
+   wire n_7922;
+   wire n_7923;
+   wire n_7924;
+   wire n_7925;
+   wire n_7926;
+   wire n_7927;
+   wire n_7928;
+   wire n_7929;
+   wire n_7930;
+   wire n_7931;
+   wire n_7932;
+   wire n_7933;
+   wire n_7934;
+   wire n_7935;
+   wire n_7936;
+   wire n_7937;
+   wire n_7938;
+   wire n_7939;
+   wire n_7940;
+   wire n_7941;
+   wire n_7942;
+   wire n_7943;
+   wire n_7944;
+   wire n_7945;
+   wire n_7946;
+   wire n_7947;
+   wire n_7948;
+   wire n_7949;
+   wire n_7950;
+   wire n_7951;
+   wire n_7952;
+   wire n_7953;
+   wire n_7954;
+   wire n_7955;
+   wire n_7956;
+   wire n_7957;
+   wire n_7958;
+   wire n_7959;
+   wire n_7960;
+   wire n_7961;
+   wire n_7962;
+   wire n_7963;
+   wire n_7964;
+   wire n_7965;
+   wire n_7966;
+   wire n_7968;
+   wire n_7969;
+   wire n_7970;
+   wire n_7971;
+   wire n_7972;
+   wire n_7973;
+   wire n_7974;
+   wire n_7975;
+   wire n_7976;
+   wire n_7977;
+   wire n_7978;
+   wire n_7979;
+   wire n_7980;
+   wire n_7981;
+   wire n_7982;
+   wire n_7983;
+   wire n_7985;
+   wire n_7986;
+   wire n_7987;
+   wire n_7988;
+   wire n_7989;
+   wire n_7990;
+   wire n_7991;
+   wire n_7992;
+   wire n_7993;
+   wire n_7994;
+   wire n_7995;
+   wire n_7996;
+   wire n_7997;
+   wire n_7998;
+   wire n_7999;
+   wire n_8000;
+   wire n_8001;
+   wire n_8002;
+   wire n_8003;
+   wire n_8004;
+   wire n_8005;
+   wire n_8006;
+   wire n_8007;
+   wire n_8008;
+   wire n_8009;
+   wire n_8010;
+   wire n_8011;
+   wire n_8012;
+   wire n_8013;
+   wire n_8014;
+   wire n_8015;
+   wire n_8016;
+   wire n_8017;
+   wire n_8018;
+   wire n_8019;
+   wire n_8020;
+   wire n_8021;
+   wire n_8022;
+   wire n_8023;
+   wire n_8024;
+   wire n_8025;
+   wire n_8026;
+   wire n_8027;
+   wire n_8028;
+   wire n_8029;
+   wire n_8030;
+   wire n_8031;
+   wire n_8032;
+   wire n_8033;
+   wire n_8034;
+   wire n_8035;
+   wire n_8036;
+   wire n_8037;
+   wire n_8038;
+   wire n_8039;
+   wire n_8040;
+   wire n_8041;
+   wire n_8042;
+   wire n_8043;
+   wire n_8044;
+   wire n_8045;
+   wire n_8046;
+   wire n_8047;
+   wire n_8048;
+   wire n_8049;
+   wire n_8050;
+   wire n_8051;
+   wire n_8052;
+   wire n_8053;
+   wire n_8054;
+   wire n_8055;
+   wire n_8056;
+   wire n_8057;
+   wire n_8058;
+   wire n_8059;
+   wire n_8060;
+   wire n_8061;
+   wire n_8062;
+   wire n_8063;
+   wire n_8064;
+   wire n_8065;
+   wire n_8066;
+   wire n_8067;
+   wire n_8068;
+   wire n_8069;
+   wire n_8070;
+   wire n_8071;
+   wire n_8072;
+   wire n_8073;
+   wire n_8074;
+   wire n_8075;
+   wire n_8076;
+   wire n_8077;
+   wire n_8078;
+   wire n_8079;
+   wire n_8080;
+   wire n_8081;
+   wire n_8082;
+   wire n_8083;
+   wire n_8084;
+   wire n_8085;
+   wire n_8086;
+   wire n_8087;
+   wire n_8088;
+   wire n_8089;
+   wire n_8090;
+   wire n_8091;
+   wire n_8092;
+   wire n_8093;
+   wire n_8094;
+   wire n_8095;
+   wire n_8096;
+   wire n_8097;
+   wire n_8098;
+   wire n_8099;
+   wire n_8100;
+   wire n_8101;
+   wire n_8102;
+   wire n_8103;
+   wire n_8104;
+   wire n_8105;
+   wire n_8106;
+   wire n_8107;
+   wire n_8108;
+   wire n_8109;
+   wire n_8110;
+   wire n_8111;
+   wire n_8112;
+   wire n_8113;
+   wire n_8114;
+   wire n_8115;
+   wire n_8116;
+   wire n_8117;
+   wire n_8118;
+   wire n_8119;
+   wire n_8120;
+   wire n_8121;
+   wire n_8122;
+   wire n_8123;
+   wire n_8124;
+   wire n_8125;
+   wire n_8126;
+   wire n_8127;
+   wire n_8128;
+   wire n_8129;
+   wire n_8130;
+   wire n_8131;
+   wire n_8132;
+   wire n_8133;
+   wire n_8134;
+   wire n_8135;
+   wire n_8136;
+   wire n_8137;
+   wire n_8138;
+   wire n_8139;
+   wire n_8140;
+   wire n_8141;
+   wire n_8142;
+   wire n_8143;
+   wire n_8144;
+   wire n_8145;
+   wire n_8146;
+   wire n_8147;
+   wire n_8148;
+   wire n_8149;
+   wire n_8150;
+   wire n_8151;
+   wire n_8152;
+   wire n_8153;
+   wire n_8154;
+   wire n_8155;
+   wire n_8156;
+   wire n_8157;
+   wire n_8158;
+   wire n_8159;
+   wire n_8160;
+   wire n_8161;
+   wire n_8162;
+   wire n_8163;
+   wire n_8164;
+   wire n_8165;
+   wire n_8166;
+   wire n_8167;
+   wire n_8168;
+   wire n_8169;
+   wire n_8170;
+   wire n_8171;
+   wire n_8172;
+   wire n_8173;
+   wire n_8174;
+   wire n_8175;
+   wire n_8176;
+   wire n_8177;
+   wire n_8178;
+   wire n_8179;
+   wire n_8180;
+   wire n_8181;
+   wire n_8182;
+   wire n_8183;
+   wire n_8184;
+   wire n_8185;
+   wire n_8186;
+   wire n_8187;
+   wire n_8188;
+   wire n_8189;
+   wire n_8190;
+   wire n_8191;
+   wire n_8192;
+   wire n_8193;
+   wire n_8194;
+   wire n_8195;
+   wire n_8196;
+   wire n_8197;
+   wire n_8198;
+   wire n_8199;
+   wire n_8200;
+   wire n_8201;
+   wire n_8202;
+   wire n_8203;
+   wire n_8204;
+   wire n_8205;
+   wire n_8206;
+   wire n_8207;
+   wire n_8208;
+   wire n_8209;
+   wire n_8210;
+   wire n_8211;
+   wire n_8212;
+   wire n_8213;
+   wire n_8214;
+   wire n_8215;
+   wire n_8216;
+   wire n_8217;
+   wire n_8218;
+   wire n_8219;
+   wire n_8220;
+   wire n_8221;
+   wire n_8222;
+   wire n_8223;
+   wire n_8224;
+   wire n_8225;
+   wire n_8226;
+   wire n_8227;
+   wire n_8228;
+   wire n_8229;
+   wire n_8230;
+   wire n_8231;
+   wire n_8232;
+   wire n_8233;
+   wire n_8234;
+   wire n_8235;
+   wire n_8236;
+   wire n_8237;
+   wire n_8238;
+   wire n_8239;
+   wire n_8240;
+   wire n_8241;
+   wire n_8242;
+   wire n_8243;
+   wire n_8244;
+   wire n_8245;
+   wire n_8246;
+   wire n_8247;
+   wire n_8248;
+   wire n_8249;
+   wire n_8250;
+   wire n_8251;
+   wire n_8252;
+   wire n_8253;
+   wire n_8254;
+   wire n_8255;
+   wire n_8256;
+   wire n_8257;
+   wire n_8258;
+   wire n_8259;
+   wire n_8260;
+   wire n_8261;
+   wire n_8262;
+   wire n_8263;
+   wire n_8264;
+   wire n_8265;
+   wire n_8266;
+   wire n_8267;
+   wire n_8268;
+   wire n_8269;
+   wire n_8270;
+   wire n_8271;
+   wire n_8272;
+   wire n_8273;
+   wire n_8274;
+   wire n_8275;
+   wire n_8276;
+   wire n_8277;
+   wire n_8278;
+   wire n_8279;
+   wire n_8280;
+   wire n_8281;
+   wire n_8282;
+   wire n_8283;
+   wire n_8284;
+   wire n_8285;
+   wire n_8286;
+   wire n_8287;
+   wire n_8288;
+   wire n_8289;
+   wire n_8290;
+   wire n_8291;
+   wire n_8292;
+   wire n_8293;
+   wire n_8294;
+   wire n_8295;
+   wire n_8296;
+   wire n_8298;
+   wire n_8299;
+   wire n_8300;
+   wire n_8301;
+   wire n_8302;
+   wire n_8303;
+   wire n_8304;
+   wire n_8305;
+   wire n_8306;
+   wire n_8307;
+   wire n_8308;
+   wire n_8309;
+   wire n_8310;
+   wire n_8311;
+   wire n_8312;
+   wire n_8313;
+   wire n_8314;
+   wire n_8315;
+   wire n_8316;
+   wire n_8317;
+   wire n_8318;
+   wire n_8319;
+   wire n_8320;
+   wire n_8321;
+   wire n_8322;
+   wire n_8323;
+   wire n_8324;
+   wire n_8325;
+   wire n_8326;
+   wire n_8327;
+   wire n_8328;
+   wire n_8329;
+   wire n_8330;
+   wire n_8331;
+   wire n_8332;
+   wire n_8333;
+   wire n_8334;
+   wire n_8335;
+   wire n_8336;
+   wire n_8337;
+   wire n_8338;
+   wire n_8339;
+   wire n_8340;
+   wire n_8341;
+   wire n_8343;
+   wire n_8344;
+   wire n_8345;
+   wire n_8346;
+   wire n_8347;
+   wire n_8348;
+   wire n_8349;
+   wire n_8350;
+   wire n_8351;
+   wire n_8352;
+   wire n_8353;
+   wire n_8354;
+   wire n_8355;
+   wire n_8356;
+   wire n_8357;
+   wire n_8358;
+   wire n_8359;
+   wire n_8360;
+   wire n_8361;
+   wire n_8362;
+   wire n_8363;
+   wire n_8364;
+   wire n_8365;
+   wire n_8366;
+   wire n_8367;
+   wire n_8368;
+   wire n_8369;
+   wire n_8370;
+   wire n_8371;
+   wire n_8372;
+   wire n_8373;
+   wire n_8374;
+   wire n_8375;
+   wire n_8376;
+   wire n_8377;
+   wire n_8378;
+   wire n_8379;
+   wire n_8380;
+   wire n_8381;
+   wire n_8382;
+   wire n_8383;
+   wire n_8384;
+   wire n_8385;
+   wire n_8386;
+   wire n_8387;
+   wire n_8388;
+   wire n_8389;
+   wire n_8390;
+   wire n_8391;
+   wire n_8392;
+   wire n_8393;
+   wire n_8394;
+   wire n_8395;
+   wire n_8396;
+   wire n_8397;
+   wire n_8398;
+   wire n_8399;
+   wire n_8400;
+   wire n_8401;
+   wire n_8402;
+   wire n_8403;
+   wire n_8404;
+   wire n_8405;
+   wire n_8406;
+   wire n_8407;
+   wire n_8408;
+   wire n_8409;
+   wire n_8410;
+   wire n_8411;
+   wire n_8412;
+   wire n_8413;
+   wire n_8414;
+   wire n_8415;
+   wire n_8416;
+   wire n_8417;
+   wire n_8418;
+   wire n_8419;
+   wire n_8420;
+   wire n_8421;
+   wire n_8422;
+   wire n_8423;
+   wire n_8424;
+   wire n_8425;
+   wire n_8426;
+   wire n_8427;
+   wire n_8428;
+   wire n_8429;
+   wire n_8430;
+   wire n_8431;
+   wire n_8432;
+   wire n_8433;
+   wire n_8434;
+   wire n_8435;
+   wire n_8436;
+   wire n_8437;
+   wire n_8438;
+   wire n_8439;
+   wire n_8440;
+   wire n_8441;
+   wire n_8442;
+   wire n_8443;
+   wire n_8444;
+   wire n_8445;
+   wire n_8446;
+   wire n_8447;
+   wire n_8448;
+   wire n_8449;
+   wire n_8450;
+   wire n_8451;
+   wire n_8452;
+   wire n_8453;
+   wire n_8454;
+   wire n_8455;
+   wire n_8456;
+   wire n_8457;
+   wire n_8458;
+   wire n_8459;
+   wire n_8460;
+   wire n_8461;
+   wire n_8462;
+   wire n_8463;
+   wire n_8464;
+   wire n_8465;
+   wire n_8466;
+   wire n_8467;
+   wire n_8468;
+   wire n_8469;
+   wire n_8470;
+   wire n_8471;
+   wire n_8472;
+   wire n_8473;
+   wire n_8474;
+   wire n_8475;
+   wire n_8476;
+   wire n_8477;
+   wire n_8478;
+   wire n_8479;
+   wire n_8480;
+   wire n_8481;
+   wire n_8482;
+   wire n_8483;
+   wire n_8484;
+   wire n_8485;
+   wire n_8486;
+   wire n_8487;
+   wire n_8488;
+   wire n_8489;
+   wire n_8490;
+   wire n_8491;
+   wire n_8492;
+   wire n_8493;
+   wire n_8494;
+   wire n_8495;
+   wire n_8496;
+   wire n_8497;
+   wire n_8498;
+   wire n_8499;
+   wire n_8500;
+   wire n_8501;
+   wire n_8502;
+   wire n_8503;
+   wire n_8504;
+   wire n_8505;
+   wire n_8506;
+   wire n_8507;
+   wire n_8508;
+   wire n_8509;
+   wire n_8510;
+   wire n_8511;
+   wire n_8512;
+   wire n_8513;
+   wire n_8514;
+   wire n_8515;
+   wire n_8516;
+   wire n_8517;
+   wire n_8518;
+   wire n_8519;
+   wire n_8520;
+   wire n_8521;
+   wire n_8522;
+   wire n_8523;
+   wire n_8524;
+   wire n_8525;
+   wire n_8526;
+   wire n_8527;
+   wire n_8528;
+   wire n_8529;
+   wire n_8530;
+   wire n_8531;
+   wire n_8532;
+   wire n_8533;
+   wire n_8534;
+   wire n_8535;
+   wire n_8536;
+   wire n_8537;
+   wire n_8538;
+   wire n_8539;
+   wire n_8540;
+   wire n_8541;
+   wire n_8542;
+   wire n_8543;
+   wire n_8544;
+   wire n_8545;
+   wire n_8546;
+   wire n_8547;
+   wire n_8548;
+   wire n_8549;
+   wire n_8550;
+   wire n_8551;
+   wire n_8552;
+   wire n_8553;
+   wire n_8554;
+   wire n_8555;
+   wire n_8556;
+   wire n_8557;
+   wire n_8558;
+   wire n_8559;
+   wire n_8560;
+   wire n_8561;
+   wire n_8562;
+   wire n_8563;
+   wire n_8564;
+   wire n_8565;
+   wire n_8566;
+   wire n_8567;
+   wire n_8568;
+   wire n_8569;
+   wire n_8570;
+   wire n_8571;
+   wire n_8572;
+   wire n_8573;
+   wire n_8574;
+   wire n_8575;
+   wire n_8576;
+   wire n_8577;
+   wire n_8578;
+   wire n_8579;
+   wire n_8580;
+   wire n_8581;
+   wire n_8582;
+   wire n_8583;
+   wire n_8584;
+   wire n_8585;
+   wire n_8586;
+   wire n_8587;
+   wire n_8588;
+   wire n_8589;
+   wire n_8590;
+   wire n_8591;
+   wire n_8592;
+   wire n_8593;
+   wire n_8594;
+   wire n_8595;
+   wire n_8596;
+   wire n_8597;
+   wire n_8598;
+   wire n_8599;
+   wire n_8600;
+   wire n_8601;
+   wire n_8602;
+   wire n_8603;
+   wire n_8604;
+   wire n_8605;
+   wire n_8606;
+   wire n_8607;
+   wire n_8608;
+   wire n_8609;
+   wire n_8610;
+   wire n_8611;
+   wire n_8612;
+   wire n_8613;
+   wire n_8614;
+   wire n_8615;
+   wire n_8616;
+   wire n_8617;
+   wire n_8618;
+   wire n_8619;
+   wire n_8620;
+   wire n_8621;
+   wire n_8622;
+   wire n_8623;
+   wire n_8624;
+   wire n_8625;
+   wire n_8626;
+   wire n_8627;
+   wire n_8628;
+   wire n_8629;
+   wire n_8630;
+   wire n_8631;
+   wire n_8632;
+   wire n_8633;
+   wire n_8634;
+   wire n_8635;
+   wire n_8636;
+   wire n_8637;
+   wire n_8638;
+   wire n_8639;
+   wire n_8640;
+   wire n_8641;
+   wire n_8642;
+   wire n_8643;
+   wire n_8644;
+   wire n_8645;
+   wire n_8646;
+   wire n_8647;
+   wire n_8648;
+   wire n_8649;
+   wire n_8651;
+   wire n_8652;
+   wire n_8653;
+   wire n_8654;
+   wire n_8655;
+   wire n_8656;
+   wire n_8657;
+   wire n_8658;
+   wire n_8659;
+   wire n_8660;
+   wire n_8661;
+   wire n_8662;
+   wire n_8663;
+   wire n_8664;
+   wire n_8665;
+   wire n_8666;
+   wire n_8667;
+   wire n_8668;
+   wire n_8669;
+   wire n_8670;
+   wire n_8671;
+   wire n_8672;
+   wire n_8673;
+   wire n_8674;
+   wire n_8675;
+   wire n_8676;
+   wire n_8677;
+   wire n_8678;
+   wire n_8679;
+   wire n_8680;
+   wire n_8681;
+   wire n_8682;
+   wire n_8684;
+   wire n_8685;
+   wire n_8686;
+   wire n_8687;
+   wire n_8688;
+   wire n_8689;
+   wire n_8690;
+   wire n_8691;
+   wire n_8692;
+   wire n_8693;
+   wire n_8694;
+   wire n_8695;
+   wire n_8696;
+   wire n_8697;
+   wire n_8698;
+   wire n_8699;
+   wire n_8700;
+   wire n_8701;
+   wire n_8702;
+   wire n_8703;
+   wire n_8704;
+   wire n_8705;
+   wire n_8706;
+   wire n_8707;
+   wire n_8708;
+   wire n_8709;
+   wire n_8710;
+   wire n_8711;
+   wire n_8712;
+   wire n_8713;
+   wire n_8714;
+   wire n_8715;
+   wire n_8716;
+   wire n_8717;
+   wire n_8718;
+   wire n_8719;
+   wire n_8720;
+   wire n_8721;
+   wire n_8722;
+   wire n_8723;
+   wire n_8724;
+   wire n_8725;
+   wire n_8726;
+   wire n_8727;
+   wire n_8728;
+   wire n_8729;
+   wire n_8730;
+   wire n_8731;
+   wire n_8732;
+   wire n_8733;
+   wire n_8734;
+   wire n_8735;
+   wire n_8736;
+   wire n_8737;
+   wire n_8738;
+   wire n_8739;
+   wire n_8740;
+   wire n_8741;
+   wire n_8742;
+   wire n_8743;
+   wire n_8744;
+   wire n_8745;
+   wire n_8746;
+   wire n_8747;
+   wire n_8748;
+   wire n_8749;
+   wire n_8750;
+   wire n_8751;
+   wire n_8752;
+   wire n_8753;
+   wire n_8754;
+   wire n_8755;
+   wire n_8756;
+   wire n_8757;
+   wire n_8758;
+   wire n_8759;
+   wire n_8760;
+   wire n_8761;
+   wire n_8762;
+   wire n_8763;
+   wire n_8764;
+   wire n_8765;
+   wire n_8766;
+   wire n_8767;
+   wire n_8768;
+   wire n_8769;
+   wire n_8770;
+   wire n_8771;
+   wire n_8772;
+   wire n_8773;
+   wire n_8774;
+   wire n_8775;
+   wire n_8776;
+   wire n_8777;
+   wire n_8778;
+   wire n_8779;
+   wire n_8780;
+   wire n_8781;
+   wire n_8782;
+   wire n_8783;
+   wire n_8784;
+   wire n_8785;
+   wire n_8786;
+   wire n_8787;
+   wire n_8788;
+   wire n_8789;
+   wire n_8790;
+   wire n_8791;
+   wire n_8792;
+   wire n_8793;
+   wire n_8794;
+   wire n_8795;
+   wire n_8796;
+   wire n_8797;
+   wire n_8798;
+   wire n_8799;
+   wire n_8802;
+   wire n_8803;
+   wire n_8804;
+   wire n_8805;
+   wire n_8806;
+   wire n_8807;
+   wire n_8808;
+   wire n_8810;
+   wire n_8814;
+   wire n_8815;
+   wire n_8816;
+   wire n_8817;
+   wire n_8818;
+   wire n_8819;
+   wire n_8822;
+   wire n_8823;
+   wire n_8824;
+   wire n_8825;
+   wire n_8826;
+   wire n_8827;
+   wire n_8828;
+   wire n_8829;
+   wire n_8830;
+   wire n_8831;
+   wire n_8832;
+   wire n_8833;
+   wire n_8834;
+   wire n_8835;
+   wire n_8838;
+   wire n_8844;
+   wire n_8847;
+   wire n_8853;
+   wire n_8854;
+   wire n_8855;
+   wire n_8856;
+   wire n_8857;
+   wire n_8859;
+   wire n_8860;
+   wire n_8861;
+   wire n_8862;
+   wire n_8863;
+   wire n_8864;
+   wire n_8865;
+   wire n_8866;
+   wire n_8867;
+   wire n_8868;
+   wire n_8870;
+   wire n_8871;
+   wire n_8874;
+   wire n_8875;
+   wire n_8876;
+   wire n_8877;
+   wire n_8878;
+   wire n_8879;
+   wire n_8880;
+   wire n_8881;
+   wire n_8882;
+   wire n_8883;
+   wire n_8884;
+   wire n_8885;
+   wire n_8886;
+   wire n_8887;
+   wire n_8888;
+   wire n_8889;
+   wire n_8890;
+   wire n_8891;
+   wire n_8892;
+   wire n_8893;
+   wire n_8894;
+   wire n_8895;
+   wire n_8896;
+   wire n_8897;
+   wire n_8898;
+   wire n_8899;
+   wire n_8900;
+   wire n_8901;
+   wire n_8902;
+   wire n_8903;
+   wire n_8904;
+   wire n_8905;
+   wire n_8906;
+   wire n_8907;
+   wire n_8908;
+   wire n_8909;
+   wire n_8910;
+   wire n_8911;
+   wire n_8914;
+   wire n_8921;
+   wire n_8924;
+   wire n_8931;
+   wire n_8932;
+   wire n_8933;
+   wire n_8934;
+   wire n_8935;
+   wire n_8936;
+   wire n_8939;
+   wire n_8940;
+   wire n_8941;
+   wire n_8942;
+   wire n_8943;
+   wire n_8944;
+   wire n_8945;
+   wire n_8946;
+   wire n_8947;
+   wire n_8948;
+   wire n_8949;
+   wire n_8950;
+   wire n_8951;
+   wire n_8952;
+   wire n_8953;
+   wire n_8954;
+   wire n_8955;
+   wire n_8956;
+   wire n_8957;
+   wire n_8958;
+   wire n_8959;
+   wire n_8960;
+   wire n_8961;
+   wire n_8962;
+   wire n_8963;
+   wire n_8964;
+   wire n_8965;
+   wire n_8966;
+   wire n_8967;
+   wire n_8968;
+   wire n_8970;
+   wire n_8971;
+   wire n_8972;
+   wire n_8973;
+   wire n_8974;
+   wire n_8975;
+   wire n_8976;
+   wire n_8977;
+   wire n_8978;
+   wire n_8979;
+   wire n_8980;
+   wire n_8981;
+   wire n_8982;
+   wire n_8983;
+   wire n_8984;
+   wire n_8985;
+   wire n_8986;
+   wire n_8987;
+   wire n_8988;
+   wire n_8989;
+   wire n_8991;
+   wire n_8992;
+   wire n_8993;
+   wire n_8994;
+   wire n_8996;
+   wire n_8997;
+   wire n_8998;
+   wire n_8999;
+   wire n_9000;
+   wire n_9001;
+   wire n_9002;
+   wire n_9003;
+   wire n_9004;
+   wire n_9005;
+   wire n_9006;
+   wire n_9007;
+   wire n_9008;
+   wire n_9009;
+   wire n_9010;
+   wire n_9011;
+   wire n_9012;
+   wire n_9013;
+   wire n_9014;
+   wire n_9015;
+   wire n_9016;
+   wire n_9017;
+   wire n_9018;
+   wire n_9019;
+   wire n_9020;
+   wire n_9021;
+   wire n_9022;
+   wire n_9023;
+   wire n_9024;
+   wire n_9025;
+   wire n_9026;
+   wire n_9027;
+   wire n_9028;
+   wire n_9029;
+   wire n_9030;
+   wire n_9031;
+   wire n_9032;
+   wire n_9033;
+   wire n_9034;
+   wire n_9035;
+   wire n_9036;
+   wire n_9037;
+   wire n_9038;
+   wire n_9039;
+   wire n_9040;
+   wire n_9041;
+   wire n_9042;
+   wire n_9043;
+   wire n_9044;
+   wire n_9045;
+   wire n_9046;
+   wire n_9047;
+   wire n_9048;
+   wire n_9049;
+   wire n_9050;
+   wire n_9051;
+   wire n_9052;
+   wire n_9053;
+   wire n_9054;
+   wire n_9055;
+   wire n_9056;
+   wire n_9057;
+   wire n_9058;
+   wire n_9059;
+   wire n_9060;
+   wire n_9061;
+   wire n_9062;
+   wire n_9063;
+   wire n_9064;
+   wire n_9065;
+   wire n_9066;
+   wire n_9067;
+   wire n_9068;
+   wire n_9069;
+   wire n_9070;
+   wire n_9071;
+   wire n_9072;
+   wire n_9073;
+   wire n_9074;
+   wire n_9075;
+   wire n_9076;
+   wire n_9077;
+   wire n_9078;
+   wire n_9079;
+   wire n_9080;
+   wire n_9081;
+   wire n_9082;
+   wire n_9083;
+   wire n_9084;
+   wire n_9085;
+   wire n_9086;
+   wire n_9087;
+   wire n_9088;
+   wire n_9089;
+   wire n_9090;
+   wire n_9091;
+   wire n_9092;
+   wire n_9093;
+   wire n_9094;
+   wire n_9095;
+   wire n_9096;
+   wire n_9097;
+   wire n_9098;
+   wire n_9099;
+   wire n_9100;
+   wire n_9101;
+   wire n_9102;
+   wire n_9103;
+   wire n_9104;
+   wire n_9105;
+   wire n_9106;
+   wire n_9107;
+   wire n_9108;
+   wire n_9109;
+   wire n_9110;
+   wire n_9111;
+   wire n_9112;
+   wire n_9113;
+   wire n_9114;
+   wire n_9115;
+   wire n_9116;
+   wire n_9117;
+   wire n_9118;
+   wire n_9119;
+   wire n_9120;
+   wire n_9121;
+   wire n_9122;
+   wire n_9123;
+   wire n_9124;
+   wire n_9125;
+   wire n_9126;
+   wire n_9127;
+   wire n_9128;
+   wire n_9129;
+   wire n_9130;
+   wire n_9131;
+   wire n_9132;
+   wire n_9133;
+   wire n_9134;
+   wire n_9135;
+   wire n_9136;
+   wire n_9137;
+   wire n_9138;
+   wire n_9139;
+   wire n_9140;
+   wire n_9141;
+   wire n_9142;
+   wire n_9143;
+   wire n_9144;
+   wire n_9145;
+   wire n_9146;
+   wire n_9147;
+   wire n_9148;
+   wire n_9149;
+   wire n_9150;
+   wire n_9151;
+   wire n_9152;
+   wire n_9153;
+   wire n_9154;
+   wire n_9155;
+   wire n_9156;
+   wire n_9157;
+   wire n_9158;
+   wire n_9159;
+   wire n_9160;
+   wire n_9161;
+   wire n_9162;
+   wire n_9163;
+   wire n_9164;
+   wire n_9165;
+   wire n_9166;
+   wire n_9167;
+   wire n_9168;
+   wire n_9169;
+   wire n_9170;
+   wire n_9171;
+   wire n_9172;
+   wire n_9173;
+   wire n_9174;
+   wire n_9175;
+   wire n_9176;
+   wire n_9177;
+   wire n_9178;
+   wire n_9179;
+   wire n_9180;
+   wire n_9181;
+   wire n_9182;
+   wire n_9183;
+   wire n_9184;
+   wire n_9185;
+   wire n_9186;
+   wire n_9187;
+   wire n_9188;
+   wire n_9189;
+   wire n_9190;
+   wire n_9191;
+   wire n_9192;
+   wire n_9193;
+   wire n_9194;
+   wire n_9195;
+   wire n_9196;
+   wire n_9197;
+   wire n_9198;
+   wire n_9199;
+   wire n_9200;
+   wire n_9201;
+   wire n_9202;
+   wire n_9203;
+   wire n_9204;
+   wire n_9205;
+   wire n_9206;
+   wire n_9207;
+   wire n_9208;
+   wire n_9209;
+   wire n_9210;
+   wire n_9211;
+   wire n_9212;
+   wire n_9213;
+   wire n_9214;
+   wire n_9215;
+   wire n_9216;
+   wire n_9217;
+   wire n_9218;
+   wire n_9219;
+   wire n_9220;
+   wire n_9221;
+   wire n_9222;
+   wire n_9223;
+   wire n_9224;
+   wire n_9225;
+   wire n_9226;
+   wire n_9227;
+   wire n_9228;
+   wire n_9229;
+   wire n_9230;
+   wire n_9231;
+   wire n_9232;
+   wire n_9233;
+   wire n_9234;
+   wire n_9235;
+   wire n_9236;
+   wire n_9237;
+   wire n_9238;
+   wire n_9239;
+   wire n_9240;
+   wire n_9241;
+   wire n_9242;
+   wire n_9243;
+   wire n_9244;
+   wire n_9245;
+   wire n_9246;
+   wire n_9247;
+   wire n_9248;
+   wire n_9249;
+   wire n_9250;
+   wire n_9251;
+   wire n_9252;
+   wire n_9253;
+   wire n_9254;
+   wire n_9255;
+   wire n_9256;
+   wire n_9257;
+   wire n_9258;
+   wire n_9259;
+   wire n_9260;
+   wire n_9261;
+   wire n_9262;
+   wire n_9263;
+   wire n_9264;
+   wire n_9265;
+   wire n_9266;
+   wire n_9267;
+   wire n_9268;
+   wire n_9269;
+   wire n_9270;
+   wire n_9271;
+   wire n_9272;
+   wire n_9273;
+   wire n_9274;
+   wire n_9275;
+   wire n_9276;
+   wire n_9277;
+   wire n_9278;
+   wire n_9279;
+   wire n_9280;
+   wire n_9281;
+   wire n_9282;
+   wire n_9283;
+   wire n_9284;
+   wire n_9285;
+   wire n_9286;
+   wire n_9287;
+   wire n_9288;
+   wire n_9289;
+   wire n_9290;
+   wire n_9291;
+   wire n_9292;
+   wire n_9293;
+   wire n_9294;
+   wire n_9295;
+   wire n_9296;
+   wire n_9297;
+   wire n_9298;
+   wire n_9299;
+   wire n_9300;
+   wire n_9301;
+   wire n_9302;
+   wire n_9303;
+   wire n_9304;
+   wire n_9305;
+   wire n_9306;
+   wire n_9307;
+   wire n_9308;
+   wire n_9309;
+   wire n_9310;
+   wire n_9311;
+   wire n_9312;
+   wire n_9313;
+   wire n_9314;
+   wire n_9315;
+   wire n_9316;
+   wire n_9317;
+   wire n_9318;
+   wire n_9319;
+   wire n_9320;
+   wire n_9323;
+   wire n_9324;
+   wire n_9325;
+   wire n_9326;
+   wire n_9327;
+   wire n_9328;
+   wire n_9329;
+   wire n_9330;
+   wire n_9331;
+   wire n_9332;
+   wire n_9333;
+   wire n_9334;
+   wire n_9335;
+   wire n_9336;
+   wire n_9337;
+   wire n_9338;
+   wire n_9339;
+   wire n_9340;
+   wire n_9341;
+   wire n_9342;
+   wire n_9343;
+   wire n_9344;
+   wire n_9345;
+   wire n_9346;
+   wire n_9347;
+   wire n_9348;
+   wire n_9349;
+   wire n_9350;
+   wire n_9351;
+   wire n_9352;
+   wire n_9353;
+   wire n_9354;
+   wire n_9355;
+   wire n_9356;
+   wire n_9357;
+   wire n_9358;
+   wire n_9359;
+   wire n_9360;
+   wire n_9361;
+   wire n_9362;
+   wire n_9363;
+   wire n_9364;
+   wire n_9365;
+   wire n_9366;
+   wire n_9367;
+   wire n_9368;
+   wire n_9369;
+   wire n_9370;
+   wire n_9371;
+   wire n_9372;
+   wire n_9373;
+   wire n_9374;
+   wire n_9375;
+   wire n_9376;
+   wire n_9377;
+   wire n_9378;
+   wire n_9379;
+   wire n_9380;
+   wire n_9381;
+   wire n_9382;
+   wire n_9383;
+   wire n_9384;
+   wire n_9385;
+   wire n_9386;
+   wire n_9387;
+   wire n_9388;
+   wire n_9389;
+   wire n_9390;
+   wire n_9391;
+   wire n_9392;
+   wire n_9393;
+   wire n_9394;
+   wire n_9395;
+   wire n_9396;
+   wire n_9397;
+   wire n_9398;
+   wire n_9399;
+   wire n_9400;
+   wire n_9401;
+   wire n_9402;
+   wire n_9403;
+   wire n_9404;
+   wire n_9405;
+   wire n_9406;
+   wire n_9407;
+   wire n_9408;
+   wire n_9409;
+   wire n_9410;
+   wire n_9411;
+   wire n_9412;
+   wire n_9413;
+   wire n_9414;
+   wire n_9415;
+   wire n_9416;
+   wire n_9417;
+   wire n_9418;
+   wire n_9419;
+   wire n_9420;
+   wire n_9421;
+   wire n_9422;
+   wire n_9423;
+   wire n_9424;
+   wire n_9425;
+   wire n_9426;
+   wire n_9427;
+   wire n_9428;
+   wire n_9429;
+   wire n_9430;
+   wire n_9431;
+   wire n_9432;
+   wire n_9433;
+   wire n_9434;
+   wire n_9435;
+   wire n_9436;
+   wire n_9437;
+   wire n_9438;
+   wire n_9439;
+   wire n_9440;
+   wire n_9441;
+   wire n_9442;
+   wire n_9443;
+   wire n_9444;
+   wire n_9445;
+   wire n_9446;
+   wire n_9447;
+   wire n_9448;
+   wire n_9449;
+   wire n_9450;
+   wire n_9451;
+   wire n_9452;
+   wire n_9453;
+   wire n_9454;
+   wire n_9455;
+   wire n_9456;
+   wire n_9457;
+   wire n_9458;
+   wire n_9459;
+   wire n_9460;
+   wire n_9461;
+   wire n_9462;
+   wire n_9463;
+   wire n_9464;
+   wire n_9465;
+   wire n_9466;
+   wire n_9467;
+   wire n_9468;
+   wire n_9469;
+   wire n_9470;
+   wire n_9471;
+   wire n_9472;
+   wire n_9473;
+   wire n_9474;
+   wire n_9475;
+   wire n_9476;
+   wire n_9477;
+   wire n_9478;
+   wire n_9479;
+   wire n_9480;
+   wire n_9481;
+   wire n_9482;
+   wire n_9483;
+   wire n_9484;
+   wire n_9485;
+   wire n_9486;
+   wire n_9487;
+   wire n_9488;
+   wire n_9489;
+   wire n_9490;
+   wire n_9491;
+   wire n_9492;
+   wire n_9493;
+   wire n_9494;
+   wire n_9495;
+   wire n_9496;
+   wire n_9497;
+   wire n_9498;
+   wire n_9499;
+   wire n_9500;
+   wire n_9501;
+   wire n_9502;
+   wire n_9503;
+   wire n_9504;
+   wire n_9505;
+   wire n_9506;
+   wire n_9507;
+   wire n_9508;
+   wire n_9509;
+   wire n_9510;
+   wire n_9511;
+   wire n_9512;
+   wire n_9513;
+   wire n_9514;
+   wire n_9515;
+   wire n_9516;
+   wire n_9517;
+   wire n_9518;
+   wire n_9519;
+   wire n_9520;
+   wire n_9521;
+   wire n_9522;
+   wire n_9523;
+   wire n_9524;
+   wire n_9525;
+   wire n_9526;
+   wire n_9527;
+   wire n_9528;
+   wire n_9529;
+   wire n_9530;
+   wire n_9531;
+   wire n_9532;
+   wire n_9533;
+   wire n_9534;
+   wire n_9535;
+   wire n_9536;
+   wire n_9537;
+   wire n_9538;
+   wire n_9539;
+   wire n_9540;
+   wire n_9541;
+   wire n_9542;
+   wire n_9543;
+   wire n_9544;
+   wire n_9545;
+   wire n_9546;
+   wire n_9547;
+   wire n_9548;
+   wire n_9549;
+   wire n_9550;
+   wire n_9551;
+   wire n_9552;
+   wire n_9553;
+   wire n_9554;
+   wire n_9555;
+   wire n_9556;
+   wire n_9557;
+   wire n_9558;
+   wire n_9559;
+   wire n_9560;
+   wire n_9561;
+   wire n_9562;
+   wire n_9563;
+   wire n_9564;
+   wire n_9565;
+   wire n_9566;
+   wire n_9567;
+   wire n_9568;
+   wire n_9569;
+   wire n_9570;
+   wire n_9571;
+   wire n_9572;
+   wire n_9573;
+   wire n_9574;
+   wire n_9575;
+   wire n_9576;
+   wire n_9577;
+   wire n_9578;
+   wire n_9579;
+   wire n_9580;
+   wire n_9581;
+   wire n_9582;
+   wire n_9583;
+   wire n_9584;
+   wire n_9585;
+   wire n_9586;
+   wire n_9587;
+   wire n_9588;
+   wire n_9589;
+   wire n_9590;
+   wire n_9591;
+   wire n_9592;
+   wire n_9593;
+   wire n_9594;
+   wire n_9595;
+   wire n_9596;
+   wire n_9597;
+   wire n_9598;
+   wire n_9599;
+   wire n_9600;
+   wire n_9601;
+   wire n_9602;
+   wire n_9603;
+   wire n_9604;
+   wire n_9605;
+   wire n_9606;
+   wire n_9607;
+   wire n_9608;
+   wire n_9609;
+   wire n_9610;
+   wire n_9611;
+   wire n_9612;
+   wire n_9613;
+   wire n_9614;
+   wire n_9615;
+   wire n_9616;
+   wire n_9617;
+   wire n_9618;
+   wire n_9619;
+   wire n_9620;
+   wire n_9621;
+   wire n_9622;
+   wire n_9623;
+   wire n_9624;
+   wire n_9625;
+   wire n_9626;
+   wire n_9627;
+   wire n_9628;
+   wire n_9629;
+   wire n_9630;
+   wire n_9631;
+   wire n_9632;
+   wire n_9633;
+   wire n_9634;
+   wire n_9635;
+   wire n_9636;
+   wire n_9637;
+   wire n_9638;
+   wire n_9639;
+   wire n_9640;
+   wire n_9641;
+   wire n_9642;
+   wire n_9643;
+   wire n_9644;
+   wire n_9645;
+   wire n_9646;
+   wire n_9647;
+   wire n_9648;
+   wire n_9649;
+   wire n_9650;
+   wire n_9651;
+   wire n_9652;
+   wire n_9653;
+   wire n_9654;
+   wire n_9655;
+   wire n_9656;
+   wire n_9657;
+   wire n_9658;
+   wire n_9659;
+   wire n_9660;
+   wire n_9661;
+   wire n_9662;
+   wire n_9663;
+   wire n_9664;
+   wire n_9665;
+   wire n_9666;
+   wire n_9667;
+   wire n_9668;
+   wire n_9669;
+   wire n_9670;
+   wire n_9671;
+   wire n_9672;
+   wire n_9673;
+   wire n_9674;
+   wire n_9675;
+   wire n_9676;
+   wire n_9677;
+   wire n_9678;
+   wire n_9679;
+   wire n_9680;
+   wire n_9681;
+   wire n_9682;
+   wire n_9683;
+   wire n_9684;
+   wire n_9685;
+   wire n_9686;
+   wire n_9687;
+   wire n_9688;
+   wire n_9689;
+   wire n_9690;
+   wire n_9691;
+   wire n_9692;
+   wire n_9693;
+   wire n_9694;
+   wire n_9695;
+   wire n_9696;
+   wire n_9697;
+   wire n_9698;
+   wire n_9699;
+   wire n_9700;
+   wire n_9701;
+   wire n_9702;
+   wire n_9703;
+   wire n_9704;
+   wire n_9705;
+   wire n_9706;
+   wire n_9707;
+   wire n_9708;
+   wire n_9709;
+   wire n_9710;
+   wire n_9711;
+   wire n_9712;
+   wire n_9713;
+   wire n_9714;
+   wire n_9715;
+   wire n_9716;
+   wire n_9717;
+   wire n_9718;
+   wire n_9719;
+   wire n_9720;
+   wire n_9721;
+   wire n_9722;
+   wire n_9723;
+   wire n_9724;
+   wire n_9725;
+   wire n_9726;
+   wire n_9727;
+   wire n_9728;
+   wire n_9729;
+   wire n_9730;
+   wire n_9731;
+   wire n_9732;
+   wire n_9733;
+   wire n_9734;
+   wire n_9735;
+   wire n_9736;
+   wire n_9737;
+   wire n_9738;
+   wire n_9739;
+   wire n_9740;
+   wire n_9741;
+   wire n_9742;
+   wire n_9743;
+   wire n_9744;
+   wire n_9745;
+   wire n_9746;
+   wire n_9747;
+   wire n_9748;
+   wire n_9749;
+   wire n_9751;
+   wire n_9752;
+   wire n_9753;
+   wire n_9754;
+   wire n_9755;
+   wire n_9756;
+   wire n_9757;
+   wire n_9758;
+   wire n_9759;
+   wire n_9760;
+   wire n_9761;
+   wire n_9762;
+   wire n_9763;
+   wire n_9764;
+   wire n_9765;
+   wire n_9766;
+   wire n_9767;
+   wire n_9768;
+   wire n_9769;
+   wire n_9770;
+   wire n_9771;
+   wire n_9772;
+   wire n_9773;
+   wire n_9774;
+   wire n_9775;
+   wire n_9776;
+   wire n_9777;
+   wire n_9778;
+   wire n_9779;
+   wire n_9780;
+   wire n_9781;
+   wire n_9782;
+   wire n_9783;
+   wire n_9784;
+   wire n_9785;
+   wire n_9786;
+   wire n_9787;
+   wire n_9788;
+   wire n_9789;
+   wire n_9790;
+   wire n_9791;
+   wire n_9792;
+   wire n_9793;
+   wire n_9794;
+   wire n_9795;
+   wire n_9796;
+   wire n_9797;
+   wire n_9798;
+   wire n_9799;
+   wire n_9800;
+   wire n_9801;
+   wire n_9802;
+   wire n_9803;
+   wire n_9804;
+   wire n_9805;
+   wire n_9806;
+   wire n_9807;
+   wire n_9808;
+   wire n_9809;
+   wire n_9810;
+   wire n_9811;
+   wire n_9812;
+   wire n_9813;
+   wire n_9814;
+   wire n_9815;
+   wire n_9816;
+   wire n_9817;
+   wire n_9818;
+   wire n_9819;
+   wire n_9820;
+   wire n_9821;
+   wire n_9822;
+   wire n_9823;
+   wire n_9824;
+   wire n_9825;
+   wire n_9826;
+   wire n_9827;
+   wire n_9828;
+   wire n_9829;
+   wire n_9830;
+   wire n_9831;
+   wire n_9832;
+   wire n_9833;
+   wire n_9834;
+   wire n_9835;
+   wire n_9836;
+   wire n_9837;
+   wire n_9838;
+   wire n_9839;
+   wire n_9840;
+   wire n_9841;
+   wire n_9842;
+   wire n_9843;
+   wire n_9844;
+   wire n_9845;
+   wire n_9846;
+   wire n_9847;
+   wire n_9848;
+   wire n_9849;
+   wire n_9850;
+   wire n_9851;
+   wire n_9852;
+   wire n_9853;
+   wire n_9854;
+   wire n_9855;
+   wire n_9856;
+   wire n_9857;
+   wire n_9858;
+   wire n_9859;
+   wire n_9860;
+   wire n_9861;
+   wire n_9862;
+   wire n_9863;
+   wire n_9864;
+   wire n_9865;
+   wire n_9866;
+   wire n_9867;
+   wire n_9868;
+   wire n_9869;
+   wire n_9870;
+   wire n_9871;
+   wire n_9872;
+   wire n_9873;
+   wire n_9874;
+   wire n_9875;
+   wire n_9876;
+   wire n_9877;
+   wire n_9878;
+   wire n_9879;
+   wire n_9880;
+   wire n_9881;
+   wire n_9882;
+   wire n_9883;
+   wire n_9884;
+   wire n_9885;
+   wire n_9886;
+   wire n_9887;
+   wire n_9888;
+   wire n_9889;
+   wire n_9890;
+   wire n_9891;
+   wire n_9892;
+   wire n_9893;
+   wire n_9894;
+   wire n_9895;
+   wire n_9896;
+   wire n_9897;
+   wire n_9898;
+   wire n_9899;
+   wire n_9900;
+   wire n_9901;
+   wire n_9902;
+   wire n_9903;
+   wire n_9904;
+   wire n_9905;
+   wire n_9906;
+   wire n_9907;
+   wire n_9908;
+   wire n_9909;
+   wire n_9910;
+   wire n_9911;
+   wire n_9912;
+   wire n_9913;
+   wire n_9914;
+   wire n_9915;
+   wire n_9916;
+   wire n_9917;
+   wire n_9918;
+   wire n_9919;
+   wire n_9920;
+   wire n_9921;
+   wire n_9922;
+   wire n_9923;
+   wire n_9924;
+   wire n_9925;
+   wire n_9926;
+   wire n_9927;
+   wire n_9928;
+   wire n_9929;
+   wire n_9930;
+   wire n_9931;
+   wire n_9932;
+   wire n_9933;
+   wire n_9934;
+   wire n_9935;
+   wire n_9936;
+   wire n_9937;
+   wire n_9938;
+   wire n_9939;
+   wire n_9940;
+   wire n_9941;
+   wire n_9942;
+   wire n_9943;
+   wire n_9944;
+   wire n_9945;
+   wire n_9946;
+   wire n_9947;
+   wire n_9948;
+   wire n_9949;
+   wire n_9950;
+   wire n_9951;
+   wire n_9952;
+   wire n_9953;
+   wire n_9954;
+   wire n_9955;
+   wire n_9956;
+   wire n_9957;
+   wire n_9958;
+   wire n_9959;
+   wire n_9960;
+   wire n_9961;
+   wire n_9962;
+   wire n_9963;
+   wire n_9964;
+   wire n_9965;
+   wire n_9966;
+   wire n_9967;
+   wire n_9968;
+   wire n_9969;
+   wire n_9970;
+   wire n_9971;
+   wire n_9972;
+   wire n_9973;
+   wire n_9974;
+   wire n_9975;
+   wire n_9976;
+   wire n_9977;
+   wire n_9978;
+   wire n_9979;
+   wire n_9980;
+   wire n_9981;
+   wire n_9982;
+   wire n_9983;
+   wire n_9984;
+   wire n_9985;
+   wire n_9986;
+   wire n_9987;
+   wire n_9988;
+   wire n_9989;
+   wire n_9990;
+   wire n_9991;
+   wire n_9992;
+   wire n_9993;
+   wire n_9994;
+   wire n_9995;
+   wire n_9996;
+   wire n_9997;
+   wire n_9998;
+   wire n_9999;
+   wire n_10000;
+   wire n_10001;
+   wire n_10002;
+   wire n_10003;
+   wire n_10004;
+   wire n_10005;
+   wire n_10006;
+   wire n_10007;
+   wire n_10008;
+   wire n_10009;
+   wire n_10010;
+   wire n_10011;
+   wire n_10012;
+   wire n_10013;
+   wire n_10014;
+   wire n_10015;
+   wire n_10016;
+   wire n_10017;
+   wire n_10018;
+   wire n_10019;
+   wire n_10020;
+   wire n_10021;
+   wire n_10022;
+   wire n_10023;
+   wire n_10024;
+   wire n_10025;
+   wire n_10026;
+   wire n_10027;
+   wire n_10028;
+   wire n_10029;
+   wire n_10030;
+   wire n_10031;
+   wire n_10032;
+   wire n_10033;
+   wire n_10034;
+   wire n_10035;
+   wire n_10036;
+   wire n_10037;
+   wire n_10038;
+   wire n_10039;
+   wire n_10040;
+   wire n_10041;
+   wire n_10042;
+   wire n_10043;
+   wire n_10044;
+   wire n_10045;
+   wire n_10046;
+   wire n_10047;
+   wire n_10048;
+   wire n_10049;
+   wire n_10050;
+   wire n_10051;
+   wire n_10052;
+   wire n_10053;
+   wire n_10054;
+   wire n_10055;
+   wire n_10056;
+   wire n_10057;
+   wire n_10058;
+   wire n_10059;
+   wire n_10060;
+   wire n_10061;
+   wire n_10062;
+   wire n_10063;
+   wire n_10064;
+   wire n_10065;
+   wire n_10066;
+   wire n_10067;
+   wire n_10068;
+   wire n_10069;
+   wire n_10070;
+   wire n_10071;
+   wire n_10072;
+   wire n_10073;
+   wire n_10074;
+   wire n_10075;
+   wire n_10076;
+   wire n_10077;
+   wire n_10078;
+   wire n_10079;
+   wire n_10080;
+   wire n_10081;
+   wire n_10082;
+   wire n_10083;
+   wire n_10084;
+   wire n_10085;
+   wire n_10086;
+   wire n_10087;
+   wire n_10088;
+   wire n_10089;
+   wire n_10090;
+   wire n_10091;
+   wire n_10092;
+   wire n_10093;
+   wire n_10094;
+   wire n_10095;
+   wire n_10096;
+   wire n_10097;
+   wire n_10098;
+   wire n_10099;
+   wire n_10100;
+   wire n_10101;
+   wire n_10102;
+   wire n_10103;
+   wire n_10104;
+   wire n_10105;
+   wire n_10106;
+   wire n_10107;
+   wire n_10108;
+   wire n_10109;
+   wire n_10110;
+   wire n_10111;
+   wire n_10112;
+   wire n_10113;
+   wire n_10114;
+   wire n_10115;
+   wire n_10116;
+   wire n_10117;
+   wire n_10118;
+   wire n_10119;
+   wire n_10120;
+   wire n_10121;
+   wire n_10122;
+   wire n_10123;
+   wire n_10124;
+   wire n_10125;
+   wire n_10126;
+   wire n_10127;
+   wire n_10128;
+   wire n_10129;
+   wire n_10130;
+   wire n_10131;
+   wire n_10132;
+   wire n_10133;
+   wire n_10134;
+   wire n_10135;
+   wire n_10136;
+   wire n_10137;
+   wire n_10138;
+   wire n_10139;
+   wire n_10140;
+   wire n_10141;
+   wire n_10142;
+   wire n_10143;
+   wire n_10144;
+   wire n_10145;
+   wire n_10146;
+   wire n_10147;
+   wire n_10148;
+   wire n_10150;
+   wire n_10151;
+   wire n_10152;
+   wire n_10153;
+   wire n_10154;
+   wire n_10155;
+   wire n_10156;
+   wire n_10157;
+   wire n_10158;
+   wire n_10159;
+   wire n_10160;
+   wire n_10161;
+   wire n_10162;
+   wire n_10163;
+   wire n_10164;
+   wire n_10165;
+   wire n_10166;
+   wire n_10167;
+   wire n_10168;
+   wire n_10169;
+   wire n_10170;
+   wire n_10171;
+   wire n_10172;
+   wire n_10173;
+   wire n_10174;
+   wire n_10175;
+   wire n_10176;
+   wire n_10177;
+   wire n_10178;
+   wire n_10179;
+   wire n_10180;
+   wire n_10181;
+   wire n_10182;
+   wire n_10183;
+   wire n_10184;
+   wire n_10185;
+   wire n_10186;
+   wire n_10187;
+   wire n_10188;
+   wire n_10189;
+   wire n_10190;
+   wire n_10191;
+   wire n_10192;
+   wire n_10193;
+   wire n_10194;
+   wire n_10195;
+   wire n_10196;
+   wire n_10197;
+   wire n_10198;
+   wire n_10199;
+   wire n_10200;
+   wire n_10201;
+   wire n_10202;
+   wire n_10203;
+   wire n_10204;
+   wire n_10205;
+   wire n_10206;
+   wire n_10207;
+   wire n_10208;
+   wire n_10209;
+   wire n_10210;
+   wire n_10211;
+   wire n_10212;
+   wire n_10213;
+   wire n_10214;
+   wire n_10215;
+   wire n_10216;
+   wire n_10217;
+   wire n_10218;
+   wire n_10219;
+   wire n_10220;
+   wire n_10221;
+   wire n_10222;
+   wire n_10223;
+   wire n_10224;
+   wire n_10225;
+   wire n_10226;
+   wire n_10227;
+   wire n_10228;
+   wire n_10229;
+   wire n_10230;
+   wire n_10231;
+   wire n_10232;
+   wire n_10233;
+   wire n_10234;
+   wire n_10235;
+   wire n_10236;
+   wire n_10237;
+   wire n_10238;
+   wire n_10239;
+   wire n_10240;
+   wire n_10241;
+   wire n_10242;
+   wire n_10243;
+   wire n_10244;
+   wire n_10245;
+   wire n_10246;
+   wire n_10247;
+   wire n_10248;
+   wire n_10249;
+   wire n_10250;
+   wire n_10251;
+   wire n_10252;
+   wire n_10253;
+   wire n_10254;
+   wire n_10255;
+   wire n_10256;
+   wire n_10257;
+   wire n_10258;
+   wire n_10259;
+   wire n_10260;
+   wire n_10261;
+   wire n_10262;
+   wire n_10263;
+   wire n_10264;
+   wire n_10265;
+   wire n_10266;
+   wire n_10267;
+   wire n_10268;
+   wire n_10269;
+   wire n_10270;
+   wire n_10271;
+   wire n_10272;
+   wire n_10273;
+   wire n_10274;
+   wire n_10275;
+   wire n_10276;
+   wire n_10277;
+   wire n_10278;
+   wire n_10279;
+   wire n_10280;
+   wire n_10281;
+   wire n_10282;
+   wire n_10283;
+   wire n_10284;
+   wire n_10285;
+   wire n_10286;
+   wire n_10287;
+   wire n_10288;
+   wire n_10289;
+   wire n_10290;
+   wire n_10291;
+   wire n_10292;
+   wire n_10293;
+   wire n_10294;
+   wire n_10295;
+   wire n_10296;
+   wire n_10297;
+   wire n_10298;
+   wire n_10299;
+   wire n_10300;
+   wire n_10301;
+   wire n_10302;
+   wire n_10303;
+   wire n_10304;
+   wire n_10305;
+   wire n_10306;
+   wire n_10307;
+   wire n_10308;
+   wire n_10309;
+   wire n_10310;
+   wire n_10311;
+   wire n_10312;
+   wire n_10313;
+   wire n_10314;
+   wire n_10315;
+   wire n_10316;
+   wire n_10317;
+   wire n_10318;
+   wire n_10319;
+   wire n_10320;
+   wire n_10321;
+   wire n_10322;
+   wire n_10323;
+   wire n_10324;
+   wire n_10325;
+   wire n_10326;
+   wire n_10327;
+   wire n_10328;
+   wire n_10330;
+   wire n_10333;
+   wire n_10334;
+   wire n_10335;
+   wire n_10336;
+   wire n_10337;
+   wire n_10338;
+   wire n_10339;
+   wire n_10340;
+   wire n_10341;
+   wire n_10342;
+   wire n_10343;
+   wire n_10344;
+   wire n_10345;
+   wire n_10346;
+   wire n_10347;
+   wire n_10348;
+   wire n_10349;
+   wire n_10350;
+   wire n_10351;
+   wire n_10352;
+   wire n_10353;
+   wire n_10354;
+   wire n_10355;
+   wire n_10356;
+   wire n_10357;
+   wire n_10358;
+   wire n_10359;
+   wire n_10360;
+   wire n_10361;
+   wire n_10362;
+   wire n_10363;
+   wire n_10364;
+   wire n_10365;
+   wire n_10366;
+   wire n_10367;
+   wire n_10368;
+   wire n_10369;
+   wire n_10370;
+   wire n_10371;
+   wire n_10372;
+   wire n_10373;
+   wire n_10374;
+   wire n_10375;
+   wire n_10376;
+   wire n_10377;
+   wire n_10378;
+   wire n_10379;
+   wire n_10380;
+   wire n_10381;
+   wire n_10382;
+   wire n_10383;
+   wire n_10384;
+   wire n_10385;
+   wire n_10386;
+   wire n_10387;
+   wire n_10388;
+   wire n_10389;
+   wire n_10390;
+   wire n_10391;
+   wire n_10392;
+   wire n_10393;
+   wire n_10394;
+   wire n_10395;
+   wire n_10396;
+   wire n_10397;
+   wire n_10398;
+   wire n_10399;
+   wire n_10400;
+   wire n_10401;
+   wire n_10402;
+   wire n_10403;
+   wire n_10404;
+   wire n_10405;
+   wire n_10406;
+   wire n_10407;
+   wire n_10408;
+   wire n_10409;
+   wire n_10410;
+   wire n_10411;
+   wire n_10412;
+   wire n_10413;
+   wire n_10414;
+   wire n_10415;
+   wire n_10416;
+   wire n_10417;
+   wire n_10418;
+   wire n_10419;
+   wire n_10420;
+   wire n_10421;
+   wire n_10422;
+   wire n_10423;
+   wire n_10424;
+   wire n_10425;
+   wire n_10426;
+   wire n_10427;
+   wire n_10428;
+   wire n_10429;
+   wire n_10430;
+   wire n_10431;
+   wire n_10432;
+   wire n_10433;
+   wire n_10434;
+   wire n_10435;
+   wire n_10436;
+   wire n_10437;
+   wire n_10438;
+   wire n_10439;
+   wire n_10440;
+   wire n_10441;
+   wire n_10442;
+   wire n_10443;
+   wire n_10444;
+   wire n_10445;
+   wire n_10446;
+   wire n_10447;
+   wire n_10448;
+   wire n_10449;
+   wire n_10450;
+   wire n_10451;
+   wire n_10452;
+   wire n_10453;
+   wire n_10454;
+   wire n_10455;
+   wire n_10456;
+   wire n_10457;
+   wire n_10458;
+   wire n_10459;
+   wire n_10460;
+   wire n_10461;
+   wire n_10462;
+   wire n_10463;
+   wire n_10464;
+   wire n_10465;
+   wire n_10466;
+   wire n_10467;
+   wire n_10468;
+   wire n_10469;
+   wire n_10470;
+   wire n_10471;
+   wire n_10472;
+   wire n_10473;
+   wire n_10474;
+   wire n_10475;
+   wire n_10476;
+   wire n_10477;
+   wire n_10478;
+   wire n_10479;
+   wire n_10480;
+   wire n_10481;
+   wire n_10482;
+   wire n_10483;
+   wire n_10484;
+   wire n_10485;
+   wire n_10486;
+   wire n_10487;
+   wire n_10488;
+   wire n_10489;
+   wire n_10490;
+   wire n_10491;
+   wire n_10492;
+   wire n_10493;
+   wire n_10494;
+   wire n_10495;
+   wire n_10496;
+   wire n_10497;
+   wire n_10498;
+   wire n_10499;
+   wire n_10500;
+   wire n_10501;
+   wire n_10502;
+   wire n_10503;
+   wire n_10504;
+   wire n_10505;
+   wire n_10506;
+   wire n_10507;
+   wire n_10508;
+   wire n_10509;
+   wire n_10510;
+   wire n_10511;
+   wire n_10512;
+   wire n_10513;
+   wire n_10514;
+   wire n_10515;
+   wire n_10516;
+   wire n_10517;
+   wire n_10518;
+   wire n_10519;
+   wire n_10520;
+   wire n_10521;
+   wire n_10522;
+   wire n_10523;
+   wire n_10524;
+   wire n_10525;
+   wire n_10526;
+   wire n_10527;
+   wire n_10528;
+   wire n_10529;
+   wire n_10530;
+   wire n_10531;
+   wire n_10532;
+   wire n_10533;
+   wire n_10534;
+   wire n_10535;
+   wire n_10536;
+   wire n_10537;
+   wire n_10538;
+   wire n_10539;
+   wire n_10540;
+   wire n_10541;
+   wire n_10542;
+   wire n_10543;
+   wire n_10544;
+   wire n_10545;
+   wire n_10546;
+   wire n_10547;
+   wire n_10548;
+   wire n_10549;
+   wire n_10550;
+   wire n_10551;
+   wire n_10552;
+   wire n_10553;
+   wire n_10554;
+   wire n_10555;
+   wire n_10556;
+   wire n_10557;
+   wire n_10558;
+   wire n_10559;
+   wire n_10560;
+   wire n_10561;
+   wire n_10562;
+   wire n_10563;
+   wire n_10564;
+   wire n_10565;
+   wire n_10566;
+   wire n_10567;
+   wire n_10568;
+   wire n_10569;
+   wire n_10570;
+   wire n_10573;
+   wire n_10574;
+   wire n_10575;
+   wire n_10576;
+   wire n_10577;
+   wire n_10578;
+   wire n_10579;
+   wire n_10580;
+   wire n_10581;
+   wire n_10582;
+   wire n_10583;
+   wire n_10584;
+   wire n_10585;
+   wire n_10586;
+   wire n_10587;
+   wire n_10588;
+   wire n_10589;
+   wire n_10590;
+   wire n_10591;
+   wire n_10592;
+   wire n_10593;
+   wire n_10594;
+   wire n_10595;
+   wire n_10596;
+   wire n_10597;
+   wire n_10598;
+   wire n_10599;
+   wire n_10600;
+   wire n_10601;
+   wire n_10602;
+   wire n_10603;
+   wire n_10604;
+   wire n_10605;
+   wire n_10606;
+   wire n_10607;
+   wire n_10608;
+   wire n_10609;
+   wire n_10610;
+   wire n_10611;
+   wire n_10612;
+   wire n_10613;
+   wire n_10614;
+   wire n_10615;
+   wire n_10616;
+   wire n_10617;
+   wire n_10618;
+   wire n_10619;
+   wire n_10620;
+   wire n_10621;
+   wire n_10622;
+   wire n_10623;
+   wire n_10624;
+   wire n_10625;
+   wire n_10626;
+   wire n_10627;
+   wire n_10628;
+   wire n_10629;
+   wire n_10630;
+   wire n_10631;
+   wire n_10632;
+   wire n_10633;
+   wire n_10634;
+   wire n_10635;
+   wire n_10636;
+   wire n_10637;
+   wire n_10638;
+   wire n_10639;
+   wire n_10640;
+   wire n_10641;
+   wire n_10642;
+   wire n_10643;
+   wire n_10644;
+   wire n_10645;
+   wire n_10646;
+   wire n_10647;
+   wire n_10648;
+   wire n_10649;
+   wire n_10650;
+   wire n_10651;
+   wire n_10652;
+   wire n_10653;
+   wire n_10655;
+   wire n_10656;
+   wire n_10657;
+   wire n_10658;
+   wire n_10659;
+   wire n_10660;
+   wire n_10661;
+   wire n_10662;
+   wire n_10663;
+   wire n_10664;
+   wire n_10665;
+   wire n_10666;
+   wire n_10667;
+   wire n_10668;
+   wire n_10669;
+   wire n_10670;
+   wire n_10671;
+   wire n_10672;
+   wire n_10673;
+   wire n_10674;
+   wire n_10675;
+   wire n_10676;
+   wire n_10677;
+   wire n_10678;
+   wire n_10679;
+   wire n_10680;
+   wire n_10681;
+   wire n_10682;
+   wire n_10683;
+   wire n_10684;
+   wire n_10685;
+   wire n_10686;
+   wire n_10687;
+   wire n_10690;
+   wire n_10691;
+   wire n_10692;
+   wire n_10695;
+   wire n_10696;
+   wire n_10697;
+   wire n_10698;
+   wire n_10699;
+   wire n_10700;
+   wire n_10701;
+   wire n_10702;
+   wire n_10703;
+   wire n_10704;
+   wire n_10705;
+   wire n_10706;
+   wire n_10707;
+   wire n_10708;
+   wire n_10709;
+   wire n_10710;
+   wire n_10711;
+   wire n_10712;
+   wire n_10713;
+   wire n_10714;
+   wire n_10715;
+   wire n_10716;
+   wire n_10717;
+   wire n_10718;
+   wire n_10719;
+   wire n_10720;
+   wire n_10721;
+   wire n_10722;
+   wire n_10723;
+   wire n_10724;
+   wire n_10725;
+   wire n_10726;
+   wire n_10727;
+   wire n_10728;
+   wire n_10729;
+   wire n_10730;
+   wire n_10731;
+   wire n_10732;
+   wire n_10733;
+   wire n_10734;
+   wire n_10735;
+   wire n_10736;
+   wire n_10737;
+   wire n_10738;
+   wire n_10739;
+   wire n_10740;
+   wire n_10741;
+   wire n_10742;
+   wire n_10743;
+   wire n_10744;
+   wire n_10745;
+   wire n_10746;
+   wire n_10747;
+   wire n_10748;
+   wire n_10749;
+   wire n_10750;
+   wire n_10751;
+   wire n_10752;
+   wire n_10753;
+   wire n_10754;
+   wire n_10755;
+   wire n_10756;
+   wire n_10757;
+   wire n_10758;
+   wire n_10759;
+   wire n_10760;
+   wire n_10761;
+   wire n_10762;
+   wire n_10763;
+   wire n_10764;
+   wire n_10765;
+   wire n_10766;
+   wire n_10767;
+   wire n_10768;
+   wire n_10769;
+   wire n_10770;
+   wire n_10771;
+   wire n_10772;
+   wire n_10773;
+   wire n_10774;
+   wire n_10775;
+   wire n_10776;
+   wire n_10777;
+   wire n_10778;
+   wire n_10779;
+   wire n_10780;
+   wire n_10781;
+   wire n_10782;
+   wire n_10783;
+   wire n_10784;
+   wire n_10785;
+   wire n_10786;
+   wire n_10787;
+   wire n_10788;
+   wire n_10789;
+   wire n_10790;
+   wire n_10791;
+   wire n_10792;
+   wire n_10793;
+   wire n_10794;
+   wire n_10795;
+   wire n_10796;
+   wire n_10797;
+   wire n_10798;
+   wire n_10799;
+   wire n_10800;
+   wire n_10801;
+   wire n_10802;
+   wire n_10803;
+   wire n_10804;
+   wire n_10805;
+   wire n_10806;
+   wire n_10807;
+   wire n_10808;
+   wire n_10809;
+   wire n_10810;
+   wire n_10811;
+   wire n_10812;
+   wire n_10813;
+   wire n_10814;
+   wire n_10815;
+   wire n_10816;
+   wire n_10817;
+   wire n_10818;
+   wire n_10819;
+   wire n_10820;
+   wire n_10821;
+   wire n_10822;
+   wire n_10823;
+   wire n_10824;
+   wire n_10825;
+   wire n_10826;
+   wire n_10827;
+   wire n_10828;
+   wire n_10829;
+   wire n_10830;
+   wire n_10831;
+   wire n_10832;
+   wire n_10833;
+   wire n_10834;
+   wire n_10835;
+   wire n_10836;
+   wire n_10837;
+   wire n_10840;
+   wire n_10841;
+   wire n_10842;
+   wire n_10843;
+   wire n_10844;
+   wire n_10845;
+   wire n_10846;
+   wire n_10847;
+   wire n_10848;
+   wire n_10849;
+   wire n_10850;
+   wire n_10851;
+   wire n_10852;
+   wire n_10853;
+   wire n_10854;
+   wire n_10855;
+   wire n_10856;
+   wire n_10857;
+   wire n_10858;
+   wire n_10859;
+   wire n_10860;
+   wire n_10861;
+   wire n_10862;
+   wire n_10863;
+   wire n_10864;
+   wire n_10865;
+   wire n_10866;
+   wire n_10867;
+   wire n_10868;
+   wire n_10869;
+   wire n_10870;
+   wire n_10871;
+   wire n_10872;
+   wire n_10873;
+   wire n_10874;
+   wire n_10875;
+   wire n_10876;
+   wire n_10877;
+   wire n_10878;
+   wire n_10879;
+   wire n_10880;
+   wire n_10881;
+   wire n_10882;
+   wire n_10883;
+   wire n_10884;
+   wire n_10885;
+   wire n_10886;
+   wire n_10887;
+   wire n_10888;
+   wire n_10889;
+   wire n_10890;
+   wire n_10891;
+   wire n_10892;
+   wire n_10893;
+   wire n_10894;
+   wire n_10895;
+   wire n_10896;
+   wire n_10897;
+   wire n_10898;
+   wire n_10899;
+   wire n_10900;
+   wire n_10901;
+   wire n_10902;
+   wire n_10903;
+   wire n_10904;
+   wire n_10905;
+   wire n_10906;
+   wire n_10907;
+   wire n_10908;
+   wire n_10909;
+   wire n_10910;
+   wire n_10911;
+   wire n_10912;
+   wire n_10913;
+   wire n_10914;
+   wire n_10915;
+   wire n_10916;
+   wire n_10917;
+   wire n_10918;
+   wire n_10919;
+   wire n_10920;
+   wire n_10921;
+   wire n_10922;
+   wire n_10923;
+   wire n_10924;
+   wire n_10925;
+   wire n_10926;
+   wire n_10927;
+   wire n_10928;
+   wire n_10929;
+   wire n_10930;
+   wire n_10931;
+   wire n_10932;
+   wire n_10933;
+   wire n_10934;
+   wire n_10935;
+   wire n_10936;
+   wire n_10937;
+   wire n_10938;
+   wire n_10939;
+   wire n_10940;
+   wire n_10941;
+   wire n_10942;
+   wire n_10943;
+   wire n_10944;
+   wire n_10945;
+   wire n_10946;
+   wire n_10947;
+   wire n_10948;
+   wire n_10949;
+   wire n_10950;
+   wire n_10951;
+   wire n_10952;
+   wire n_10953;
+   wire n_10954;
+   wire n_10955;
+   wire n_10956;
+   wire n_10957;
+   wire n_10958;
+   wire n_10960;
+   wire n_10961;
+   wire n_10962;
+   wire n_10963;
+   wire n_10964;
+   wire n_10965;
+   wire n_10966;
+   wire n_10967;
+   wire n_10968;
+   wire n_10969;
+   wire n_10970;
+   wire n_10971;
+   wire n_10972;
+   wire n_10973;
+   wire n_10974;
+   wire n_10975;
+   wire n_10976;
+   wire n_10977;
+   wire n_10978;
+   wire n_10979;
+   wire n_10980;
+   wire n_10981;
+   wire n_10982;
+   wire n_10983;
+   wire n_10984;
+   wire n_10985;
+   wire n_10986;
+   wire n_10987;
+   wire n_10988;
+   wire n_10989;
+   wire n_10990;
+   wire n_10991;
+   wire n_10992;
+   wire n_10993;
+   wire n_10994;
+   wire n_10995;
+   wire n_10996;
+   wire n_10997;
+   wire n_10998;
+   wire n_10999;
+   wire n_11000;
+   wire n_11001;
+   wire n_11002;
+   wire n_11003;
+   wire n_11004;
+   wire n_11005;
+   wire n_11006;
+   wire n_11018;
+   wire n_11020;
+   wire n_11021;
+   wire n_11022;
+   wire n_11028;
+   wire n_11031;
+   wire n_11032;
+   wire n_11033;
+   wire n_11034;
+   wire n_11035;
+   wire n_11036;
+   wire n_11037;
+   wire n_11038;
+   wire n_11039;
+   wire n_11040;
+   wire n_11041;
+   wire n_11043;
+   wire n_11044;
+   wire n_11045;
+   wire n_11046;
+   wire n_11054;
+   wire n_11086;
+   wire n_11087;
+   wire n_11088;
+   wire n_11089;
+   wire n_11090;
+   wire n_11091;
+   wire n_11092;
+   wire n_11093;
+   wire n_11094;
+   wire n_11096;
+   wire n_11098;
+   wire n_11099;
+   wire n_11100;
+   wire n_11101;
+   wire n_11102;
+   wire n_11104;
+   wire n_11105;
+   wire n_11110;
+   wire n_11111;
+   wire n_11112;
+   wire n_11114;
+   wire n_11121;
+   wire n_11122;
+   wire n_11123;
+   wire n_11124;
+   wire n_11125;
+   wire n_11128;
+   wire n_11129;
+   wire n_11130;
+   wire n_11132;
+   wire n_11133;
+   wire n_11134;
+   wire n_11135;
+   wire n_11137;
+   wire n_11138;
+   wire n_11139;
+   wire n_11140;
+   wire n_11141;
+   wire n_11142;
+   wire n_11143;
+   wire n_11144;
+   wire n_11145;
+   wire n_11146;
+   wire n_11147;
+   wire n_11148;
+   wire n_11149;
+   wire n_11151;
+   wire n_11152;
+   wire n_11153;
+   wire n_11154;
+   wire n_11155;
+   wire n_11156;
+   wire n_11157;
+   wire n_11158;
+   wire n_11159;
+   wire n_11160;
+   wire n_11161;
+   wire n_11164;
+   wire n_11165;
+   wire n_11166;
+   wire n_11167;
+   wire n_11168;
+   wire n_11169;
+   wire n_11170;
+   wire n_11171;
+   wire n_11172;
+   wire n_11173;
+   wire n_11174;
+   wire n_11175;
+   wire n_11176;
+   wire n_11207;
+   wire n_11208;
+   wire n_11209;
+   wire n_11210;
+   wire n_11212;
+   wire n_11213;
+   wire n_11214;
+   wire n_11215;
+   wire n_11216;
+   wire n_11217;
+   wire n_11218;
+   wire n_11219;
+   wire n_11220;
+   wire n_11221;
+   wire n_11222;
+   wire n_11223;
+   wire n_11224;
+   wire n_11225;
+   wire n_11226;
+   wire n_11227;
+   wire n_11228;
+   wire n_11229;
+   wire n_11230;
+   wire n_11231;
+   wire n_11232;
+   wire n_11233;
+   wire n_11234;
+   wire n_11235;
+   wire n_11236;
+   wire n_11237;
+   wire n_11238;
+   wire n_11239;
+   wire n_11240;
+   wire n_11241;
+   wire n_11242;
+   wire n_11243;
+   wire n_11244;
+   wire n_11245;
+   wire n_11246;
+   wire n_11247;
+   wire n_11248;
+   wire n_11249;
+   wire n_11250;
+   wire n_11251;
+   wire n_11252;
+   wire n_11253;
+   wire n_11254;
+   wire n_11255;
+   wire n_11256;
+   wire n_11257;
+   wire n_11258;
+   wire n_11259;
+   wire n_11260;
+   wire n_11261;
+   wire n_11262;
+   wire n_11265;
+   wire n_11266;
+   wire n_11268;
+   wire n_11269;
+   wire n_11271;
+   wire n_11272;
+   wire n_11273;
+   wire n_11274;
+   wire n_11275;
+   wire n_11276;
+   wire n_11277;
+   wire n_11278;
+   wire n_11279;
+   wire n_11280;
+   wire n_11281;
+   wire n_11282;
+   wire n_11283;
+   wire n_11284;
+   wire n_11286;
+   wire n_11287;
+   wire n_11289;
+   wire n_11290;
+   wire n_11291;
+   wire n_11294;
+   wire n_11295;
+   wire n_11296;
+   wire n_11298;
+   wire n_11299;
+   wire n_11300;
+   wire n_11302;
+   wire n_11303;
+   wire n_11304;
+   wire n_11306;
+   wire n_11307;
+   wire n_11310;
+   wire n_11311;
+   wire n_11312;
+   wire n_11313;
+   wire n_11314;
+   wire n_11315;
+   wire n_11316;
+   wire n_11317;
+   wire n_11318;
+   wire n_11319;
+   wire n_11320;
+   wire n_11321;
+   wire n_11322;
+   wire n_11323;
+   wire n_11324;
+   wire n_11325;
+   wire n_11326;
+   wire n_11327;
+   wire n_11328;
+   wire n_11329;
+   wire n_11330;
+   wire n_11331;
+   wire n_11332;
+   wire n_11333;
+   wire n_11334;
+   wire n_11335;
+   wire n_11336;
+   wire n_11337;
+   wire n_11338;
+   wire n_11339;
+   wire n_11340;
+   wire n_11341;
+   wire n_11342;
+   wire n_11343;
+   wire n_11344;
+   wire n_11345;
+   wire n_11346;
+   wire n_11347;
+   wire n_11348;
+   wire n_11349;
+   wire n_11350;
+   wire n_11351;
+   wire n_11352;
+   wire n_11353;
+   wire n_11355;
+   wire n_11356;
+   wire n_11357;
+   wire n_11360;
+   wire n_11361;
+   wire n_11362;
+   wire n_11363;
+   wire n_11364;
+   wire n_11365;
+   wire n_11366;
+   wire n_11367;
+   wire n_11368;
+   wire n_11369;
+   wire n_11370;
+   wire n_11371;
+   wire n_11372;
+   wire n_11373;
+   wire n_11374;
+   wire n_11375;
+   wire n_11376;
+   wire n_11377;
+   wire n_11378;
+   wire n_11379;
+   wire n_11380;
+   wire n_11381;
+   wire n_11382;
+   wire n_11383;
+   wire n_11384;
+   wire n_11385;
+   wire n_11386;
+   wire n_11388;
+   wire n_11389;
+   wire n_11390;
+   wire n_11391;
+   wire n_11392;
+   wire n_11393;
+   wire n_11395;
+   wire n_11396;
+   wire n_11397;
+   wire n_11398;
+   wire n_11399;
+   wire n_11400;
+   wire n_11401;
+   wire n_11411;
+   wire n_11412;
+   wire n_11413;
+   wire n_11414;
+   wire n_11415;
+   wire n_11418;
+   wire n_11421;
+   wire n_11422;
+   wire n_11423;
+   wire n_11425;
+   wire n_11428;
+   wire n_11431;
+   wire n_11432;
+   wire n_11433;
+   wire n_11434;
+   wire n_11435;
+   wire n_11438;
+   wire n_11439;
+   wire n_11440;
+   wire n_11441;
+   wire n_11442;
+   wire n_11443;
+   wire n_11446;
+   wire n_11447;
+   wire n_11450;
+   wire n_11451;
+   wire n_11452;
+   wire n_11455;
+   wire n_11456;
+   wire n_11457;
+   wire n_11458;
+   wire n_11459;
+   wire n_11460;
+   wire n_11461;
+   wire n_11462;
+   wire n_11463;
+   wire n_11464;
+   wire n_11465;
+   wire n_11466;
+   wire n_11467;
+   wire n_11468;
+   wire n_11469;
+   wire n_11470;
+   wire n_11471;
+   wire n_11472;
+   wire n_11473;
+   wire n_11474;
+   wire n_11475;
+   wire n_11476;
+   wire n_11478;
+   wire n_11479;
+   wire n_11480;
+   wire n_11513;
+   wire n_11514;
+   wire n_11515;
+   wire n_11516;
+   wire n_11517;
+   wire n_11518;
+   wire n_11550;
+   wire n_11552;
+   wire n_11553;
+   wire n_11554;
+   wire n_11555;
+   wire n_11556;
+   wire n_11557;
+   wire n_11558;
+   wire n_11559;
+   wire n_11561;
+   wire n_11563;
+   wire n_11564;
+   wire n_11566;
+   wire n_11567;
+   wire n_11568;
+   wire n_11569;
+   wire n_11570;
+   wire n_11571;
+   wire n_11572;
+   wire n_11573;
+   wire n_11574;
+   wire n_11579;
+   wire n_11580;
+   wire n_11581;
+   wire n_11593;
+   wire n_11625;
+   wire n_11626;
+   wire n_11628;
+   wire n_11629;
+   wire n_11631;
+   wire n_11632;
+   wire n_11633;
+   wire n_11635;
+   wire n_11636;
+   wire n_11637;
+   wire n_11646;
+   wire n_11647;
+   wire n_11648;
+   wire n_11651;
+   wire n_11652;
+   wire n_11653;
+   wire n_11654;
+   wire n_11655;
+   wire n_11661;
+   wire n_11662;
+   wire n_11667;
+   wire n_11668;
+   wire n_11669;
+   wire n_11670;
+   wire n_11671;
+   wire n_11672;
+   wire n_11673;
+   wire n_11674;
+   wire n_11675;
+   wire n_11676;
+   wire n_11677;
+   wire n_11678;
+   wire n_11679;
+   wire n_11680;
+   wire n_11681;
+   wire n_11682;
+   wire n_11684;
+   wire n_11685;
+   wire n_11686;
+   wire n_11687;
+   wire n_11688;
+   wire n_11689;
+   wire n_11690;
+   wire n_11691;
+   wire n_11692;
+   wire n_11694;
+   wire n_11695;
+   wire n_11696;
+   wire n_11697;
+   wire n_11698;
+   wire n_11699;
+   wire n_11700;
+   wire n_11701;
+   wire n_11702;
+   wire n_11703;
+   wire n_11704;
+   wire n_11705;
+   wire n_11706;
+   wire n_11708;
+   wire n_11709;
+   wire n_11710;
+   wire n_11711;
+   wire n_11712;
+   wire n_11713;
+   wire n_11714;
+   wire n_11715;
+   wire n_11716;
+   wire n_11717;
+   wire n_11719;
+   wire n_11720;
+   wire n_11721;
+   wire n_11722;
+   wire n_11723;
+   wire n_11724;
+   wire n_11725;
+   wire n_11726;
+   wire n_11727;
+   wire n_11728;
+   wire n_11730;
+   wire n_11731;
+   wire n_11732;
+   wire n_11733;
+   wire n_11734;
+   wire n_11735;
+   wire n_11736;
+   wire n_11737;
+   wire n_11738;
+   wire n_11739;
+   wire n_11740;
+   wire n_11742;
+   wire n_11743;
+   wire n_11744;
+   wire n_11745;
+   wire n_11746;
+   wire n_11748;
+   wire n_11749;
+   wire n_11751;
+   wire n_11753;
+   wire n_11754;
+   wire n_11756;
+   wire n_11757;
+   wire n_11761;
+   wire n_11762;
+   wire n_11763;
+   wire n_11765;
+   wire n_11769;
+   wire n_11770;
+   wire n_11771;
+   wire n_11772;
+   wire n_11773;
+   wire n_11777;
+   wire n_11780;
+   wire n_11781;
+   wire n_11783;
+   wire n_11785;
+   wire n_11786;
+   wire n_11787;
+   wire n_11788;
+   wire n_11791;
+   wire n_11795;
+   wire n_11796;
+   wire n_11797;
+   wire n_11798;
+   wire n_11799;
+   wire n_11800;
+   wire n_11801;
+   wire n_11802;
+   wire n_11804;
+   wire n_11805;
+   wire n_11807;
+   wire n_11809;
+   wire n_11810;
+   wire n_11811;
+   wire n_11813;
+   wire n_11814;
+   wire n_11815;
+   wire n_11816;
+   wire n_11817;
+   wire n_11818;
+   wire n_11819;
+   wire n_11820;
+   wire n_11822;
+   wire n_11824;
+   wire n_11825;
+   wire n_11826;
+   wire n_11827;
+   wire n_11828;
+   wire n_11829;
+   wire n_11830;
+   wire n_11831;
+   wire n_11832;
+   wire n_11833;
+   wire n_11834;
+   wire n_11835;
+   wire n_11836;
+   wire n_11837;
+   wire n_11838;
+   wire n_11839;
+   wire n_11841;
+   wire n_11842;
+   wire n_11843;
+   wire n_11844;
+   wire n_11845;
+   wire n_11846;
+   wire n_11847;
+   wire n_11848;
+   wire n_11850;
+   wire n_11851;
+   wire n_11852;
+   wire n_11853;
+   wire n_11854;
+   wire n_11855;
+   wire n_11856;
+   wire n_11857;
+   wire n_11858;
+   wire n_11859;
+   wire n_11860;
+   wire n_11861;
+   wire n_11862;
+   wire n_11863;
+   wire n_11864;
+   wire n_11865;
+   wire n_11866;
+   wire n_11867;
+   wire n_11868;
+   wire n_11869;
+   wire n_11871;
+   wire n_11872;
+   wire n_11873;
+   wire n_11874;
+   wire n_11875;
+   wire n_11876;
+   wire n_11877;
+   wire n_11878;
+   wire n_11879;
+   wire n_11880;
+   wire n_11881;
+   wire n_11882;
+   wire n_11883;
+   wire n_11884;
+   wire n_11885;
+   wire n_11886;
+   wire n_11887;
+   wire n_11888;
+   wire n_11889;
+   wire n_11890;
+   wire n_11892;
+   wire n_11893;
+   wire n_11895;
+   wire n_11896;
+   wire n_11897;
+   wire n_11898;
+   wire n_11899;
+   wire n_11900;
+   wire n_11901;
+   wire n_11902;
+   wire n_11903;
+   wire n_11904;
+   wire n_11905;
+   wire n_11906;
+   wire n_11907;
+   wire n_11908;
+   wire n_11909;
+   wire n_11917;
+   wire n_11918;
+   wire n_11919;
+   wire n_11924;
+   wire n_11925;
+   wire n_11931;
+   wire n_11932;
+   wire n_11933;
+   wire n_11934;
+   wire n_11936;
+   wire n_11938;
+   wire n_11939;
+   wire n_11940;
+   wire n_11941;
+   wire n_11944;
+   wire n_11945;
+   wire n_11946;
+   wire n_11947;
+   wire n_11948;
+   wire n_11949;
+   wire n_11950;
+   wire n_11951;
+   wire n_11952;
+   wire n_11953;
+   wire n_11954;
+   wire n_11955;
+   wire n_11956;
+   wire n_11957;
+   wire n_11958;
+   wire n_11959;
+   wire n_11960;
+   wire n_11961;
+   wire n_11962;
+   wire n_11963;
+   wire n_11964;
+   wire n_11965;
+   wire n_11966;
+   wire n_11967;
+   wire n_11968;
+   wire n_11969;
+   wire n_11970;
+   wire n_11971;
+   wire n_11972;
+   wire n_11973;
+   wire n_11974;
+   wire n_11975;
+   wire n_11976;
+   wire n_11977;
+   wire n_11978;
+   wire n_11979;
+   wire n_11980;
+   wire n_11981;
+   wire n_11982;
+   wire n_11983;
+   wire n_11984;
+   wire n_11985;
+   wire n_11986;
+   wire n_11987;
+   wire n_11988;
+   wire n_11989;
+   wire n_11990;
+   wire n_11991;
+   wire n_11992;
+   wire n_11993;
+   wire n_11994;
+   wire n_11995;
+   wire n_11996;
+   wire n_11997;
+   wire n_11998;
+   wire n_11999;
+   wire n_12000;
+   wire n_12001;
+   wire n_12002;
+   wire n_12005;
+   wire n_12007;
+   wire n_12008;
+   wire n_12009;
+   wire n_12010;
+   wire n_12011;
+   wire n_12012;
+   wire n_12016;
+   wire n_12017;
+   wire n_12018;
+   wire n_12019;
+   wire n_12020;
+   wire n_12021;
+   wire n_12022;
+   wire n_12024;
+   wire n_12025;
+   wire n_12027;
+   wire n_12029;
+   wire n_12030;
+   wire n_12031;
+   wire n_12032;
+   wire n_12033;
+   wire n_12034;
+   wire n_12035;
+   wire n_12036;
+   wire n_12037;
+   wire n_12039;
+   wire n_12040;
+   wire n_12041;
+   wire n_12042;
+   wire n_12044;
+   wire n_12045;
+   wire n_12046;
+   wire n_12047;
+   wire n_12048;
+   wire n_12049;
+   wire n_12050;
+   wire n_12051;
+   wire n_12052;
+   wire n_12055;
+   wire n_12056;
+   wire n_12057;
+   wire n_12058;
+   wire n_12059;
+   wire n_12060;
+   wire n_12061;
+   wire n_12062;
+   wire n_12063;
+   wire n_12064;
+   wire n_12065;
+   wire n_12066;
+   wire n_12067;
+   wire n_12068;
+   wire n_12069;
+   wire n_12070;
+   wire n_12071;
+   wire n_12072;
+   wire n_12073;
+   wire n_12074;
+   wire n_12075;
+   wire n_12076;
+   wire n_12077;
+   wire n_12079;
+   wire n_12080;
+   wire n_12081;
+   wire n_12082;
+   wire n_12083;
+   wire n_12084;
+   wire n_12085;
+   wire n_12086;
+   wire n_12087;
+   wire n_12088;
+   wire n_12089;
+   wire n_12090;
+   wire n_12091;
+   wire n_12092;
+   wire n_12093;
+   wire n_12094;
+   wire n_12095;
+   wire n_12096;
+   wire n_12097;
+   wire n_12098;
+   wire n_12099;
+   wire n_12100;
+   wire n_12101;
+   wire n_12102;
+   wire n_12103;
+   wire n_12104;
+   wire n_12105;
+   wire n_12106;
+   wire n_12107;
+   wire n_12108;
+   wire n_12109;
+   wire n_12110;
+   wire n_12111;
+   wire n_12112;
+   wire n_12113;
+   wire n_12114;
+   wire n_12115;
+   wire n_12116;
+   wire n_12117;
+   wire n_12118;
+   wire n_12120;
+   wire n_12122;
+   wire n_12123;
+   wire n_12124;
+   wire n_12125;
+   wire n_12126;
+   wire n_12127;
+   wire n_12128;
+   wire n_12129;
+   wire n_12130;
+   wire n_12131;
+   wire n_12132;
+   wire n_12133;
+   wire n_12134;
+   wire n_12135;
+   wire n_12136;
+   wire n_12137;
+   wire n_12138;
+   wire n_12139;
+   wire n_12140;
+   wire n_12141;
+   wire n_12142;
+   wire n_12143;
+   wire n_12144;
+   wire n_12145;
+   wire n_12146;
+   wire n_12147;
+   wire n_12148;
+   wire n_12150;
+   wire n_12151;
+   wire n_12152;
+   wire n_12153;
+   wire n_12154;
+   wire n_12155;
+   wire n_12156;
+   wire n_12157;
+   wire n_12158;
+   wire n_12159;
+   wire n_12160;
+   wire n_12161;
+   wire n_12162;
+   wire n_12163;
+   wire n_12164;
+   wire n_12165;
+   wire n_12166;
+   wire n_12167;
+   wire n_12168;
+   wire n_12169;
+   wire n_12170;
+   wire n_12172;
+   wire n_12174;
+   wire n_12175;
+   wire n_12176;
+   wire n_12177;
+   wire n_12178;
+   wire n_12179;
+   wire n_12180;
+   wire n_12181;
+   wire n_12182;
+   wire n_12183;
+   wire n_12184;
+   wire n_12186;
+   wire n_12187;
+   wire n_12188;
+   wire n_12189;
+   wire n_12190;
+   wire n_12191;
+   wire n_12192;
+   wire n_12193;
+   wire n_12194;
+   wire n_12195;
+   wire n_12196;
+   wire n_12197;
+   wire n_12198;
+   wire n_12199;
+   wire n_12200;
+   wire n_12201;
+   wire n_12202;
+   wire n_12203;
+   wire n_12204;
+   wire n_12205;
+   wire n_12206;
+   wire n_12207;
+   wire n_12208;
+   wire n_12209;
+   wire n_12210;
+   wire n_12211;
+   wire n_12212;
+   wire n_12213;
+   wire n_12214;
+   wire n_12215;
+   wire n_12216;
+   wire n_12217;
+   wire n_12218;
+   wire n_12219;
+   wire n_12221;
+   wire n_12222;
+   wire n_12223;
+   wire n_12224;
+   wire n_12225;
+   wire n_12226;
+   wire n_12227;
+   wire n_12228;
+   wire n_12229;
+   wire n_12230;
+   wire n_12231;
+   wire n_12232;
+   wire n_12233;
+   wire n_12234;
+   wire n_12235;
+   wire n_12236;
+   wire n_12237;
+   wire n_12238;
+   wire n_12239;
+   wire n_12240;
+   wire n_12241;
+   wire n_12242;
+   wire n_12243;
+   wire n_12244;
+   wire n_12245;
+   wire n_12246;
+   wire n_12247;
+   wire n_12248;
+   wire n_12249;
+   wire n_12250;
+   wire n_12251;
+   wire n_12252;
+   wire n_12253;
+   wire n_12254;
+   wire n_12255;
+   wire n_12256;
+   wire n_12257;
+   wire n_12259;
+   wire n_12260;
+   wire n_12261;
+   wire n_12262;
+   wire n_12263;
+   wire n_12264;
+   wire n_12265;
+   wire n_12266;
+   wire n_12267;
+   wire n_12268;
+   wire n_12269;
+   wire n_12270;
+   wire n_12271;
+   wire n_12272;
+   wire n_12273;
+   wire n_12274;
+   wire n_12275;
+   wire n_12276;
+   wire n_12277;
+   wire n_12278;
+   wire n_12279;
+   wire n_12280;
+   wire n_12281;
+   wire n_12282;
+   wire n_12283;
+   wire n_12284;
+   wire n_12285;
+   wire n_12286;
+   wire n_12287;
+   wire n_12288;
+   wire n_12289;
+   wire n_12290;
+   wire n_12291;
+   wire n_12292;
+   wire n_12293;
+   wire n_12294;
+   wire n_12295;
+   wire n_12296;
+   wire n_12297;
+   wire n_12298;
+   wire n_12299;
+   wire n_12300;
+   wire n_12301;
+   wire n_12302;
+   wire n_12303;
+   wire n_12304;
+   wire n_12305;
+   wire n_12306;
+   wire n_12307;
+   wire n_12308;
+   wire n_12309;
+   wire n_12310;
+   wire n_12311;
+   wire n_12312;
+   wire n_12313;
+   wire n_12314;
+   wire n_12315;
+   wire n_12316;
+   wire n_12317;
+   wire n_12318;
+   wire n_12319;
+   wire n_12320;
+   wire n_12321;
+   wire n_12322;
+   wire n_12323;
+   wire n_12324;
+   wire n_12325;
+   wire n_12327;
+   wire n_12328;
+   wire n_12329;
+   wire n_12330;
+   wire n_12331;
+   wire n_12332;
+   wire n_12333;
+   wire n_12334;
+   wire n_12335;
+   wire n_12336;
+   wire n_12337;
+   wire n_12338;
+   wire n_12340;
+   wire n_12341;
+   wire n_12342;
+   wire n_12344;
+   wire n_12345;
+   wire n_12346;
+   wire n_12347;
+   wire n_12348;
+   wire n_12349;
+   wire n_12350;
+   wire n_12351;
+   wire n_12352;
+   wire n_12353;
+   wire n_12354;
+   wire n_12355;
+   wire n_12356;
+   wire n_12357;
+   wire n_12358;
+   wire n_12359;
+   wire n_12360;
+   wire n_12361;
+   wire n_12362;
+   wire n_12363;
+   wire n_12364;
+   wire n_12365;
+   wire n_12366;
+   wire n_12367;
+   wire n_12368;
+   wire n_12369;
+   wire n_12370;
+   wire n_12371;
+   wire n_12372;
+   wire n_12374;
+   wire n_12375;
+   wire n_12376;
+   wire n_12377;
+   wire n_12378;
+   wire n_12379;
+   wire n_12380;
+   wire n_12381;
+   wire n_12382;
+   wire n_12383;
+   wire n_12384;
+   wire n_12385;
+   wire n_12386;
+   wire n_12387;
+   wire n_12388;
+   wire n_12389;
+   wire n_12390;
+   wire n_12391;
+   wire n_12392;
+   wire n_12393;
+   wire n_12394;
+   wire n_12395;
+   wire n_12396;
+   wire n_12397;
+   wire n_12398;
+   wire n_12399;
+   wire n_12400;
+   wire n_12401;
+   wire n_12402;
+   wire n_12403;
+   wire n_12404;
+   wire n_12405;
+   wire n_12406;
+   wire n_12407;
+   wire n_12408;
+   wire n_12409;
+   wire n_12410;
+   wire n_12411;
+   wire n_12412;
+   wire n_12413;
+   wire n_12414;
+   wire n_12415;
+   wire n_12416;
+   wire n_12417;
+   wire n_12418;
+   wire n_12419;
+   wire n_12420;
+   wire n_12421;
+   wire n_12422;
+   wire n_12423;
+   wire n_12424;
+   wire n_12425;
+   wire n_12426;
+   wire n_12427;
+   wire n_12428;
+   wire n_12429;
+   wire n_12430;
+   wire n_12431;
+   wire n_12432;
+   wire n_12433;
+   wire n_12434;
+   wire n_12435;
+   wire n_12436;
+   wire n_12437;
+   wire n_12438;
+   wire n_12439;
+   wire n_12440;
+   wire n_12441;
+   wire n_12442;
+   wire n_12443;
+   wire n_12444;
+   wire n_12445;
+   wire n_12446;
+   wire n_12447;
+   wire n_12448;
+   wire n_12449;
+   wire n_12450;
+   wire n_12451;
+   wire n_12452;
+   wire n_12453;
+   wire n_12454;
+   wire n_12455;
+   wire n_12456;
+   wire n_12457;
+   wire n_12458;
+   wire n_12459;
+   wire n_12460;
+   wire n_12461;
+   wire n_12462;
+   wire n_12463;
+   wire n_12464;
+   wire n_12467;
+   wire n_12469;
+   wire n_12472;
+   wire n_12473;
+   wire n_12474;
+   wire n_12477;
+   wire n_12479;
+   wire n_12480;
+   wire n_12483;
+   wire n_12484;
+   wire n_12487;
+   wire n_12491;
+   wire n_12492;
+   wire n_12493;
+   wire n_12495;
+   wire n_12497;
+   wire n_12498;
+   wire n_12500;
+   wire n_12501;
+   wire n_12502;
+   wire n_12503;
+   wire n_12504;
+   wire n_12505;
+   wire n_12506;
+   wire n_12507;
+   wire n_12508;
+   wire n_12509;
+   wire n_12510;
+   wire n_12512;
+   wire n_12513;
+   wire n_12514;
+   wire n_12515;
+   wire n_12516;
+   wire n_12517;
+   wire n_12518;
+   wire n_12519;
+   wire n_12520;
+   wire n_12521;
+   wire n_12522;
+   wire n_12523;
+   wire n_12524;
+   wire n_12525;
+   wire n_12526;
+   wire n_12527;
+   wire n_12528;
+   wire n_12529;
+   wire n_12530;
+   wire n_12531;
+   wire n_12532;
+   wire n_12533;
+   wire n_12534;
+   wire n_12535;
+   wire n_12536;
+   wire n_12537;
+   wire n_12538;
+   wire n_12539;
+   wire n_12540;
+   wire n_12541;
+   wire n_12542;
+   wire n_12543;
+   wire n_12544;
+   wire n_12545;
+   wire n_12546;
+   wire n_12547;
+   wire n_12548;
+   wire n_12549;
+   wire n_12550;
+   wire n_12551;
+   wire n_12552;
+   wire n_12553;
+   wire n_12554;
+   wire n_12555;
+   wire n_12556;
+   wire n_12557;
+   wire n_12558;
+   wire n_12559;
+   wire n_12560;
+   wire n_12561;
+   wire n_12562;
+   wire n_12563;
+   wire n_12564;
+   wire n_12565;
+   wire n_12566;
+   wire n_12567;
+   wire n_12568;
+   wire n_12569;
+   wire n_12570;
+   wire n_12571;
+   wire n_12572;
+   wire n_12573;
+   wire n_12574;
+   wire n_12575;
+   wire n_12576;
+   wire n_12577;
+   wire n_12578;
+   wire n_12579;
+   wire n_12580;
+   wire n_12581;
+   wire n_12582;
+   wire n_12583;
+   wire n_12584;
+   wire n_12585;
+   wire n_12586;
+   wire n_12587;
+   wire n_12588;
+   wire n_12589;
+   wire n_12590;
+   wire n_12591;
+   wire n_12592;
+   wire n_12593;
+   wire n_12594;
+   wire n_12595;
+   wire n_12596;
+   wire n_12597;
+   wire n_12598;
+   wire n_12599;
+   wire n_12600;
+   wire n_12602;
+   wire n_12603;
+   wire n_12604;
+   wire n_12605;
+   wire n_12606;
+   wire n_12607;
+   wire n_12608;
+   wire n_12609;
+   wire n_12610;
+   wire n_12611;
+   wire n_12612;
+   wire n_12613;
+   wire n_12614;
+   wire n_12615;
+   wire n_12616;
+   wire n_12617;
+   wire n_12618;
+   wire n_12619;
+   wire n_12620;
+   wire n_12621;
+   wire n_12623;
+   wire n_12624;
+   wire n_12626;
+   wire n_12627;
+   wire n_12628;
+   wire n_12629;
+   wire n_12630;
+   wire n_12631;
+   wire n_12632;
+   wire n_12633;
+   wire n_12635;
+   wire n_12636;
+   wire n_12638;
+   wire n_12639;
+   wire n_12640;
+   wire n_12641;
+   wire n_12642;
+   wire n_12643;
+   wire n_12644;
+   wire n_12645;
+   wire n_12646;
+   wire n_12647;
+   wire n_12648;
+   wire n_12649;
+   wire n_12650;
+   wire n_12651;
+   wire n_12652;
+   wire n_12653;
+   wire n_12654;
+   wire n_12655;
+   wire n_12656;
+   wire n_12657;
+   wire n_12658;
+   wire n_12659;
+   wire n_12660;
+   wire n_12661;
+   wire n_12662;
+   wire n_12663;
+   wire n_12664;
+   wire n_12665;
+   wire n_12666;
+   wire n_12668;
+   wire n_12669;
+   wire n_12671;
+   wire n_12672;
+   wire n_12673;
+   wire n_12674;
+   wire n_12675;
+   wire n_12676;
+   wire n_12677;
+   wire n_12678;
+   wire n_12679;
+   wire n_12680;
+   wire n_12681;
+   wire n_12683;
+   wire n_12684;
+   wire n_12685;
+   wire n_12686;
+   wire n_12687;
+   wire n_12688;
+   wire n_12689;
+   wire n_12690;
+   wire n_12691;
+   wire n_12692;
+   wire n_12693;
+   wire n_12694;
+   wire n_12695;
+   wire n_12696;
+   wire n_12697;
+   wire n_12698;
+   wire n_12699;
+   wire n_12700;
+   wire n_12701;
+   wire n_12702;
+   wire n_12703;
+   wire n_12704;
+   wire n_12705;
+   wire n_12706;
+   wire n_12707;
+   wire n_12708;
+   wire n_12709;
+   wire n_12710;
+   wire n_12711;
+   wire n_12712;
+   wire n_12713;
+   wire n_12714;
+   wire n_12715;
+   wire n_12716;
+   wire n_12717;
+   wire n_12718;
+   wire n_12719;
+   wire n_12720;
+   wire n_12721;
+   wire n_12722;
+   wire n_12723;
+   wire n_12724;
+   wire n_12725;
+   wire n_12726;
+   wire n_12727;
+   wire n_12728;
+   wire n_12729;
+   wire n_12730;
+   wire n_12731;
+   wire n_12732;
+   wire n_12733;
+   wire n_12734;
+   wire n_12735;
+   wire n_12736;
+   wire n_12737;
+   wire n_12738;
+   wire n_12739;
+   wire n_12740;
+   wire n_12741;
+   wire n_12742;
+   wire n_12743;
+   wire n_12744;
+   wire n_12745;
+   wire n_12746;
+   wire n_12747;
+   wire n_12748;
+   wire n_12749;
+   wire n_12750;
+   wire n_12751;
+   wire n_12752;
+   wire n_12753;
+   wire n_12754;
+   wire n_12755;
+   wire n_12756;
+   wire n_12757;
+   wire n_12758;
+   wire n_12759;
+   wire n_12761;
+   wire n_12762;
+   wire n_12763;
+   wire n_12764;
+   wire n_12765;
+   wire n_12766;
+   wire n_12767;
+   wire n_12768;
+   wire n_12769;
+   wire n_12770;
+   wire n_12771;
+   wire n_12772;
+   wire n_12773;
+   wire n_12774;
+   wire n_12775;
+   wire n_12776;
+   wire n_12777;
+   wire n_12778;
+   wire n_12779;
+   wire n_12780;
+   wire n_12781;
+   wire n_12782;
+   wire n_12783;
+   wire n_12784;
+   wire n_12785;
+   wire n_12786;
+   wire n_12788;
+   wire n_12790;
+   wire n_12792;
+   wire n_12793;
+   wire n_12794;
+   wire n_12795;
+   wire n_12796;
+   wire n_12797;
+   wire n_12798;
+   wire n_12799;
+   wire n_12800;
+   wire n_12801;
+   wire n_12802;
+   wire n_12803;
+   wire n_12804;
+   wire n_12805;
+   wire n_12806;
+   wire n_12807;
+   wire n_12808;
+   wire n_12809;
+   wire n_12810;
+   wire n_12811;
+   wire n_12814;
+   wire n_12815;
+   wire n_12816;
+   wire n_12817;
+   wire n_12818;
+   wire n_12819;
+   wire n_12821;
+   wire n_12822;
+   wire n_12825;
+   wire n_12826;
+   wire n_12829;
+   wire n_12830;
+   wire n_12831;
+   wire n_12832;
+   wire n_12833;
+   wire n_12834;
+   wire n_12835;
+   wire n_12836;
+   wire n_12837;
+   wire n_12838;
+   wire n_12839;
+   wire n_12841;
+   wire n_12842;
+   wire n_12843;
+   wire n_12844;
+   wire n_12845;
+   wire n_12846;
+   wire n_12847;
+   wire n_12848;
+   wire n_12849;
+   wire n_12850;
+   wire n_12851;
+   wire n_12852;
+   wire n_12853;
+   wire n_12854;
+   wire n_12855;
+   wire n_12856;
+   wire n_12857;
+   wire n_12858;
+   wire n_12859;
+   wire n_12860;
+   wire n_12861;
+   wire n_12862;
+   wire n_12863;
+   wire n_12864;
+   wire n_12865;
+   wire n_12866;
+   wire n_12867;
+   wire n_12868;
+   wire n_12869;
+   wire n_12870;
+   wire n_12871;
+   wire n_12872;
+   wire n_12873;
+   wire n_12874;
+   wire n_12875;
+   wire n_12876;
+   wire n_12877;
+   wire n_12878;
+   wire n_12879;
+   wire n_12880;
+   wire n_12881;
+   wire n_12882;
+   wire n_12883;
+   wire n_12884;
+   wire n_12885;
+   wire n_12886;
+   wire n_12887;
+   wire n_12888;
+   wire n_12889;
+   wire n_12890;
+   wire n_12891;
+   wire n_12892;
+   wire n_12893;
+   wire n_12894;
+   wire n_12895;
+   wire n_12896;
+   wire n_12897;
+   wire n_12898;
+   wire n_12899;
+   wire n_12900;
+   wire n_12901;
+   wire n_12902;
+   wire n_12903;
+   wire n_12904;
+   wire n_12905;
+   wire n_12906;
+   wire n_12907;
+   wire n_12908;
+   wire n_12909;
+   wire n_12910;
+   wire n_12911;
+   wire n_12912;
+   wire n_12913;
+   wire n_12914;
+   wire n_12915;
+   wire n_12916;
+   wire n_12917;
+   wire n_12918;
+   wire n_12919;
+   wire n_12920;
+   wire n_12921;
+   wire n_12922;
+   wire n_12923;
+   wire n_12924;
+   wire n_12925;
+   wire n_12926;
+   wire n_12927;
+   wire n_12928;
+   wire n_12929;
+   wire n_12930;
+   wire n_12931;
+   wire n_12932;
+   wire n_12933;
+   wire n_12934;
+   wire n_12935;
+   wire n_12936;
+   wire n_12937;
+   wire n_12938;
+   wire n_12939;
+   wire n_12940;
+   wire n_12941;
+   wire n_12942;
+   wire n_12943;
+   wire n_12944;
+   wire n_12945;
+   wire n_12946;
+   wire n_12947;
+   wire n_12948;
+   wire n_12949;
+   wire n_12950;
+   wire n_12951;
+   wire n_12952;
+   wire n_12953;
+   wire n_12954;
+   wire n_12955;
+   wire n_12956;
+   wire n_12957;
+   wire n_12958;
+   wire n_12959;
+   wire n_12960;
+   wire n_12961;
+   wire n_12962;
+   wire n_12963;
+   wire n_12964;
+   wire n_12965;
+   wire n_12966;
+   wire n_12967;
+   wire n_12968;
+   wire n_12969;
+   wire n_12970;
+   wire n_12971;
+   wire n_12972;
+   wire n_12973;
+   wire n_12974;
+   wire n_12975;
+   wire n_12976;
+   wire n_12977;
+   wire n_12978;
+   wire n_12979;
+   wire n_12980;
+   wire n_12981;
+   wire n_12982;
+   wire n_12983;
+   wire n_12984;
+   wire n_12985;
+   wire n_12986;
+   wire n_12987;
+   wire n_12988;
+   wire n_12989;
+   wire n_12990;
+   wire n_12991;
+   wire n_12992;
+   wire n_12993;
+   wire n_12994;
+   wire n_12995;
+   wire n_12996;
+   wire n_12997;
+   wire n_12998;
+   wire n_12999;
+   wire n_13000;
+   wire n_13001;
+   wire n_13002;
+   wire n_13004;
+   wire n_13005;
+   wire n_13006;
+   wire n_13007;
+   wire n_13008;
+   wire n_13009;
+   wire n_13010;
+   wire n_13011;
+   wire n_13012;
+   wire n_13013;
+   wire n_13014;
+   wire n_13015;
+   wire n_13016;
+   wire n_13017;
+   wire n_13018;
+   wire n_13019;
+   wire n_13020;
+   wire n_13021;
+   wire n_13022;
+   wire n_13023;
+   wire n_13024;
+   wire n_13025;
+   wire n_13026;
+   wire n_13027;
+   wire n_13028;
+   wire n_13029;
+   wire n_13030;
+   wire n_13031;
+   wire n_13032;
+   wire n_13033;
+   wire n_13034;
+   wire n_13035;
+   wire n_13036;
+   wire n_13037;
+   wire n_13038;
+   wire n_13039;
+   wire n_13040;
+   wire n_13041;
+   wire n_13042;
+   wire n_13043;
+   wire n_13044;
+   wire n_13045;
+   wire n_13046;
+   wire n_13047;
+   wire n_13048;
+   wire n_13049;
+   wire n_13051;
+   wire n_13052;
+   wire n_13053;
+   wire n_13054;
+   wire n_13055;
+   wire n_13056;
+   wire n_13057;
+   wire n_13058;
+   wire n_13059;
+   wire n_13060;
+   wire n_13061;
+   wire n_13062;
+   wire n_13063;
+   wire n_13064;
+   wire n_13065;
+   wire n_13067;
+   wire n_13068;
+   wire n_13069;
+   wire n_13070;
+   wire n_13073;
+   wire n_13074;
+   wire n_13075;
+   wire n_13076;
+   wire n_13077;
+   wire n_13078;
+   wire n_13079;
+   wire n_13080;
+   wire n_13081;
+   wire n_13082;
+   wire n_13083;
+   wire n_13084;
+   wire n_13085;
+   wire n_13086;
+   wire n_13087;
+   wire n_13088;
+   wire n_13089;
+   wire n_13090;
+   wire n_13091;
+   wire n_13092;
+   wire n_13093;
+   wire n_13094;
+   wire n_13096;
+   wire n_13097;
+   wire n_13098;
+   wire n_13099;
+   wire n_13100;
+   wire n_13101;
+   wire n_13102;
+   wire n_13103;
+   wire n_13105;
+   wire n_13106;
+   wire n_13107;
+   wire n_13108;
+   wire n_13111;
+   wire n_13112;
+   wire n_13113;
+   wire n_13114;
+   wire n_13115;
+   wire n_13116;
+   wire n_13117;
+   wire n_13118;
+   wire n_13119;
+   wire n_13120;
+   wire n_13121;
+   wire n_13122;
+   wire n_13123;
+   wire n_13124;
+   wire n_13125;
+   wire n_13126;
+   wire n_13127;
+   wire n_13128;
+   wire n_13134;
+   wire n_13142;
+   wire n_13143;
+   wire n_13144;
+   wire n_13145;
+   wire n_13146;
+   wire n_13147;
+   wire n_13148;
+   wire n_13149;
+   wire n_13150;
+   wire n_13151;
+   wire n_13152;
+   wire n_13153;
+   wire n_13154;
+   wire n_13155;
+   wire n_13157;
+   wire n_13158;
+   wire n_13159;
+   wire n_13160;
+   wire n_13161;
+   wire n_13162;
+   wire n_13163;
+   wire n_13164;
+   wire n_13165;
+   wire n_13166;
+   wire n_13167;
+   wire n_13168;
+   wire n_13169;
+   wire n_13170;
+   wire n_13171;
+   wire n_13172;
+   wire n_13173;
+   wire n_13174;
+   wire n_13175;
+   wire n_13176;
+   wire n_13177;
+   wire n_13178;
+   wire n_13179;
+   wire n_13180;
+   wire n_13181;
+   wire n_13182;
+   wire n_13183;
+   wire n_13184;
+   wire n_13185;
+   wire n_13186;
+   wire n_13187;
+   wire n_13188;
+   wire n_13189;
+   wire n_13190;
+   wire n_13191;
+   wire n_13192;
+   wire n_13193;
+   wire n_13194;
+   wire n_13195;
+   wire n_13196;
+   wire n_13197;
+   wire n_13198;
+   wire n_13199;
+   wire n_13200;
+   wire n_13201;
+   wire n_13202;
+   wire n_13204;
+   wire n_13205;
+   wire n_13206;
+   wire n_13207;
+   wire n_13208;
+   wire n_13209;
+   wire n_13210;
+   wire n_13211;
+   wire n_13212;
+   wire n_13213;
+   wire n_13214;
+   wire n_13215;
+   wire n_13216;
+   wire n_13218;
+   wire n_13219;
+   wire n_13220;
+   wire n_13221;
+   wire n_13222;
+   wire n_13223;
+   wire n_13224;
+   wire n_13225;
+   wire n_13226;
+   wire n_13227;
+   wire n_13228;
+   wire n_13229;
+   wire n_13230;
+   wire n_13231;
+   wire n_13232;
+   wire n_13233;
+   wire n_13234;
+   wire n_13235;
+   wire n_13236;
+   wire n_13237;
+   wire n_13238;
+   wire n_13239;
+   wire n_13240;
+   wire n_13241;
+   wire n_13242;
+   wire n_13243;
+   wire n_13244;
+   wire n_13245;
+   wire n_13246;
+   wire n_13247;
+   wire n_13248;
+   wire n_13249;
+   wire n_13250;
+   wire n_13251;
+   wire n_13252;
+   wire n_13253;
+   wire n_13254;
+   wire n_13255;
+   wire n_13256;
+   wire n_13257;
+   wire n_13258;
+   wire n_13259;
+   wire n_13260;
+   wire n_13261;
+   wire n_13262;
+   wire n_13263;
+   wire n_13264;
+   wire n_13265;
+   wire n_13266;
+   wire n_13267;
+   wire n_13268;
+   wire n_13269;
+   wire n_13270;
+   wire n_13272;
+   wire n_13273;
+   wire n_13274;
+   wire n_13275;
+   wire n_13277;
+   wire n_13282;
+   wire n_13283;
+   wire n_13284;
+   wire n_13285;
+   wire n_13286;
+   wire n_13287;
+   wire n_13288;
+   wire n_13303;
+   wire n_13308;
+   wire n_13309;
+   wire n_13310;
+   wire n_13311;
+   wire n_13312;
+   wire n_13313;
+   wire n_13314;
+   wire n_13315;
+   wire n_13316;
+   wire n_13317;
+   wire n_13318;
+   wire n_13319;
+   wire n_13320;
+   wire n_13321;
+   wire n_13322;
+   wire n_13323;
+   wire n_13324;
+   wire n_13325;
+   wire n_13326;
+   wire n_13327;
+   wire n_13328;
+   wire n_13329;
+   wire n_13331;
+   wire n_13332;
+   wire n_13334;
+   wire n_13335;
+   wire n_13336;
+   wire n_13337;
+   wire n_13338;
+   wire n_13339;
+   wire n_13340;
+   wire n_13341;
+   wire n_13342;
+   wire n_13343;
+   wire n_13344;
+   wire n_13345;
+   wire n_13346;
+   wire n_13347;
+   wire n_13348;
+   wire n_13349;
+   wire n_13351;
+   wire n_13352;
+   wire n_13353;
+   wire n_13354;
+   wire n_13355;
+   wire n_13356;
+   wire n_13357;
+   wire n_13358;
+   wire n_13359;
+   wire n_13360;
+   wire n_13361;
+   wire n_13362;
+   wire n_13363;
+   wire n_13364;
+   wire n_13365;
+   wire n_13366;
+   wire n_13367;
+   wire n_13369;
+   wire n_13370;
+   wire n_13371;
+   wire n_13373;
+   wire n_13374;
+   wire n_13375;
+   wire n_13376;
+   wire n_13377;
+   wire n_13378;
+   wire n_13379;
+   wire n_13380;
+   wire n_13381;
+   wire n_13382;
+   wire n_13383;
+   wire n_13384;
+   wire n_13385;
+   wire n_13386;
+   wire n_13387;
+   wire n_13388;
+   wire n_13389;
+   wire n_13390;
+   wire n_13391;
+   wire n_13392;
+   wire n_13393;
+   wire n_13394;
+   wire n_13395;
+   wire n_13396;
+   wire n_13397;
+   wire n_13398;
+   wire n_13399;
+   wire n_13400;
+   wire n_13401;
+   wire n_13402;
+   wire n_13403;
+   wire n_13404;
+   wire n_13405;
+   wire n_13406;
+   wire n_13407;
+   wire n_13408;
+   wire n_13409;
+   wire n_13410;
+   wire n_13411;
+   wire n_13412;
+   wire n_13413;
+   wire n_13414;
+   wire n_13415;
+   wire n_13416;
+   wire n_13417;
+   wire n_13418;
+   wire n_13419;
+   wire n_13420;
+   wire n_13421;
+   wire n_13422;
+   wire n_13423;
+   wire n_13424;
+   wire n_13425;
+   wire n_13426;
+   wire n_13427;
+   wire n_13428;
+   wire n_13429;
+   wire n_13430;
+   wire n_13431;
+   wire n_13432;
+   wire n_13433;
+   wire n_13434;
+   wire n_13435;
+   wire n_13436;
+   wire n_13437;
+   wire n_13438;
+   wire n_13439;
+   wire n_13440;
+   wire n_13441;
+   wire n_13442;
+   wire n_13443;
+   wire n_13444;
+   wire n_13445;
+   wire n_13446;
+   wire n_13447;
+   wire n_13448;
+   wire n_13449;
+   wire n_13451;
+   wire n_13452;
+   wire n_13453;
+   wire n_13454;
+   wire n_13455;
+   wire n_13456;
+   wire n_13457;
+   wire n_13458;
+   wire n_13459;
+   wire n_13460;
+   wire n_13461;
+   wire n_13462;
+   wire n_13464;
+   wire n_13465;
+   wire n_13466;
+   wire n_13467;
+   wire n_13468;
+   wire n_13469;
+   wire n_13470;
+   wire n_13471;
+   wire n_13472;
+   wire n_13473;
+   wire n_13474;
+   wire n_13475;
+   wire n_13476;
+   wire n_13477;
+   wire n_13478;
+   wire n_13479;
+   wire n_13480;
+   wire n_13481;
+   wire n_13482;
+   wire n_13483;
+   wire n_13484;
+   wire n_13485;
+   wire n_13486;
+   wire n_13487;
+   wire n_13488;
+   wire n_13489;
+   wire n_13490;
+   wire n_13491;
+   wire n_13492;
+   wire n_13493;
+   wire n_13494;
+   wire n_13495;
+   wire n_13496;
+   wire n_13497;
+   wire n_13498;
+   wire n_13499;
+   wire n_13500;
+   wire n_13501;
+   wire n_13502;
+   wire n_13503;
+   wire n_13504;
+   wire n_13505;
+   wire n_13506;
+   wire n_13507;
+   wire n_13508;
+   wire n_13509;
+   wire n_13510;
+   wire n_13511;
+   wire n_13512;
+   wire n_13513;
+   wire n_13514;
+   wire n_13515;
+   wire n_13516;
+   wire n_13517;
+   wire n_13518;
+   wire n_13519;
+   wire n_13520;
+   wire n_13521;
+   wire n_13522;
+   wire n_13523;
+   wire n_13524;
+   wire n_13525;
+   wire n_13526;
+   wire n_13527;
+   wire n_13528;
+   wire n_13529;
+   wire n_13530;
+   wire n_13531;
+   wire n_13532;
+   wire n_13533;
+   wire n_13534;
+   wire n_13535;
+   wire n_13536;
+   wire n_13538;
+   wire n_13539;
+   wire n_13540;
+   wire n_13541;
+   wire n_13542;
+   wire n_13543;
+   wire n_13544;
+   wire n_13545;
+   wire n_13546;
+   wire n_13547;
+   wire n_13548;
+   wire n_13549;
+   wire n_13550;
+   wire n_13551;
+   wire n_13552;
+   wire n_13553;
+   wire n_13554;
+   wire n_13555;
+   wire n_13556;
+   wire n_13557;
+   wire n_13558;
+   wire n_13559;
+   wire n_13560;
+   wire n_13561;
+   wire n_13562;
+   wire n_13563;
+   wire n_13564;
+   wire n_13565;
+   wire n_13566;
+   wire n_13567;
+   wire n_13568;
+   wire n_13569;
+   wire n_13570;
+   wire n_13571;
+   wire n_13572;
+   wire n_13573;
+   wire n_13574;
+   wire n_13575;
+   wire n_13576;
+   wire n_13577;
+   wire n_13578;
+   wire n_13579;
+   wire n_13580;
+   wire n_13581;
+   wire n_13582;
+   wire n_13583;
+   wire n_13584;
+   wire n_13585;
+   wire n_13586;
+   wire n_13587;
+   wire n_13588;
+   wire n_13589;
+   wire n_13590;
+   wire n_13591;
+   wire n_13592;
+   wire n_13593;
+   wire n_13594;
+   wire n_13595;
+   wire n_13596;
+   wire n_13597;
+   wire n_13598;
+   wire n_13599;
+   wire n_13600;
+   wire n_13601;
+   wire n_13602;
+   wire n_13603;
+   wire n_13604;
+   wire n_13605;
+   wire n_13606;
+   wire n_13607;
+   wire n_13608;
+   wire n_13609;
+   wire n_13610;
+   wire n_13611;
+   wire n_13612;
+   wire n_13613;
+   wire n_13614;
+   wire n_13615;
+   wire n_13616;
+   wire n_13617;
+   wire n_13618;
+   wire n_13619;
+   wire n_13620;
+   wire n_13621;
+   wire n_13622;
+   wire n_13623;
+   wire n_13624;
+   wire n_13625;
+   wire n_13626;
+   wire n_13627;
+   wire n_13628;
+   wire n_13629;
+   wire n_13630;
+   wire n_13631;
+   wire n_13632;
+   wire n_13633;
+   wire n_13634;
+   wire n_13635;
+   wire n_13636;
+   wire n_13637;
+   wire n_13638;
+   wire n_13639;
+   wire n_13640;
+   wire n_13641;
+   wire n_13642;
+   wire n_13643;
+   wire n_13644;
+   wire n_13645;
+   wire n_13646;
+   wire n_13647;
+   wire n_13648;
+   wire n_13649;
+   wire n_13650;
+   wire n_13651;
+   wire n_13652;
+   wire n_13653;
+   wire n_13654;
+   wire n_13655;
+   wire n_13656;
+   wire n_13657;
+   wire n_13658;
+   wire n_13659;
+   wire n_13660;
+   wire n_13661;
+   wire n_13662;
+   wire n_13663;
+   wire n_13664;
+   wire n_13665;
+   wire n_13666;
+   wire n_13667;
+   wire n_13668;
+   wire n_13669;
+   wire n_13670;
+   wire n_13671;
+   wire n_13672;
+   wire n_13673;
+   wire n_13674;
+   wire n_13675;
+   wire n_13676;
+   wire n_13677;
+   wire n_13678;
+   wire n_13679;
+   wire n_13680;
+   wire n_13681;
+   wire n_13682;
+   wire n_13683;
+   wire n_13684;
+   wire n_13685;
+   wire n_13686;
+   wire n_13687;
+   wire n_13688;
+   wire n_13689;
+   wire n_13690;
+   wire n_13691;
+   wire n_13692;
+   wire n_13693;
+   wire n_13694;
+   wire n_13695;
+   wire n_13696;
+   wire n_13697;
+   wire n_13698;
+   wire n_13699;
+   wire n_13700;
+   wire n_13701;
+   wire n_13702;
+   wire n_13703;
+   wire n_13704;
+   wire n_13705;
+   wire n_13706;
+   wire n_13707;
+   wire n_13708;
+   wire n_13709;
+   wire n_13710;
+   wire n_13711;
+   wire n_13712;
+   wire n_13713;
+   wire n_13714;
+   wire n_13715;
+   wire n_13716;
+   wire n_13717;
+   wire n_13718;
+   wire n_13719;
+   wire n_13720;
+   wire n_13721;
+   wire n_13722;
+   wire n_13723;
+   wire n_13724;
+   wire n_13725;
+   wire n_13726;
+   wire n_13727;
+   wire n_13728;
+   wire n_13729;
+   wire n_13730;
+   wire n_13731;
+   wire n_13732;
+   wire n_13733;
+   wire n_13734;
+   wire n_13735;
+   wire n_13736;
+   wire n_13737;
+   wire n_13738;
+   wire n_13739;
+   wire n_13740;
+   wire n_13741;
+   wire n_13743;
+   wire n_13744;
+   wire n_13745;
+   wire n_13746;
+   wire n_13747;
+   wire n_13748;
+   wire n_13749;
+   wire n_13750;
+   wire n_13751;
+   wire n_13752;
+   wire n_13753;
+   wire n_13754;
+   wire n_13755;
+   wire n_13756;
+   wire n_13757;
+   wire n_13758;
+   wire n_13759;
+   wire n_13760;
+   wire n_13761;
+   wire n_13762;
+   wire n_13763;
+   wire n_13764;
+   wire n_13765;
+   wire n_13766;
+   wire n_13767;
+   wire n_13768;
+   wire n_13769;
+   wire n_13770;
+   wire n_13771;
+   wire n_13772;
+   wire n_13773;
+   wire n_13775;
+   wire n_13776;
+   wire n_13777;
+   wire n_13778;
+   wire n_13779;
+   wire n_13780;
+   wire n_13781;
+   wire n_13782;
+   wire n_13783;
+   wire n_13784;
+   wire n_13785;
+   wire n_13786;
+   wire n_13787;
+   wire n_13788;
+   wire n_13789;
+   wire n_13790;
+   wire n_13791;
+   wire n_13792;
+   wire n_13793;
+   wire n_13794;
+   wire n_13795;
+   wire n_13796;
+   wire n_13797;
+   wire n_13798;
+   wire n_13799;
+   wire n_13800;
+   wire n_13801;
+   wire n_13802;
+   wire n_13803;
+   wire n_13804;
+   wire n_13805;
+   wire n_13807;
+   wire n_13808;
+   wire n_13809;
+   wire n_13810;
+   wire n_13811;
+   wire n_13812;
+   wire n_13813;
+   wire n_13814;
+   wire n_13815;
+   wire n_13816;
+   wire n_13817;
+   wire n_13818;
+   wire n_13819;
+   wire n_13820;
+   wire n_13821;
+   wire n_13822;
+   wire n_13823;
+   wire n_13824;
+   wire n_13825;
+   wire n_13826;
+   wire n_13827;
+   wire n_13828;
+   wire n_13829;
+   wire n_13830;
+   wire n_13831;
+   wire n_13834;
+   wire n_13835;
+   wire n_13836;
+   wire n_13837;
+   wire n_13838;
+   wire n_13839;
+   wire n_13840;
+   wire n_13841;
+   wire n_13842;
+   wire n_13843;
+   wire n_13844;
+   wire n_13845;
+   wire n_13846;
+   wire n_13847;
+   wire n_13848;
+   wire n_13849;
+   wire n_13850;
+   wire n_13851;
+   wire n_13852;
+   wire n_13853;
+   wire n_13854;
+   wire n_13855;
+   wire n_13856;
+   wire n_13857;
+   wire n_13858;
+   wire n_13859;
+   wire n_13860;
+   wire n_13861;
+   wire n_13862;
+   wire n_13863;
+   wire n_13864;
+   wire n_13865;
+   wire n_13866;
+   wire n_13867;
+   wire n_13868;
+   wire n_13869;
+   wire n_13870;
+   wire n_13871;
+   wire n_13872;
+   wire n_13873;
+   wire n_13874;
+   wire n_13875;
+   wire n_13877;
+   wire n_13878;
+   wire n_13879;
+   wire n_13880;
+   wire n_13882;
+   wire n_13884;
+   wire n_13885;
+   wire n_15856;
+   wire n_15857;
+   wire n_15858;
+   wire n_15859;
+   wire n_15860;
+   wire n_15861;
+   wire n_15862;
+   wire n_15863;
+   wire n_15864;
+   wire n_15865;
+   wire n_15866;
+   wire n_15867;
+   wire n_15868;
+   wire n_15869;
+   wire n_15870;
+   wire n_15871;
+   wire n_15872;
+   wire n_15873;
+   wire n_15874;
+   wire n_15875;
+   wire n_15876;
+   wire n_15877;
+   wire n_15879;
+   wire n_15880;
+   wire n_15881;
+   wire n_15882;
+   wire n_15883;
+   wire n_15884;
+   wire n_15885;
+   wire n_15886;
+   wire n_15887;
+   wire n_15889;
+   wire n_15890;
+   wire n_15891;
+   wire n_15896;
+   wire n_15897;
+   wire n_15898;
+   wire n_15899;
+   wire n_15900;
+   wire n_15901;
+   wire n_15902;
+   wire n_15903;
+   wire n_15904;
+   wire n_15905;
+   wire n_15906;
+   wire n_15907;
+   wire n_15908;
+   wire n_15909;
+   wire n_15910;
+   wire n_15911;
+   wire n_15912;
+   wire n_15913;
+   wire n_15914;
+   wire n_15915;
+   wire n_15916;
+   wire n_15917;
+   wire n_15918;
+   wire n_15919;
+   wire n_15920;
+   wire n_15921;
+   wire n_15922;
+   wire n_15923;
+   wire n_15924;
+   wire n_15925;
+   wire n_15926;
+   wire n_15927;
+   wire n_15928;
+   wire n_15929;
+   wire n_15930;
+   wire n_15931;
+   wire n_15932;
+   wire n_15933;
+   wire n_15934;
+   wire n_15935;
+   wire n_15936;
+   wire n_15937;
+   wire n_15938;
+   wire n_15939;
+   wire n_15940;
+   wire n_15941;
+   wire n_15942;
+   wire n_15943;
+   wire n_15945;
+   wire n_15946;
+   wire n_15947;
+   wire n_15948;
+   wire n_15949;
+   wire n_15950;
+   wire n_15951;
+   wire n_15952;
+   wire n_15953;
+   wire n_15954;
+   wire n_15955;
+   wire n_15956;
+   wire n_15957;
+   wire n_15958;
+   wire n_15959;
+   wire n_15960;
+   wire n_15961;
+   wire n_15962;
+   wire n_15963;
+   wire n_15964;
+   wire n_15965;
+   wire n_15966;
+   wire n_15967;
+   wire n_15968;
+   wire n_15969;
+   wire n_15970;
+   wire n_15971;
+   wire n_15972;
+   wire n_15973;
+   wire n_15974;
+   wire n_15975;
+   wire n_15976;
+   wire n_15977;
+   wire n_15978;
+   wire n_15979;
+   wire n_15980;
+   wire n_15981;
+   wire n_15982;
+   wire n_15983;
+   wire n_15984;
+   wire n_15985;
+   wire n_15986;
+   wire n_15987;
+   wire n_15988;
+   wire n_15989;
+   wire n_15990;
+   wire n_15991;
+   wire n_15992;
+   wire n_15993;
+   wire n_15994;
+   wire n_15995;
+   wire n_15996;
+   wire n_15997;
+   wire n_15998;
+   wire n_15999;
+   wire n_16000;
+   wire n_16001;
+   wire n_16002;
+   wire n_16003;
+   wire n_16004;
+   wire n_16005;
+   wire n_16006;
+   wire n_16007;
+   wire n_16008;
+   wire n_16009;
+   wire n_16010;
+   wire n_16011;
+   wire n_16012;
+   wire n_17393;
+   wire n_17394;
+   wire n_17395;
+   wire n_17396;
+   wire n_17397;
+   wire n_17398;
+   wire n_17399;
+   wire n_17933;
+   wire n_17934;
+   wire n_17935;
+   wire n_17936;
+   wire n_17937;
+   wire n_17938;
+   wire n_17939;
+   wire n_17940;
+   wire n_17941;
+   wire n_17942;
+   wire n_17943;
+   wire n_17944;
+   wire n_17945;
+   wire n_17946;
+   wire n_17947;
+   wire n_17948;
+   wire n_17949;
+   wire n_17950;
+   wire n_17951;
+   wire n_17952;
+   wire n_17953;
+   wire n_17954;
+   wire n_17955;
+   wire n_17956;
+   wire n_17957;
+   wire n_17958;
+   wire n_17959;
+   wire n_17960;
+   wire n_17961;
+   wire n_17962;
+   wire n_17963;
+   wire n_17964;
+   wire n_17965;
+   wire n_17966;
+   wire n_17967;
+   wire n_17968;
+   wire n_17969;
+   wire n_17970;
+   wire n_17971;
+   wire n_17972;
+   wire n_17973;
+   wire n_17974;
+   wire n_17975;
+   wire n_17976;
+   wire n_17977;
+   wire n_17978;
+   wire n_17979;
+   wire n_17980;
+   wire n_17981;
+   wire n_17982;
+   wire n_17983;
+   wire n_17984;
+   wire n_17985;
+   wire n_17986;
+   wire n_17987;
+   wire n_82523_BAR;
+   wire rx;
+   wire u_soc_data_csb;
+   wire u_soc_data_we;
+   wire u_soc_dccm_adapter_data_csbD;
+   wire u_soc_dccm_adapter_data_mem_error_internal;
+   wire \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ;
+   wire u_soc_dccm_adapter_data_mem_u_reqfifo_n_85;
+   wire u_soc_dccm_adapter_data_mem_u_rspfifo_n_125;
+   wire u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69;
+   wire u_soc_dccm_adapter_data_weD;
+   wire u_soc_dccm_adapter_rvalid_o;
+   wire \u_soc_dccm_to_xbar[d_valid] ;
+   wire u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68;
+   wire u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108;
+   wire u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52;
+   wire u_soc_iccm_adapter_instr_csbD;
+   wire u_soc_iccm_adapter_instr_weD;
+   wire u_soc_iccm_adapter_rvalid;
+   wire u_soc_iccm_ctrl_we;
+   wire u_soc_instr_we;
+   wire u_soc_intr_u_rx;
+   wire u_soc_intr_u_tx;
+   wire \u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ;
+   wire u_soc_n_289;
+   wire u_soc_prog_rst_ni;
+   wire u_soc_reset_manager_rst_q;
+   wire u_soc_rx_dv_i;
+   wire \u_soc_tcam_to_xbar[d_valid] ;
+   wire u_soc_u_dccm_csb1;
+   wire u_soc_u_dccm_csb2;
+   wire u_soc_u_dccm_csb3;
+   wire u_soc_u_dccm_csb4;
+   wire u_soc_u_iccm_csb1;
+   wire u_soc_u_iccm_csb2;
+   wire u_soc_u_iccm_csb3;
+   wire u_soc_u_iccm_csb4;
+   wire u_soc_u_tcam_n_26;
+   wire u_soc_u_tcam_n_27;
+   wire u_soc_u_tcam_rvalid_o;
+   wire u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85;
+   wire u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125;
+   wire u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69;
+   wire u_soc_u_top_data_we;
+   wire u_soc_u_top_u_core_clk;
+   wire u_soc_u_top_u_core_core_busy_q;
+   wire u_soc_u_top_u_core_core_clock_gate_i_en_latch;
+   wire \u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ;
+   wire \u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ;
+   wire u_soc_u_top_u_core_csr_access;
+   wire u_soc_u_top_u_core_csr_mstatus_mie;
+   wire u_soc_u_top_u_core_csr_mstatus_tw;
+   wire u_soc_u_top_u_core_csr_restore_mret_id;
+   wire u_soc_u_top_u_core_csr_save_cause;
+   wire u_soc_u_top_u_core_csr_save_id;
+   wire u_soc_u_top_u_core_csr_save_if;
+   wire u_soc_u_top_u_core_ctrl_busy;
+   wire u_soc_u_top_u_core_debug_csr_save;
+   wire u_soc_u_top_u_core_debug_ebreakm;
+   wire u_soc_u_top_u_core_debug_ebreaku;
+   wire u_soc_u_top_u_core_debug_mode;
+   wire u_soc_u_top_u_core_debug_single_step;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_1;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_2;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_4;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_6;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_8;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_10;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_12;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_14;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_16;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_18;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_20;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_22;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_24;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_26;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_28;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_30;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_32;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_34;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_36;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_38;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_40;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_42;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_44;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_46;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_48;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_50;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_52;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_54;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_56;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_58;
+   wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_61;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
+   wire \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ;
+   wire u_soc_u_top_u_core_fetch_enable_q;
+   wire u_soc_u_top_u_core_id_in_ready;
+   wire u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec;
+   wire u_soc_u_top_u_core_id_stage_i_branch_in_dec;
+   wire u_soc_u_top_u_core_id_stage_i_branch_set;
+   wire u_soc_u_top_u_core_id_stage_i_controller_run;
+   wire u_soc_u_top_u_core_id_stage_i_csr_pipe_flush;
+   wire u_soc_u_top_u_core_id_stage_i_div_en_dec;
+   wire u_soc_u_top_u_core_id_stage_i_dret_insn_dec;
+   wire u_soc_u_top_u_core_id_stage_i_ebrk_insn;
+   wire u_soc_u_top_u_core_id_stage_i_ecall_insn_dec;
+   wire u_soc_u_top_u_core_id_stage_i_flush_id;
+   wire u_soc_u_top_u_core_id_stage_i_id_fsm_q;
+   wire u_soc_u_top_u_core_id_stage_i_illegal_insn_dec;
+   wire u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel;
+   wire u_soc_u_top_u_core_id_stage_i_jump_in_dec;
+   wire u_soc_u_top_u_core_id_stage_i_jump_set;
+   wire u_soc_u_top_u_core_id_stage_i_jump_set_dec;
+   wire u_soc_u_top_u_core_id_stage_i_lsu_req_dec;
+   wire u_soc_u_top_u_core_id_stage_i_mret_insn_dec;
+   wire u_soc_u_top_u_core_id_stage_i_mult_en_dec;
+   wire u_soc_u_top_u_core_id_stage_i_n_932;
+   wire u_soc_u_top_u_core_id_stage_i_n_1058;
+   wire u_soc_u_top_u_core_id_stage_i_n_1065;
+   wire u_soc_u_top_u_core_id_stage_i_n_1227;
+   wire u_soc_u_top_u_core_id_stage_i_n_1229;
+   wire u_soc_u_top_u_core_id_stage_i_rf_ren_a;
+   wire u_soc_u_top_u_core_id_stage_i_rf_ren_b;
+   wire u_soc_u_top_u_core_id_stage_i_rf_we_dec;
+   wire u_soc_u_top_u_core_id_stage_i_stall_id;
+   wire u_soc_u_top_u_core_id_stage_i_stall_wb;
+   wire u_soc_u_top_u_core_id_stage_i_wfi_insn_dec;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ;
+   wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ;
+   wire u_soc_u_top_u_core_if_stage_i_instr_is_compressed;
+   wire u_soc_u_top_u_core_illegal_c_insn_id;
+   wire u_soc_u_top_u_core_illegal_csr_insn_id;
+   wire u_soc_u_top_u_core_instr_first_cycle_id;
+   wire u_soc_u_top_u_core_instr_is_compressed_id;
+   wire u_soc_u_top_u_core_instr_req_int;
+   wire u_soc_u_top_u_core_instr_valid_clear;
+   wire u_soc_u_top_u_core_instr_valid_id;
+   wire \u_soc_u_top_u_core_irqs[irq_external] ;
+   wire u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q;
+   wire u_soc_u_top_u_core_load_store_unit_i_data_we_q;
+   wire u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q;
+   wire u_soc_u_top_u_core_load_store_unit_i_n_937;
+   wire u_soc_u_top_u_core_load_store_unit_i_n_938;
+   wire u_soc_u_top_u_core_load_store_unit_i_n_939;
+   wire u_soc_u_top_u_core_lsu_sign_ext;
+   wire u_soc_u_top_u_core_mult_sel_ex;
+   wire u_soc_u_top_u_core_pc_set;
+   wire u_soc_u_top_u_core_ready_wb;
+   wire u_soc_u_top_u_core_trigger_match;
+   wire \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ;
+   wire \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ;
+   wire \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
+   wire u_soc_u_uart_u_uart_core_n_186;
+   wire u_soc_u_uart_u_uart_core_n_188;
+   wire u_soc_u_uart_u_uart_core_read_fifo_buffer_empty;
+   wire u_soc_u_uart_u_uart_core_rx_clr;
+   wire u_soc_u_uart_u_uart_core_rx_done;
+   wire u_soc_u_uart_u_uart_core_rx_en;
+   wire u_soc_u_uart_u_uart_core_rx_fifo_clr;
+   wire u_soc_u_uart_u_uart_core_rx_fifo_rst;
+   wire u_soc_u_uart_u_uart_core_rx_sbit;
+   wire u_soc_u_uart_u_uart_core_rx_status;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60;
+   wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57;
+   wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_217;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_576;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_577;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_578;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_579;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_580;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_581;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_582;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_583;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_584;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_585;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_586;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_587;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_588;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_589;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_590;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_591;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_592;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_593;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_594;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_595;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_596;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_597;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_598;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_599;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_600;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_601;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_602;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_603;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_604;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_605;
+   wire u_soc_u_uart_u_uart_core_rx_time_n_606;
+   wire u_soc_u_uart_u_uart_core_tx_done;
+   wire u_soc_u_uart_u_uart_core_tx_en;
+   wire u_soc_u_uart_u_uart_core_tx_fifo_clear;
+   wire u_soc_u_uart_u_uart_core_tx_fifo_init;
+   wire u_soc_u_uart_u_uart_core_tx_fifo_re;
+   wire u_soc_u_uart_u_uart_core_tx_fifo_reset;
+   wire u_soc_u_uart_u_uart_core_write_fifo_buffer_empty;
+   wire u_soc_u_uart_u_uart_core_write_fifo_n_1309;
+   wire \u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ;
+   wire \u_soc_uart_to_xbar[d_valid] ;
+   wire \u_soc_xbar_to_lsu[d_valid] ;
+   wire UNCONNECTED282;
+   wire UNCONNECTED281;
+   wire UNCONNECTED280;
+   wire UNCONNECTED279;
+   wire UNCONNECTED278;
+   wire UNCONNECTED277;
+   wire UNCONNECTED276;
+   wire UNCONNECTED275;
+   wire UNCONNECTED274;
+   wire UNCONNECTED273;
+   wire UNCONNECTED272;
+   wire UNCONNECTED271;
+   wire UNCONNECTED270;
+   wire UNCONNECTED269;
+   wire UNCONNECTED268;
+   wire UNCONNECTED267;
+   wire UNCONNECTED266;
+   wire UNCONNECTED265;
+   wire UNCONNECTED264;
+   wire UNCONNECTED263;
+   wire UNCONNECTED262;
+   wire UNCONNECTED261;
+   wire UNCONNECTED260;
+   wire UNCONNECTED259;
+   wire UNCONNECTED258;
+   wire UNCONNECTED257;
+   wire UNCONNECTED286;
+   wire UNCONNECTED285;
+   wire UNCONNECTED_HIER_Z109;
+   wire UNCONNECTED_HIER_Z108;
+   wire UNCONNECTED_HIER_Z107;
+   wire UNCONNECTED_HIER_Z106;
+   wire UNCONNECTED_HIER_Z105;
+   wire UNCONNECTED_HIER_Z104;
+   wire UNCONNECTED_HIER_Z103;
+   wire UNCONNECTED_HIER_Z102;
+   wire UNCONNECTED_HIER_Z101;
+   wire UNCONNECTED_HIER_Z100;
+   wire UNCONNECTED_HIER_Z99;
+   wire UNCONNECTED_HIER_Z98;
+   wire UNCONNECTED_HIER_Z97;
+   wire UNCONNECTED_HIER_Z96;
+   wire UNCONNECTED_HIER_Z95;
+   wire UNCONNECTED_HIER_Z94;
+   wire UNCONNECTED_HIER_Z93;
+   wire UNCONNECTED_HIER_Z124;
+   wire UNCONNECTED_HIER_Z123;
+   wire UNCONNECTED_HIER_Z122;
+   wire UNCONNECTED_HIER_Z121;
+   wire UNCONNECTED_HIER_Z120;
+   wire UNCONNECTED_HIER_Z119;
+   wire UNCONNECTED_HIER_Z118;
+   wire UNCONNECTED_HIER_Z117;
+   wire UNCONNECTED_HIER_Z116;
+   wire UNCONNECTED_HIER_Z115;
+   wire UNCONNECTED_HIER_Z114;
+   wire UNCONNECTED_HIER_Z113;
+   wire UNCONNECTED_HIER_Z112;
+   wire UNCONNECTED_HIER_Z111;
+   wire UNCONNECTED_HIER_Z110;
+   wire UNCONNECTED291;
+   wire UNCONNECTED501;
+
+   // Module instantiations
+   sky130_fd_sc_hd__diode_2 DIODE_125 (
+	.DIODE(io_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_124 (
+	.DIODE(io_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_123 (
+	.DIODE(io_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_122 (
+	.DIODE(io_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_121 (
+	.DIODE(io_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PHC4880_io_in_33 (
+	.A(io_in[33]),
+	.X(FE_PHN4880_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4879_n_7799 (
+	.A(n_7799),
+	.X(FE_COEN4879_n_7799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4878_FE_OFN587_u_soc_u_iccm_rdata1_30 (
+	.A(FE_OFN587_u_soc_u_iccm_rdata1_30),
+	.X(FE_COEN4878_FE_OFN587_u_soc_u_iccm_rdata1_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4877_n_5828 (
+	.A(n_5828),
+	.X(FE_COEN4877_n_5828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4876_n_7805 (
+	.A(n_7805),
+	.X(FE_COEN4876_n_7805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
+	.X(FE_COEN4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4874_n_496 (
+	.A(n_496),
+	.X(FE_COEN4874_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4872_n_15 (
+	.A(n_15),
+	.X(FE_COEN4872_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4871_n_10665 (
+	.A(n_10665),
+	.X(FE_COEN4871_n_10665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4870_n_10345 (
+	.A(n_10345),
+	.X(FE_COEN4870_n_10345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4869_n_10673 (
+	.A(n_10673),
+	.X(FE_COEN4869_n_10673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4867_n_10677 (
+	.A(n_10677),
+	.X(FE_COEN4867_n_10677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4866_n_10676 (
+	.A(n_10676),
+	.X(FE_COEN4866_n_10676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4864_n_10678 (
+	.A(n_10678),
+	.X(FE_COEN4864_n_10678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0 (
+	.A(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.X(FE_COEN4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4862_n_11626 (
+	.A(n_11626),
+	.X(FE_COEN4862_n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4861_n_12755 (
+	.A(n_12755),
+	.X(FE_COEN4861_n_12755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4860_u_soc_lsu_to_xbar_a_address_31 (
+	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.X(FE_PSN4860_u_soc_lsu_to_xbar_a_address_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4859_FE_PDN4759_FE_COEN4678 (
+	.A(FE_PDN4759_FE_COEN4678),
+	.X(FE_PSN4859_FE_PDN4759_FE_COEN4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_120 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_119 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_118 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_117 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_116 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_115 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_114 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_113 (
+	.DIODE(u_soc_u_dccm_rdata2[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_112 (
+	.DIODE(u_soc_u_iccm_rdata4[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4858_n_15982 (
+	.A(n_15982),
+	.X(FE_PSN4858_n_15982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4194_n_16001 (
+	.A(n_16001),
+	.Y(FE_PSBN19898_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC4193_n_16001 (
+	.A(FE_PSBN19898_n_16001),
+	.Y(FE_PSBN19897_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0 (
+	.A(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.X(FE_PSN4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4856_n_8934 (
+	.A(n_8934),
+	.X(FE_PSN4856_n_8934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4855_n_10568 (
+	.A(n_10568),
+	.X(FE_PSN4855_n_10568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4854_n_10153 (
+	.A(n_10153),
+	.X(FE_PSN4854_n_10153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4853_u_soc_u_iccm_bank_sel_0 (
+	.A(u_soc_u_iccm_bank_sel[0]),
+	.X(FE_PSN4853_u_soc_u_iccm_bank_sel_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed (
+	.A(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.X(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4851_CTS_23 (
+	.A(CTS_23),
+	.X(FE_USKN4851_CTS_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4850_CTS_20 (
+	.A(FE_USKN4850_CTS_20),
+	.X(CTS_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4849_CTS_20 (
+	.A(FE_USKN4849_CTS_20),
+	.X(FE_USKN4658_CTS_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4848_CTS_87 (
+	.A(FE_USKN4848_CTS_87),
+	.X(FE_USKN4672_CTS_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_USKC4847_CTS_18 (
+	.A(FE_USKN4847_CTS_18),
+	.X(FE_USKN4654_CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4846_CTS_18 (
+	.A(FE_USKN4846_CTS_18),
+	.X(FE_USKN4671_CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4845_CTS_75 (
+	.A(FE_USKN4845_CTS_75),
+	.X(FE_USKN4652_CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4844_CTS_75 (
+	.A(FE_USKN4844_CTS_75),
+	.X(FE_USKN4653_CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4843_FE_OFN1118_n_15887 (
+	.A(FE_OFN1118_n_15887),
+	.X(FE_PSN4843_FE_OFN1118_n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4842_FE_OFN18526_n_11553 (
+	.A(FE_OFN18526_n_11553),
+	.X(FE_PSN4842_FE_OFN18526_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31 (
+	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.X(FE_PSN4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4840_n_11631 (
+	.A(n_11631),
+	.X(FE_PSN4840_n_11631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4838_FE_PSBN19868_n_16000 (
+	.A(FE_PSBN19868_n_16000),
+	.X(FE_PSN4838_FE_PSBN19868_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4837_FE_COEN4317_n_11475 (
+	.A(FE_PSN4477_FE_COEN4317_n_11475),
+	.X(FE_PSN4837_FE_COEN4317_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4836_n_15861 (
+	.A(FE_PSN4645_n_15861),
+	.X(FE_PSN4836_n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4835_n_15856 (
+	.A(n_15856),
+	.X(FE_PSN4835_n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4834_FE_OFN18385_n_11514 (
+	.A(FE_OFN18385_n_11514),
+	.X(FE_PSN4834_FE_OFN18385_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4833_n_11123 (
+	.A(n_11123),
+	.X(FE_PSN4833_n_11123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4831_n_11517 (
+	.A(n_11517),
+	.X(FE_PSN4831_n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4828_n_13499 (
+	.A(n_13499),
+	.X(FE_PSN4828_n_13499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4825_n_11035 (
+	.A(n_11035),
+	.X(FE_PSN4825_n_11035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4824_u_soc_u_top_u_core_rf_waddr_wb_3 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.X(FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
+	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.X(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4822_n_13503 (
+	.A(n_13503),
+	.X(FE_PSN4822_n_13503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4821_FE_COEN4317_n_11475 (
+	.A(FE_PSN4477_FE_COEN4317_n_11475),
+	.X(FE_PSN4821_FE_COEN4317_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4820_n_11121 (
+	.A(n_11121),
+	.X(FE_PSN4820_n_11121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4818_FE_OFN18526_n_11553 (
+	.A(FE_OFN18526_n_11553),
+	.X(FE_PSN4818_FE_OFN18526_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4817_FE_OFN1057_n_11478 (
+	.A(FE_OFN1057_n_11478),
+	.X(FE_PSN4817_FE_OFN1057_n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4816_n_12412 (
+	.A(n_12412),
+	.X(FE_PSN4816_n_12412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4815_FE_OFN1053_n_11471 (
+	.A(FE_OFN1053_n_11471),
+	.X(FE_PSN4815_FE_OFN1053_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4814_FE_OFN18559_n_15861 (
+	.A(FE_OFN18559_n_15861),
+	.X(FE_PSN4814_FE_OFN18559_n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4813_n_11761 (
+	.A(n_11761),
+	.X(FE_PSN4813_n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4812_n_11425 (
+	.A(n_11425),
+	.X(FE_PSN4812_n_11425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4811_FE_OFN18505_n_16001 (
+	.A(FE_OFN18505_n_16001),
+	.X(FE_PSN4811_FE_OFN18505_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4810_u_soc_u_top_u_core_instr_rdata_id_2 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[2]),
+	.X(FE_PSN4810_u_soc_u_top_u_core_instr_rdata_id_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4809_n_11555 (
+	.A(FE_PSN4174_n_11555),
+	.X(FE_PSN4809_n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4808_FE_COEN4315_n_15877 (
+	.A(FE_COEN4315_n_15877),
+	.X(FE_PSN4808_FE_COEN4315_n_15877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4807_n_11751 (
+	.A(n_11751),
+	.X(FE_PSN4807_n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4806_n_15975 (
+	.A(n_15975),
+	.X(FE_PSN4806_n_15975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4805_FE_PSBN19868_n_16000 (
+	.A(FE_PSBN19868_n_16000),
+	.X(FE_PSN4805_FE_PSBN19868_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4804_n_11632 (
+	.A(n_11632),
+	.X(FE_PSN4804_n_11632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4802_u_soc_u_top_u_core_alu_operator_ex_5 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
+	.X(FE_PSN4802_u_soc_u_top_u_core_alu_operator_ex_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4801_n_11443 (
+	.A(n_11443),
+	.X(FE_PSN4801_n_11443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4800_n_13841 (
+	.A(n_13841),
+	.X(FE_PSN4800_n_13841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4796_n_11473 (
+	.A(n_11473),
+	.X(FE_PSN4796_n_11473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4795_n_11474 (
+	.A(n_11474),
+	.X(FE_PSN4795_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4794_n_13843 (
+	.A(n_13843),
+	.X(FE_PSN4794_n_13843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
+	.X(FE_PSN4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4792_n_13498 (
+	.A(n_13498),
+	.X(FE_PSN4792_n_13498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4791_n_15871 (
+	.A(n_15871),
+	.X(FE_PSN4791_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4790_n_13499 (
+	.A(n_13499),
+	.X(FE_PSN4790_n_13499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4789_FE_OFN18315_n_13425 (
+	.A(FE_OFN18315_n_13425),
+	.X(FE_PSN4789_FE_OFN18315_n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4788_n_11593 (
+	.A(n_11593),
+	.X(FE_PSN4788_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4787_n_11400 (
+	.A(n_11400),
+	.X(FE_PSN4787_n_11400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4786_n_13503 (
+	.A(n_13503),
+	.X(FE_PSN4786_n_13503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4785_n_15865 (
+	.A(n_15865),
+	.X(FE_PSN4785_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4784_n_12068 (
+	.A(n_12068),
+	.X(FE_PSN4784_n_12068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4783_n_12256 (
+	.A(n_12256),
+	.X(FE_PSN4783_n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.X(FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4781_u_soc_u_top_u_core_rf_waddr_wb_4 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.X(FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4780_n_10162 (
+	.A(n_10162),
+	.X(FE_PSN4780_n_10162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4779_n_11452 (
+	.A(n_11452),
+	.X(FE_PSN4779_n_11452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4778_n_12419 (
+	.A(n_12419),
+	.X(FE_PSN4778_n_12419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PSC4777_FE_OFN1082_n_13075 (
+	.A(FE_OFN1082_n_13075),
+	.X(FE_PSN4777_FE_OFN1082_n_13075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4776_n_12413 (
+	.A(n_12413),
+	.X(FE_PSN4776_n_12413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4775_FE_OFN18385_n_11514 (
+	.A(FE_OFN18385_n_11514),
+	.X(FE_PSN4775_FE_OFN18385_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4774_n_16000 (
+	.A(n_16000),
+	.X(FE_PSN4774_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4773_n_12970 (
+	.A(n_12970),
+	.X(FE_PSN4773_n_12970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4772_u_soc_lsu_to_xbar_a_address_30 (
+	.A(\u_soc_lsu_to_xbar[a_address] [30]),
+	.X(FE_PSN4772_u_soc_lsu_to_xbar_a_address_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4191_n_16000 (
+	.A(n_16000),
+	.Y(FE_PSBN19895_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC4190_n_16000 (
+	.A(FE_PSBN19895_n_16000),
+	.Y(FE_PSBN19894_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC4189_n_13422 (
+	.A(n_13422),
+	.Y(FE_PSBN19893_n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC4188_n_13422 (
+	.A(FE_PSBN19893_n_13422),
+	.Y(FE_PSBN19892_n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PSBC4187_FE_RN_93_0 (
+	.A(FE_RN_93_0),
+	.Y(FE_PSBN19891_FE_RN_93_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4771_n_11476 (
+	.A(n_11476),
+	.X(FE_PSN4771_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4770_n_11464 (
+	.A(n_11464),
+	.X(FE_PSN4770_n_11464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4769_n_13507 (
+	.A(n_13507),
+	.X(FE_PSN4769_n_13507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4768_FE_PSBN19863_FE_RN_55_0 (
+	.A(FE_PSBN19863_FE_RN_55_0),
+	.X(FE_PSN4768_FE_PSBN19863_FE_RN_55_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.X(FE_PSN4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4766_n_13852 (
+	.A(n_13852),
+	.X(FE_PSN4766_n_13852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PSC4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
+	.X(FE_PSN4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.X(FE_PSN4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_PDN4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_PDN4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4760_u_soc_u_iccm_rdata4_20 (
+	.A(u_soc_u_iccm_rdata4[20]),
+	.X(FE_PDN4760_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4185_u_soc_u_dccm_rdata4_3 (
+	.A(u_soc_u_dccm_rdata4[3]),
+	.X(FE_PDN19889_u_soc_u_dccm_rdata4_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4759_FE_COEN4678 (
+	.A(FE_PDN19884_FE_COEN4678),
+	.X(FE_PDN4759_FE_COEN4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4758_u_soc_u_dccm_rdata4_2 (
+	.A(FE_PDN4739_u_soc_u_dccm_rdata4_2),
+	.X(FE_PDN4758_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4184_n (
+	.A(FE_PDN19886_n),
+	.X(FE_PDN19888_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4757_u_soc_u_iccm_rdata4_20 (
+	.A(FE_PDN4760_u_soc_u_iccm_rdata4_20),
+	.X(FE_PDN4757_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4756_u_soc_u_iccm_rdata4_5 (
+	.A(u_soc_u_iccm_rdata4[5]),
+	.X(FE_PDN4756_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4755_n (
+	.A(FE_PDN19882_n),
+	.X(FE_PDN4755_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_PDN4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_PDN4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
+	.A(FE_PDN4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
+	.X(FE_PDN4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4752_u_soc_u_dccm_rdata4_2 (
+	.A(u_soc_u_dccm_rdata4[2]),
+	.X(FE_PDN4752_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4751_u_soc_u_iccm_rdata4_6 (
+	.A(u_soc_u_iccm_rdata4[6]),
+	.X(FE_PDN4751_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4750_u_soc_u_dccm_rdata2_30 (
+	.A(u_soc_u_dccm_rdata2[30]),
+	.X(FE_PDN4750_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PDN4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_PDN4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_PDN4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4183_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
+	.A(FE_PDN4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
+	.X(FE_PDN19887_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25),
+	.X(FE_PDN4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4182_n (
+	.A(FE_PDN19860_n),
+	.X(FE_PDN19886_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4746_FE_OFN19001_FE_OFN17991_n (
+	.A(FE_OFN19001_FE_OFN17991_n),
+	.X(FE_PDN4746_FE_OFN19001_FE_OFN17991_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7 (
+	.A(FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7),
+	.X(FE_PDN4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n (
+	.A(FE_OFN19595_FE_OFN19195_FE_OFN19073_n),
+	.X(FE_PDN4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
+	.A(FE_PDN4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
+	.X(FE_PDN4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
+	.A(FE_PDN4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
+	.X(FE_PDN4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n (
+	.A(FE_OFN19617_FE_OFN19286_FE_OFN19061_n),
+	.X(FE_PDN4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4739_u_soc_u_dccm_rdata4_2 (
+	.A(FE_PDN4752_u_soc_u_dccm_rdata4_2),
+	.X(FE_PDN4739_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4738_io_oeb_24 (
+	.A(FE_PDN4737_io_oeb_24),
+	.X(io_oeb[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4736_u_soc_u_dccm_rdata2_26 (
+	.A(u_soc_u_dccm_rdata2[26]),
+	.X(FE_PDN4736_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4735_FE_OFN3865_n (
+	.A(FE_PDN4593_FE_OFN3865_n),
+	.X(FE_PDN4735_FE_OFN3865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4734_FE_OFN19605_FE_OFN19509_n (
+	.A(FE_PDN4579_FE_OFN19605_FE_OFN19509_n),
+	.X(FE_PDN4734_FE_OFN19605_FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4733_n (
+	.A(FE_PDN4589_n),
+	.X(FE_PDN4733_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4732_u_soc_u_dccm_rdata4_26 (
+	.A(u_soc_u_dccm_rdata4[26]),
+	.X(FE_PDN4732_u_soc_u_dccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4731_FE_OFN18003_n (
+	.A(FE_OFN18003_n),
+	.X(FE_PDN4731_FE_OFN18003_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4730_n (
+	.A(FE_PDN4598_n),
+	.X(FE_PDN4730_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
+	.A(FE_PDN4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
+	.X(FE_PDN4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4728_u_soc_u_dccm_rdata2_30 (
+	.A(FE_PDN4750_u_soc_u_dccm_rdata2_30),
+	.X(FE_PDN4728_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_PDN4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_PDN4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4726_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4751_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN4726_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4725_u_soc_u_iccm_rdata4_20 (
+	.A(FE_PDN4757_u_soc_u_iccm_rdata4_20),
+	.X(FE_PDN4725_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4724_n (
+	.A(FE_PDN4587_n),
+	.X(FE_PDN4724_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
+	.A(FE_PDN4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
+	.X(FE_PDN4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
+	.A(FE_PDN4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
+	.X(FE_PDN4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
+	.A(FE_PDN4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
+	.X(FE_PDN4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4720_n (
+	.A(FE_PDN4600_n),
+	.X(FE_PDN4720_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4719_n (
+	.A(FE_PDN4580_n),
+	.X(FE_PDN4719_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4718_n (
+	.A(FE_PDN19853_n),
+	.X(FE_PDN4718_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_PDN4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PDN4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_PDN4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25),
+	.X(FE_PDN4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4715_n (
+	.A(FE_PDN4582_n),
+	.X(FE_PDN4715_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
+	.A(FE_PDN4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
+	.X(FE_PDN4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_PDC4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_COEN4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
+	.X(FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4181_FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
+	.A(FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
+	.X(FE_PDN19885_FE_COEN4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4180_FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
+	.A(FE_PDN19885_FE_COEN4678),
+	.X(FE_PDN19884_FE_COEN4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4179_n (
+	.A(FE_PDN4618_n),
+	.X(FE_PDN19883_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4178_n (
+	.A(FE_PDN19883_n),
+	.X(FE_PDN19882_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4177_n (
+	.A(FE_PDN19851_n),
+	.X(FE_PDN19881_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_16 FE_PDC4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_PDN4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PDN4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_111 (
+	.DIODE(FE_PHN4880_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_110 (
+	.DIODE(FE_PHN4880_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_109 (
+	.DIODE(FE_PHN4880_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_108 (
+	.DIODE(FE_PHN4880_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_107 (
+	.DIODE(FE_PHN4880_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PHC4711_io_in_33 (
+	.A(FE_PHN4880_io_in_33),
+	.X(FE_PHN4711_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4710_n_11995 (
+	.A(n_11995),
+	.X(FE_COEN4710_n_11995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4709_n_12063 (
+	.A(n_12063),
+	.X(FE_COEN4709_n_12063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4708_u_soc_xbar_to_lsu_d_valid (
+	.A(\u_soc_xbar_to_lsu[d_valid] ),
+	.X(FE_COEN4708_u_soc_xbar_to_lsu_d_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4707_n_8336 (
+	.A(n_8336),
+	.X(FE_COEN4707_n_8336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4706_n_12665 (
+	.A(n_12665),
+	.X(FE_COEN4706_n_12665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121),
+	.X(FE_COEN4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
+	.X(FE_COEN4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4702_n_5826 (
+	.A(n_5826),
+	.X(FE_COEN4702_n_5826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4701_n_5852 (
+	.A(n_5852),
+	.X(FE_COEN4701_n_5852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4700_FE_OFN18585_n_15872 (
+	.A(FE_OFN18585_n_15872),
+	.X(FE_COEN4700_FE_OFN18585_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4699_u_soc_u_tcam_data_wdata_29 (
+	.A(u_soc_u_tcam_data_wdata[29]),
+	.X(FE_COEN4699_u_soc_u_tcam_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4698_u_soc_u_tcam_data_addr_6 (
+	.A(u_soc_u_tcam_data_addr[6]),
+	.X(FE_COEN4698_u_soc_u_tcam_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4697_FE_OFN18361_n (
+	.A(FE_OFN18361_n),
+	.X(FE_COEN4697_FE_OFN18361_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4696_u_soc_u_tcam_data_addr_9 (
+	.A(u_soc_u_tcam_data_addr[9]),
+	.X(FE_COEN4696_u_soc_u_tcam_data_addr_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4695_u_soc_u_tcam_data_wdata_18 (
+	.A(u_soc_u_tcam_data_wdata[18]),
+	.X(FE_COEN4695_u_soc_u_tcam_data_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4694_u_soc_u_tcam_data_wdata_17 (
+	.A(u_soc_u_tcam_data_wdata[17]),
+	.X(FE_COEN4694_u_soc_u_tcam_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4693_n_12781 (
+	.A(n_12781),
+	.X(FE_COEN4693_n_12781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4692_n_11086 (
+	.A(n_11086),
+	.X(FE_COEN4692_n_11086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4691_u_soc_u_tcam_data_wdata_20 (
+	.A(u_soc_u_tcam_data_wdata[20]),
+	.X(FE_COEN4691_u_soc_u_tcam_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4690_u_soc_u_top_u_core_instr_rdata_id_15 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.X(FE_COEN4690_u_soc_u_top_u_core_instr_rdata_id_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4689_u_soc_u_tcam_data_wdata_19 (
+	.A(u_soc_u_tcam_data_wdata[19]),
+	.X(FE_COEN4689_u_soc_u_tcam_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4688_FE_OFN18466_n_11471 (
+	.A(FE_OFN18466_n_11471),
+	.X(FE_COEN4688_FE_OFN18466_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4687_n_15859 (
+	.A(n_15859),
+	.X(FE_COEN4687_n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4686_n_11121 (
+	.A(n_11121),
+	.X(FE_COEN4686_n_11121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4684_n_10562 (
+	.A(n_10562),
+	.X(FE_COEN4684_n_10562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4683_n_13510 (
+	.A(n_13510),
+	.X(FE_COEN4683_n_13510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4681_n_16005 (
+	.A(n_16005),
+	.X(FE_COEN4681_n_16005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4680_n_11447 (
+	.A(n_11447),
+	.X(FE_COEN4680_n_11447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_COEC4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
+	.A(FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
+	.X(FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4676_u_soc_data_wdata_8 (
+	.A(u_soc_data_wdata[8]),
+	.X(FE_PSN4676_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSBC4176_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PSBN19880_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSBC4175_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PSBN19879_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_106 (
+	.DIODE(FE_PDN4728_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_105 (
+	.DIODE(FE_PDN4732_u_soc_u_dccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_104 (
+	.DIODE(u_soc_u_iccm_rdata3[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_103 (
+	.DIODE(FE_PDN4725_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4675_CTS_34 (
+	.A(FE_USKN4675_CTS_34),
+	.X(CTS_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4673_CTS_56 (
+	.A(FE_USKN4673_CTS_56),
+	.X(FE_USKN4659_CTS_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4672_CTS_87 (
+	.A(FE_USKN4672_CTS_87),
+	.X(FE_USKN4655_CTS_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4671_CTS_18 (
+	.A(FE_USKN4671_CTS_18),
+	.X(FE_USKN4489_CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4670_FE_OFN18542_n_11550 (
+	.A(FE_OFN18542_n_11550),
+	.X(FE_PSN4670_FE_OFN18542_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4669_FE_OFN18542_n_11550 (
+	.A(FE_OFN18542_n_11550),
+	.X(FE_PSN4669_FE_OFN18542_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4668_FE_OFN18492_n_13420 (
+	.A(FE_OFN18492_n_13420),
+	.X(FE_PSN4668_FE_OFN18492_n_13420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4667_n_11479 (
+	.A(n_11479),
+	.X(FE_PSN4667_n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4666_u_soc_u_top_u_core_alu_operator_ex_5 (
+	.A(FE_PSN4802_u_soc_u_top_u_core_alu_operator_ex_5),
+	.X(FE_PSN4666_u_soc_u_top_u_core_alu_operator_ex_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4665_FE_OFN1590_n_15897 (
+	.A(FE_PSN4662_FE_OFN1590_n_15897),
+	.X(FE_PSN4665_FE_OFN1590_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4664_n_10153 (
+	.A(n_10153),
+	.X(FE_PSN4664_n_10153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4663_FE_OFN18361_n (
+	.A(FE_OFN18361_n),
+	.X(FE_PSN4663_FE_OFN18361_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4662_FE_OFN1590_n_15897 (
+	.A(FE_OFN1590_n_15897),
+	.X(FE_PSN4662_FE_OFN1590_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4661_n_10330 (
+	.A(n_10330),
+	.X(FE_PSN4661_n_10330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4660_CTS_52 (
+	.A(FE_USKN4660_CTS_52),
+	.X(CTS_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4659_CTS_56 (
+	.A(FE_USKN4659_CTS_56),
+	.X(CTS_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4658_CTS_20 (
+	.A(FE_USKN4658_CTS_20),
+	.X(FE_USKN4850_CTS_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4657_CTS_23 (
+	.A(FE_USKN4657_CTS_23),
+	.X(CTS_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4656_CTS_23 (
+	.A(FE_USKN4656_CTS_23),
+	.X(FE_USKN4490_CTS_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4655_CTS_87 (
+	.A(FE_USKN4655_CTS_87),
+	.X(CTS_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_USKC4654_CTS_18 (
+	.A(FE_USKN4654_CTS_18),
+	.X(FE_USKN4846_CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4653_CTS_75 (
+	.A(FE_USKN4653_CTS_75),
+	.X(CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4652_CTS_75 (
+	.A(FE_USKN4652_CTS_75),
+	.X(FE_USKN4488_CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4651_FE_COEN4317_n_11475 (
+	.A(FE_PSN4477_FE_COEN4317_n_11475),
+	.X(FE_PSN4651_FE_COEN4317_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4650_FE_OFN1053_n_11471 (
+	.A(FE_OFN1053_n_11471),
+	.X(FE_PSN4650_FE_OFN1053_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4649_FE_OFN18425_n_15868 (
+	.A(FE_OFN18425_n_15868),
+	.X(FE_PSN4649_FE_OFN18425_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4648_FE_OFN18526_n_11553 (
+	.A(FE_OFN18526_n_11553),
+	.X(FE_PSN4648_FE_OFN18526_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4647_n_11517 (
+	.A(FE_PSN4831_n_11517),
+	.X(FE_PSN4647_n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4646_FE_OFN1587_n_13428 (
+	.A(FE_OFN1587_n_13428),
+	.X(FE_PSN4646_FE_OFN1587_n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4645_n_15861 (
+	.A(n_15861),
+	.X(FE_PSN4645_n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4644_FE_OFN1079_n_12256 (
+	.A(FE_OFN1079_n_12256),
+	.X(FE_PSN4644_FE_OFN1079_n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4643_n_15882 (
+	.A(n_15882),
+	.X(FE_PSN4643_n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4641_FE_OFN18542_n_11550 (
+	.A(FE_OFN18542_n_11550),
+	.X(FE_PSN4641_FE_OFN18542_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4640_n_15946 (
+	.A(FE_PSN4346_n_15946),
+	.X(FE_PSN4640_n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
+	.X(FE_PSN4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC4174_FE_PSN4174_n_11555 (
+	.A(FE_PSN4174_n_11555),
+	.Y(FE_PSBN19878_FE_PSN4174_n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC4173_FE_PSN4174_n_11555 (
+	.A(FE_PSBN19878_FE_PSN4174_n_11555),
+	.Y(FE_PSBN19877_FE_PSN4174_n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4638_n_11422 (
+	.A(n_11422),
+	.X(FE_PSN4638_n_11422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4637_n_8868 (
+	.A(n_8868),
+	.X(FE_PSN4637_n_8868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSBC4172_n_15858 (
+	.A(n_15858),
+	.X(FE_PSBN19876_n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSBC4171_n_15858 (
+	.A(n_15858),
+	.X(FE_PSBN19875_n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9 (
+	.A(FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.X(FE_PSN4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4635_n_11476 (
+	.A(n_11476),
+	.X(FE_PSN4635_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4634_n_13843 (
+	.A(FE_PSN4794_n_13843),
+	.X(FE_PSN4634_n_13843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4633_n_15856 (
+	.A(FE_PSN4835_n_15856),
+	.X(FE_PSN4633_n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4632_n_11479 (
+	.A(n_11479),
+	.X(FE_PSN4632_n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4631_n_12888 (
+	.A(n_12888),
+	.X(FE_PSN4631_n_12888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4170_n_11550 (
+	.A(n_11550),
+	.Y(FE_PSBN19874_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC4169_n_11550 (
+	.A(FE_PSBN19874_n_11550),
+	.Y(FE_PSBN19873_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4630_n_12536 (
+	.A(n_12536),
+	.X(FE_PSN4630_n_12536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC4168_n_13388 (
+	.A(n_13388),
+	.Y(FE_PSBN19872_n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_PSBC4167_n_13388 (
+	.A(FE_PSBN19872_n_13388),
+	.Y(FE_PSBN19871_n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4629_u_soc_u_top_u_core_alu_operand_b_ex_3 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.X(FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4628_n_13812 (
+	.A(n_13812),
+	.X(FE_PSN4628_n_13812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4627_FE_OFN1053_n_11471 (
+	.A(FE_OFN1053_n_11471),
+	.X(FE_PSN4627_FE_OFN1053_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSBC4164_n_16000 (
+	.A(FE_PSN4774_n_16000),
+	.X(FE_PSBN19868_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC4163_n_13424 (
+	.A(n_13424),
+	.Y(FE_PSBN19867_n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC4162_n_13424 (
+	.A(FE_PSBN19867_n_13424),
+	.Y(FE_PSBN19866_n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_PSBC4161_FE_RN_55_0 (
+	.A(FE_RN_55_0),
+	.Y(FE_PSBN19865_FE_RN_55_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4160_FE_RN_55_0 (
+	.A(FE_PSBN19865_FE_RN_55_0),
+	.Y(FE_PSBN19864_FE_RN_55_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_PSBC4159_FE_RN_55_0 (
+	.A(FE_PSBN19864_FE_RN_55_0),
+	.Y(FE_PSBN19863_FE_RN_55_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSBC4158_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
+	.X(FE_PSBN19862_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4626_n_142 (
+	.A(n_142),
+	.X(FE_PSN4626_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4624_n_11401 (
+	.A(n_11401),
+	.X(FE_PSN4624_n_11401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
+	.X(FE_PSN4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
+	.X(FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4621_u_soc_u_dccm_rdata3_17 (
+	.A(u_soc_u_dccm_rdata3[17]),
+	.X(FE_PDN4621_u_soc_u_dccm_rdata3_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4620_io_out_6 (
+	.A(FE_PDN4619_io_out_6),
+	.X(io_out[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4618_n (
+	.A(FE_PDN19858_n),
+	.X(FE_PDN4618_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4156_n (
+	.A(FE_PDN19855_n),
+	.X(FE_PDN19860_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4155_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_PDN4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
+	.Y(FE_PDN19859_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC4154_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_PDN19859_n),
+	.Y(FE_PDN19858_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
+	.A(FE_PDN4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
+	.X(FE_PDN4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4616_u_soc_u_dccm_rdata4_2 (
+	.A(FE_PDN4758_u_soc_u_dccm_rdata4_2),
+	.X(FE_PDN4616_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4153_io_out_6 (
+	.A(FE_PDN19857_io_out_6),
+	.X(FE_PDN4619_io_out_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_PDN4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_PDN4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4614_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4726_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN4614_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_PDN4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PDN4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_PDN4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25),
+	.X(FE_PDN4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4152_u_soc_u_dccm_rdata4_20 (
+	.A(u_soc_u_dccm_rdata4[20]),
+	.X(FE_PDN19856_u_soc_u_dccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4151_n (
+	.A(FE_PDN19850_n),
+	.X(FE_PDN19855_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4610_u_soc_u_dccm_rdata4_21 (
+	.A(u_soc_u_dccm_rdata4[21]),
+	.X(FE_PDN4610_u_soc_u_dccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4609_u_soc_u_dccm_rdata4_10 (
+	.A(u_soc_u_dccm_rdata4[10]),
+	.X(FE_PDN4609_u_soc_u_dccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4608_u_soc_u_dccm_rdata3_16 (
+	.A(u_soc_u_dccm_rdata3[16]),
+	.X(FE_PDN4608_u_soc_u_dccm_rdata3_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
+	.A(FE_PDN4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
+	.X(FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4606_u_soc_u_iccm_rdata3_9 (
+	.A(u_soc_u_iccm_rdata3[9]),
+	.X(FE_PDN4606_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4605_u_soc_u_dccm_rdata4_19 (
+	.A(u_soc_u_dccm_rdata4[19]),
+	.X(FE_PDN4605_u_soc_u_dccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4604_u_soc_u_dccm_rdata4_2 (
+	.A(FE_PDN4616_u_soc_u_dccm_rdata4_2),
+	.X(FE_PDN4604_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4603_u_soc_u_dccm_rdata2_11 (
+	.A(u_soc_u_dccm_rdata2[11]),
+	.X(FE_PDN4603_u_soc_u_dccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4602_u_soc_u_dccm_rdata2_30 (
+	.A(FE_PDN4728_u_soc_u_dccm_rdata2_30),
+	.X(FE_PDN4602_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4601_u_soc_u_dccm_rdata2_2 (
+	.A(u_soc_u_dccm_rdata2[2]),
+	.X(FE_PDN4601_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4600_n (
+	.A(FE_PDN19838_n),
+	.X(FE_PDN4600_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
+	.A(FE_PDN4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
+	.X(FE_PDN4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4598_n (
+	.A(FE_PDN4512_n),
+	.X(FE_PDN4598_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4597_FE_OFN19610_FE_OFN19514_n (
+	.A(FE_PDN4500_FE_OFN19610_FE_OFN19514_n),
+	.X(FE_PDN4597_FE_OFN19610_FE_OFN19514_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4596_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4614_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN4596_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4150_FE_OFN19507_n (
+	.A(FE_PDN4497_FE_OFN19507_n),
+	.X(FE_PDN19854_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
+	.A(FE_PDN4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
+	.X(FE_PDN4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4593_FE_OFN3865_n (
+	.A(FE_PDN4509_FE_OFN3865_n),
+	.X(FE_PDN4593_FE_OFN3865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4149_logic_0_48_net (
+	.A(FE_PDN4216_logic_0_48_net),
+	.X(FE_PDN19853_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
+	.A(FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
+	.X(FE_PDN4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4591_u_soc_u_iccm_rdata2_8 (
+	.A(u_soc_u_iccm_rdata2[8]),
+	.X(FE_PDN4591_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_PDN4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_PDN4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4589_n (
+	.A(FE_PDN4551_n),
+	.X(FE_PDN4589_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4588_u_soc_u_iccm_rdata4_20 (
+	.A(FE_PDN4725_u_soc_u_iccm_rdata4_20),
+	.X(FE_PDN4588_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4587_n (
+	.A(FE_PDN4534_n),
+	.X(FE_PDN4587_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100 (
+	.A(FE_COEN4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
+	.X(FE_PDN4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
+	.A(FE_PDN4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
+	.X(FE_PDN4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_PDN4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_PDN4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
+	.A(FE_PDN4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
+	.X(FE_PDN4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4582_n (
+	.A(FE_PDN19843_n),
+	.X(FE_PDN4582_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_PDN4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
+	.X(FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4580_n (
+	.A(FE_PDN19840_n),
+	.X(FE_PDN4580_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4579_FE_OFN19605_FE_OFN19509_n (
+	.A(FE_PDN4520_FE_OFN19605_FE_OFN19509_n),
+	.X(FE_PDN4579_FE_OFN19605_FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4578_n (
+	.A(FE_PDN4538_n),
+	.X(FE_PDN4578_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4577_u_soc_u_dccm_rdata2_26 (
+	.A(FE_PDN4736_u_soc_u_dccm_rdata2_26),
+	.X(FE_PDN4577_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
+	.A(FE_PDN4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
+	.X(FE_PDN4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
+	.A(FE_PDN4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
+	.X(FE_PDN4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PDN4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4148_n (
+	.A(FE_PDN4492_n),
+	.X(FE_PDN19852_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_PDC4147_FE_OFN19506_n (
+	.A(FE_PDN4539_FE_OFN19506_n),
+	.X(FE_PDN19851_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4573_n_13436 (
+	.A(n_13436),
+	.X(FE_COEN4573_n_13436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4572_n_10564 (
+	.A(n_10564),
+	.X(FE_COEN4572_n_10564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4571_FE_OFN601_u_soc_u_iccm_rdata1_16 (
+	.A(FE_OFN601_u_soc_u_iccm_rdata1_16),
+	.X(FE_COEN4571_FE_OFN601_u_soc_u_iccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4570_u_soc_u_tcam_data_addr_7 (
+	.A(u_soc_u_tcam_data_addr[7]),
+	.X(FE_COEN4570_u_soc_u_tcam_data_addr_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4569_n_10669 (
+	.A(n_10669),
+	.X(FE_COEN4569_n_10669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4568_n_10670 (
+	.A(n_10670),
+	.X(FE_COEN4568_n_10670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4567_u_soc_u_tcam_data_wdata_30 (
+	.A(u_soc_u_tcam_data_wdata[30]),
+	.X(FE_COEN4567_u_soc_u_tcam_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_COEC4566_FE_OFN18445_n_15897 (
+	.A(FE_OFN18445_n_15897),
+	.X(FE_COEN4566_FE_OFN18445_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4565_n_11122 (
+	.A(n_11122),
+	.X(FE_COEN4565_n_11122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4564_n_10663 (
+	.A(n_10663),
+	.X(FE_COEN4564_n_10663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4563_n_10664 (
+	.A(n_10664),
+	.X(FE_COEN4563_n_10664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4562_u_soc_u_tcam_data_addr_23 (
+	.A(u_soc_u_tcam_data_addr[23]),
+	.X(FE_COEN4562_u_soc_u_tcam_data_addr_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4561_n_10666 (
+	.A(n_10666),
+	.X(FE_COEN4561_n_10666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4560_u_soc_u_tcam_data_addr_27 (
+	.A(u_soc_u_tcam_data_addr[27]),
+	.X(FE_COEN4560_u_soc_u_tcam_data_addr_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4559_FE_OFN1633_n_10864 (
+	.A(FE_OFN1633_n_10864),
+	.X(FE_PSN4559_FE_OFN1633_n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4558_FE_OFN1633_n_10864 (
+	.A(FE_PSN4559_FE_OFN1633_n_10864),
+	.X(FE_PSN4558_FE_OFN1633_n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4556_n_17396 (
+	.A(n_17396),
+	.X(FE_PSN4556_n_17396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4555_u_soc_data_addr_4 (
+	.A(u_soc_data_addr[4]),
+	.X(FE_PSN4555_u_soc_data_addr_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4554_u_soc_data_addr_8 (
+	.A(u_soc_data_addr[8]),
+	.X(FE_PSN4554_u_soc_data_addr_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4553_u_soc_data_addr_9 (
+	.A(u_soc_data_addr[9]),
+	.X(FE_PSN4553_u_soc_data_addr_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_102 (
+	.DIODE(FE_PDN4577_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_101 (
+	.DIODE(FE_PDN4606_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_100 (
+	.DIODE(u_soc_u_dccm_rdata3[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_99 (
+	.DIODE(FE_PDN4588_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4146_n (
+	.A(FE_PDN19849_n),
+	.X(FE_PDN19850_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4552_u_soc_u_iccm_rdata2_8 (
+	.A(FE_PDN4591_u_soc_u_iccm_rdata2_8),
+	.X(FE_PDN4552_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4551_n (
+	.A(FE_PDN4511_n),
+	.X(FE_PDN4551_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4550_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4601_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4550_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4549_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4550_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4549_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4145_n (
+	.A(FE_PDN4515_n),
+	.X(FE_PDN19849_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4548_u_soc_u_dccm_rdata2_26 (
+	.A(FE_PDN4577_u_soc_u_dccm_rdata2_26),
+	.X(FE_PDN4548_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4547_u_soc_u_iccm_rdata2_8 (
+	.A(FE_PDN4552_u_soc_u_iccm_rdata2_8),
+	.X(FE_PDN4547_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4546_u_soc_u_iccm_rdata3_31 (
+	.A(u_soc_u_iccm_rdata3[31]),
+	.X(FE_PDN4546_u_soc_u_iccm_rdata3_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4144_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
+	.A(FE_PDN4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
+	.Y(FE_PDN19848_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_PDC4143_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
+	.A(FE_PDN19848_n),
+	.Y(FE_PDN19847_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4545_u_soc_u_iccm_rdata2_29 (
+	.A(u_soc_u_iccm_rdata2[29]),
+	.X(FE_PDN4545_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4544_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4596_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN4544_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(FE_PDN4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
+	.X(FE_PDN4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
+	.A(FE_PDN4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
+	.X(FE_PDN4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4540_FE_OFN19516_n (
+	.A(FE_PDN19779_FE_OFN19516_n),
+	.X(FE_PDN4540_FE_OFN19516_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4539_FE_OFN19506_n (
+	.A(FE_PDN4491_FE_OFN19506_n),
+	.X(FE_PDN4539_FE_OFN19506_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4538_n (
+	.A(FE_PDN4493_n),
+	.X(FE_PDN4538_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4537_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4255_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4537_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4142_logic_0_56_net (
+	.A(FE_PDN19780_logic_0_56_net),
+	.X(FE_PDN19846_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4141_u_soc_u_dccm_rdata2_17 (
+	.A(u_soc_u_dccm_rdata2[17]),
+	.X(FE_PDN19845_u_soc_u_dccm_rdata2_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_PDN4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
+	.X(FE_PDN4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_PDN4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4534_n (
+	.A(FE_PDN19814_n),
+	.X(FE_PDN4534_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4533_u_soc_u_iccm_rdata3_31 (
+	.A(FE_PDN4546_u_soc_u_iccm_rdata3_31),
+	.X(FE_PDN4533_u_soc_u_iccm_rdata3_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PDC4140_n (
+	.A(FE_PDN19804_n),
+	.Y(FE_PDN19844_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_PDC4139_n (
+	.A(FE_PDN19844_n),
+	.Y(FE_PDN19843_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4532_u_soc_u_iccm_rdata3_9 (
+	.A(FE_PDN4606_u_soc_u_iccm_rdata3_9),
+	.X(FE_PDN4532_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4531_u_soc_u_dccm_rdata3_29 (
+	.A(u_soc_u_dccm_rdata3[29]),
+	.X(FE_PDN4531_u_soc_u_dccm_rdata3_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4530_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4549_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4530_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4529_u_soc_u_dccm_rdata2_11 (
+	.A(FE_PDN4603_u_soc_u_dccm_rdata2_11),
+	.X(FE_PDN4529_u_soc_u_dccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4528_u_soc_u_iccm_rdata4_20 (
+	.A(FE_PDN4588_u_soc_u_iccm_rdata4_20),
+	.X(FE_PDN4528_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4138_logic_0_61_net (
+	.A(FE_PDN4219_logic_0_61_net),
+	.Y(FE_PDN19842_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_PDC4137_logic_0_61_net (
+	.A(FE_PDN19842_n),
+	.Y(FE_PDN19841_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4527_u_soc_u_iccm_rdata2_29 (
+	.A(FE_PDN4545_u_soc_u_iccm_rdata2_29),
+	.X(FE_PDN4527_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4526_u_soc_u_iccm_rdata3_8 (
+	.A(u_soc_u_iccm_rdata3[8]),
+	.X(FE_PDN4526_u_soc_u_iccm_rdata3_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4524_u_soc_u_iccm_rdata3_3 (
+	.A(u_soc_u_iccm_rdata3[3]),
+	.X(FE_PDN4524_u_soc_u_iccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4523_u_soc_u_dccm_rdata3_20 (
+	.A(u_soc_u_dccm_rdata3[20]),
+	.X(FE_PDN4523_u_soc_u_dccm_rdata3_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_PDN4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PDN4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4136_FE_OFN3867_FE_OFN18746_FE_OFN17984_n (
+	.A(FE_PDN19796_FE_OFN3867_FE_OFN18746_FE_OFN17984_n),
+	.X(FE_PDN19840_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4521_FE_OFN19600_FE_OFN19523_n (
+	.A(FE_PDN4226_FE_OFN19600_FE_OFN19523_n),
+	.X(FE_PDN4521_FE_OFN19600_FE_OFN19523_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4520_FE_OFN19605_FE_OFN19509_n (
+	.A(FE_PDN4213_FE_OFN19605_FE_OFN19509_n),
+	.X(FE_PDN4520_FE_OFN19605_FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_16 FE_PDC4519_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_PDN4187_FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
+	.A(FE_PDN4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
+	.X(FE_PDN4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4517_u_soc_u_dccm_rdata2_23 (
+	.A(u_soc_u_dccm_rdata2[23]),
+	.X(FE_PDN4517_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n (
+	.A(FE_PDN3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n),
+	.X(FE_PDN4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4515_n (
+	.A(FE_PDN19813_n),
+	.X(FE_PDN4515_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
+	.A(FE_PDN4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
+	.X(FE_PDN4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4513_FE_OFN19515_n (
+	.A(FE_PDN4185_FE_OFN19515_n),
+	.X(FE_PDN4513_FE_OFN19515_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4512_n (
+	.A(FE_PDN19816_n),
+	.X(FE_PDN4512_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4511_n (
+	.A(FE_PDN4249_n),
+	.X(FE_PDN4511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4510_u_soc_u_iccm_rdata2_1 (
+	.A(u_soc_u_iccm_rdata2[1]),
+	.X(FE_PDN4510_u_soc_u_iccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4509_FE_OFN3865_n (
+	.A(FE_PDN4191_FE_OFN3865_n),
+	.X(FE_PDN4509_FE_OFN3865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
+	.A(FE_PDN4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
+	.X(FE_PDN4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4507_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4544_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN4507_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4506_u_soc_u_iccm_rdata2_8 (
+	.A(FE_PDN4547_u_soc_u_iccm_rdata2_8),
+	.X(FE_PDN4506_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_PDN4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_PDN4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4135_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
+	.A(FE_PDN4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
+	.Y(FE_PDN19839_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC4134_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
+	.A(FE_PDN19839_n),
+	.Y(FE_PDN19838_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
+	.A(FE_PDN4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
+	.X(FE_PDN4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
+	.A(FE_PDN4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7),
+	.X(FE_PDN4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_PDN4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
+	.X(FE_PDN4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4501_FE_OFN19280_FE_OFN19064_n (
+	.A(FE_PDN4190_FE_OFN19280_FE_OFN19064_n),
+	.X(FE_PDN4501_FE_OFN19280_FE_OFN19064_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4500_FE_OFN19610_FE_OFN19514_n (
+	.A(FE_PDN4198_FE_OFN19610_FE_OFN19514_n),
+	.X(FE_PDN4500_FE_OFN19610_FE_OFN19514_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(FE_PDN4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
+	.X(FE_PDN4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4498_FE_OFN19612_FE_OFN19522_n (
+	.A(FE_PDN4186_FE_OFN19612_FE_OFN19522_n),
+	.X(FE_PDN4498_FE_OFN19612_FE_OFN19522_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4497_FE_OFN19507_n (
+	.A(FE_PDN4188_FE_OFN19507_n),
+	.X(FE_PDN4497_FE_OFN19507_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
+	.A(FE_PDN4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
+	.X(FE_PDN4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
+	.A(FE_PDN4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
+	.X(FE_PDN4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
+	.A(FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
+	.X(FE_PDN4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4493_n (
+	.A(FE_PDN19800_n),
+	.X(FE_PDN4493_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_PDC4492_n (
+	.A(FE_PDN19808_n),
+	.X(FE_PDN4492_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4491_FE_OFN19506_n (
+	.A(FE_PDN4189_FE_OFN19506_n),
+	.X(FE_PDN4491_FE_OFN19506_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4490_CTS_23 (
+	.A(FE_USKN4490_CTS_23),
+	.X(FE_USKN4657_CTS_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4489_CTS_18 (
+	.A(CTS_18),
+	.X(FE_USKN4847_CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4488_CTS_75 (
+	.A(FE_USKN4488_CTS_75),
+	.X(FE_USKN4844_CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4487_n_15872 (
+	.A(FE_PSN4362_n_15872),
+	.X(FE_PSN4487_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4486_n_15974 (
+	.A(n_15974),
+	.X(FE_PSN4486_n_15974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4485_FE_OFN18425_n_15868 (
+	.A(FE_OFN18425_n_15868),
+	.X(FE_PSN4485_FE_OFN18425_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4484_n_11123 (
+	.A(FE_PSN4833_n_11123),
+	.X(FE_PSN4484_n_11123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4483_n_11480 (
+	.A(n_11480),
+	.X(FE_PSN4483_n_11480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4482_n_13477 (
+	.A(n_13477),
+	.X(FE_PSN4482_n_13477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4481_n_11751 (
+	.A(FE_PSN4807_n_11751),
+	.X(FE_PSN4481_n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4480_FE_OFN18584_n_15872 (
+	.A(FE_OFN18584_n_15872),
+	.X(FE_PSN4480_FE_OFN18584_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4479_n_11476 (
+	.A(n_11476),
+	.X(FE_PSN4479_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4478_FE_OFN18397_n_15865 (
+	.A(FE_OFN18397_n_15865),
+	.X(FE_PSN4478_FE_OFN18397_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4477_FE_COEN4317_n_11475 (
+	.A(FE_COEN4317_n_11475),
+	.X(FE_PSN4477_FE_COEN4317_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4476_n_12853 (
+	.A(n_12853),
+	.X(FE_PSN4476_n_12853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4475_n_13393 (
+	.A(n_13393),
+	.X(FE_PSN4475_n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4474_n_12754 (
+	.A(n_12754),
+	.X(FE_PSN4474_n_12754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4473_n_15995 (
+	.A(n_15995),
+	.X(FE_PSN4473_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4472_n_12000 (
+	.A(n_12000),
+	.X(FE_PSN4472_n_12000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4471_n_12261 (
+	.A(n_12261),
+	.X(FE_PSN4471_n_12261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4470_n_13497 (
+	.A(n_13497),
+	.X(FE_PSN4470_n_13497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.X(FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4468_n_13811 (
+	.A(n_13811),
+	.X(FE_PSN4468_n_13811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4467_n_11020 (
+	.A(n_11020),
+	.X(FE_PSN4467_n_11020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4466_n_10160 (
+	.A(n_10160),
+	.X(FE_PSN4466_n_10160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4465_FE_OFN1056_n_11475 (
+	.A(FE_OFN1056_n_11475),
+	.X(FE_PSN4465_FE_OFN1056_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4464_n_13506 (
+	.A(n_13506),
+	.X(FE_PSN4464_n_13506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4463_n_11553 (
+	.A(FE_PSN4368_n_11553),
+	.X(FE_PSN4463_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4462_FE_OFN18407_n_15871 (
+	.A(FE_OFN18407_n_15871),
+	.X(FE_PSN4462_FE_OFN18407_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4461_FE_OFN1587_n_13428 (
+	.A(FE_OFN1587_n_13428),
+	.X(FE_PSN4461_FE_OFN1587_n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4459_u_soc_u_top_u_core_instr_rdata_id_27 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[27]),
+	.X(FE_PSN4459_u_soc_u_top_u_core_instr_rdata_id_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4458_n_15872 (
+	.A(n_15872),
+	.X(FE_PSN4458_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4457_FE_OFN1080_n_13070 (
+	.A(FE_OFN1080_n_13070),
+	.X(FE_PSN4457_FE_OFN1080_n_13070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4456_FE_OFN18547_n_11593 (
+	.A(FE_OFN18547_n_11593),
+	.X(FE_PSN4456_FE_OFN18547_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4455_n_11223 (
+	.A(n_11223),
+	.X(FE_PSN4455_n_11223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4454_n_11479 (
+	.A(FE_PSN4667_n_11479),
+	.X(FE_PSN4454_n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4453_n_16003 (
+	.A(n_16003),
+	.X(FE_PSN4453_n_16003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4452_n_15945 (
+	.A(n_15945),
+	.X(FE_PSN4452_n_15945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4451_n_11751 (
+	.A(n_11751),
+	.X(FE_PSN4451_n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4450_n_11314 (
+	.A(n_11314),
+	.X(FE_PSN4450_n_11314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4449_n_15860 (
+	.A(n_15860),
+	.X(FE_PSN4449_n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4448_n_13386 (
+	.A(FE_PSN4264_n_13386),
+	.X(FE_PSN4448_n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4447_FE_OFN18562_n_15867 (
+	.A(FE_OFN18562_n_15867),
+	.X(FE_PSN4447_FE_OFN18562_n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4444_n_11480 (
+	.A(n_11480),
+	.X(FE_PSN4444_n_11480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4443_n_15881 (
+	.A(n_15881),
+	.X(FE_PSN4443_n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4440_u_soc_u_top_u_core_instr_rdata_id_20 (
+	.A(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.X(FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4439_n_11094 (
+	.A(n_11094),
+	.X(FE_PSN4439_n_11094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4438_FE_COEN4311_n_11474 (
+	.A(FE_COEN4311_n_11474),
+	.X(FE_PSN4438_FE_COEN4311_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4436_FE_OFN18492_n_13420 (
+	.A(FE_OFN18492_n_13420),
+	.X(FE_PSN4436_FE_OFN18492_n_13420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4433_n_11355 (
+	.A(n_11355),
+	.X(FE_PSN4433_n_11355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4432_n_11754 (
+	.A(n_11754),
+	.X(FE_PSN4432_n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4431_FE_OFN18505_n_16001 (
+	.A(FE_OFN18505_n_16001),
+	.X(FE_PSN4431_FE_OFN18505_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4430_n_12881 (
+	.A(n_12881),
+	.X(FE_PSN4430_n_12881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13 (
+	.A(FE_PSN4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
+	.X(FE_PSN4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4428_n_11176 (
+	.A(n_11176),
+	.X(FE_PSN4428_n_11176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4427_FE_OFN18385_n_11514 (
+	.A(FE_OFN18385_n_11514),
+	.X(FE_PSN4427_FE_OFN18385_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4426_n_11745 (
+	.A(n_11745),
+	.X(FE_PSN4426_n_11745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4425_n_11761 (
+	.A(FE_PSN4340_n_11761),
+	.X(FE_PSN4425_n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4424_FE_OFN18315_n_13425 (
+	.A(FE_OFN18315_n_13425),
+	.X(FE_PSN4424_FE_OFN18315_n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4423_n_15859 (
+	.A(n_15859),
+	.X(FE_PSN4423_n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4422_n_11763 (
+	.A(n_11763),
+	.X(FE_PSN4422_n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4421_n_15871 (
+	.A(n_15871),
+	.X(FE_PSN4421_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4420_n_135 (
+	.A(n_135),
+	.X(FE_PSN4420_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4419_n_11699 (
+	.A(n_11699),
+	.X(FE_PSN4419_n_11699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4418_n_13392 (
+	.A(n_13392),
+	.X(FE_PSN4418_n_13392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4417_FE_OFN18238_n_13069 (
+	.A(FE_OFN18238_n_13069),
+	.X(FE_PSN4417_FE_OFN18238_n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4416_n_13497 (
+	.A(n_13497),
+	.X(FE_PSN4416_n_13497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSBC4133_n_11428 (
+	.A(n_11428),
+	.X(FE_PSBN19837_n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4415_FE_OFN18506_n_16001 (
+	.A(FE_OFN18506_n_16001),
+	.X(FE_PSN4415_FE_OFN18506_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4132_n_15865 (
+	.A(n_15865),
+	.Y(FE_PSBN19836_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC4131_n_15865 (
+	.A(FE_PSBN19836_n_15865),
+	.Y(FE_PSBN19835_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4414_FE_COEN4289_FE_OFN18396_n_15865 (
+	.A(FE_COEN4289_FE_OFN18396_n_15865),
+	.X(FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PSBC4130_n_15866 (
+	.A(n_15866),
+	.Y(FE_PSBN19834_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_PSBC4129_n_15866 (
+	.A(FE_PSBN19834_n_15866),
+	.Y(FE_PSBN19833_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC4128_n_15866 (
+	.A(FE_PSBN19834_n_15866),
+	.Y(FE_PSBN19832_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4127_n_15866 (
+	.A(FE_PSBN19832_n_15866),
+	.Y(FE_PSBN19831_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC4126_n_15866 (
+	.A(FE_PSBN19831_n_15866),
+	.Y(FE_PSBN19830_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4413_FE_OFN18584_n_15872 (
+	.A(FE_OFN18584_n_15872),
+	.X(FE_PSN4413_FE_OFN18584_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4412_FE_OFN18472_n_11421 (
+	.A(FE_OFN18472_n_11421),
+	.X(FE_PSN4412_FE_OFN18472_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC4125_n_13387 (
+	.A(n_13387),
+	.Y(FE_PSBN19829_n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC4124_n_13387 (
+	.A(FE_PSBN19829_n_13387),
+	.Y(FE_PSBN19828_n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4411_FE_COEN4289_FE_OFN18396_n_15865 (
+	.A(FE_COEN4289_FE_OFN18396_n_15865),
+	.X(FE_PSN4411_FE_COEN4289_FE_OFN18396_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4410_n_11476 (
+	.A(n_11476),
+	.X(FE_PSN4410_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4409_n_12557 (
+	.A(n_12557),
+	.X(FE_PSN4409_n_12557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4408_n_15867 (
+	.A(n_15867),
+	.X(FE_PSN4408_n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4407_n_12534 (
+	.A(n_12534),
+	.X(FE_PSN4407_n_12534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4406_n_11761 (
+	.A(n_11761),
+	.X(FE_PSN4406_n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC4123_n_15943 (
+	.A(n_15943),
+	.Y(FE_PSBN19827_n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC4122_n_15943 (
+	.A(FE_PSBN19827_n_15943),
+	.Y(FE_PSBN19826_n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4405_n_11471 (
+	.A(n_11471),
+	.X(FE_PSN4405_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4404_FE_COEN4289_FE_OFN18396_n_15865 (
+	.A(FE_COEN4289_FE_OFN18396_n_15865),
+	.X(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4403_n_15881 (
+	.A(n_15881),
+	.X(FE_PSN4403_n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4402_n_15943 (
+	.A(FE_PSBN19826_n_15943),
+	.X(FE_PSN4402_n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4401_u_soc_u_top_u_core_alu_operand_b_ex_0 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[0]),
+	.X(FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4400_u_soc_u_top_u_core_alu_operator_ex_2 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.X(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4399_n_13507 (
+	.A(n_13507),
+	.X(FE_PSN4399_n_13507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4398_FE_OFN1114_n_15868 (
+	.A(FE_PSN4143_FE_OFN1114_n_15868),
+	.X(FE_PSN4398_FE_OFN1114_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4397_FE_OFN18491_n_11428 (
+	.A(FE_PSBN19837_n_11428),
+	.X(FE_PSN4397_FE_OFN18491_n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4396_FE_OFN1585_n_13422 (
+	.A(FE_PSBN19892_n_13422),
+	.X(FE_PSN4396_FE_OFN1585_n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4395_n_15943 (
+	.A(FE_PSBN19826_n_15943),
+	.X(FE_PSN4395_n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4394_FE_OFN18506_n_16001 (
+	.A(FE_OFN18506_n_16001),
+	.X(FE_PSN4394_FE_OFN18506_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4393_u_soc_u_top_u_core_alu_operand_b_ex_5 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[5]),
+	.X(FE_PSN4393_u_soc_u_top_u_core_alu_operand_b_ex_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4392_FE_OFN1113_n_15866 (
+	.A(FE_PSBN19832_n_15866),
+	.X(FE_PSN4392_FE_OFN1113_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4390_n_15995 (
+	.A(n_15995),
+	.X(FE_PSN4390_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4389_FE_OFN18507_n_13391 (
+	.A(FE_OFN18507_n_13391),
+	.X(FE_PSN4389_FE_OFN18507_n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4388_n_11557 (
+	.A(n_11557),
+	.X(FE_PSN4388_n_11557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4387_FE_OFN1585_n_13422 (
+	.A(FE_PSBN19892_n_13422),
+	.X(FE_PSN4387_FE_OFN1585_n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4385_n_13500 (
+	.A(n_13500),
+	.X(FE_PSN4385_n_13500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
+	.X(FE_PSN4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4383_n_13496 (
+	.A(n_13496),
+	.X(FE_PSN4383_n_13496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4382_n_11039 (
+	.A(n_11039),
+	.X(FE_PSN4382_n_11039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4381_FE_OFN1399_n_142 (
+	.A(FE_OFN1399_n_142),
+	.X(FE_PSN4381_FE_OFN1399_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4380_n_13390 (
+	.A(n_13390),
+	.X(FE_PSN4380_n_13390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4379_n_13507 (
+	.A(n_13507),
+	.X(FE_PSN4379_n_13507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4378_FE_OFN1113_n_15866 (
+	.A(FE_PSBN19832_n_15866),
+	.X(FE_PSN4378_FE_OFN1113_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4377_n_16001 (
+	.A(n_16001),
+	.X(FE_PSN4377_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4376_n_13845 (
+	.A(n_13845),
+	.X(FE_PSN4376_n_13845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4375_n_11428 (
+	.A(n_11428),
+	.X(FE_PSN4375_n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4374_n_15860 (
+	.A(n_15860),
+	.X(FE_PSN4374_n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4373_u_soc_u_top_u_core_alu_operand_b_ex_3 (
+	.A(FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.X(FE_PSN4373_u_soc_u_top_u_core_alu_operand_b_ex_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4372_u_soc_u_top_u_core_instr_rdata_id_20 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[20]),
+	.X(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC4121_n_10150 (
+	.A(n_10150),
+	.Y(FE_PSBN19825_n_10150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_PSBC4120_n_10150 (
+	.A(FE_PSBN19825_n_10150),
+	.Y(FE_PSBN19824_n_10150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4371_n_13416 (
+	.A(n_13416),
+	.X(FE_PSN4371_n_13416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192 (
+	.A(FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
+	.X(FE_PSN4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4369_u_soc_lsu_to_xbar_a_address_26 (
+	.A(\u_soc_lsu_to_xbar[a_address] [26]),
+	.X(FE_PSN4369_u_soc_lsu_to_xbar_a_address_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4368_n_11553 (
+	.A(n_11553),
+	.X(FE_PSN4368_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4367_n_11746 (
+	.A(n_11746),
+	.X(FE_PSN4367_n_11746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4366_u_soc_u_top_u_core_instr_rdata_id_22 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.X(FE_PSN4366_u_soc_u_top_u_core_instr_rdata_id_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4365_n_13502 (
+	.A(n_13502),
+	.X(FE_PSN4365_n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4364_n_11450 (
+	.A(n_11450),
+	.X(FE_PSN4364_n_11450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4363_n_13429 (
+	.A(n_13429),
+	.X(FE_PSN4363_n_13429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4362_n_15872 (
+	.A(n_15872),
+	.X(FE_PSN4362_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4361_n_11476 (
+	.A(n_11476),
+	.X(FE_PSN4361_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4359_n_15946 (
+	.A(FE_PSN4640_n_15946),
+	.X(FE_PSN4359_n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4358_n_12596 (
+	.A(n_12596),
+	.X(FE_PSN4358_n_12596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4357_n_11425 (
+	.A(n_11425),
+	.X(FE_PSN4357_n_11425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4356_n_13393 (
+	.A(FE_PSN4475_n_13393),
+	.X(FE_PSN4356_n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4355_n_11478 (
+	.A(n_11478),
+	.X(FE_PSN4355_n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4354_FE_OFN1585_n_13422 (
+	.A(FE_PSBN19892_n_13422),
+	.X(FE_PSN4354_FE_OFN1585_n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4353_n_12877 (
+	.A(n_12877),
+	.X(FE_PSN4353_n_12877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4352_n_13500 (
+	.A(n_13500),
+	.X(FE_PSN4352_n_13500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4351_n_13502 (
+	.A(n_13502),
+	.X(FE_PSN4351_n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4350_FE_OFN1586_n_13424 (
+	.A(FE_PSBN19866_n_13424),
+	.X(FE_PSN4350_FE_OFN1586_n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4349_n_12550 (
+	.A(n_12550),
+	.X(FE_PSN4349_n_12550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4348_n_16000 (
+	.A(FE_PSBN19894_n_16000),
+	.X(FE_PSN4348_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4347_u_soc_u_top_u_core_alu_operator_ex_0 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.X(FE_PSN4347_u_soc_u_top_u_core_alu_operator_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4346_n_15946 (
+	.A(n_15946),
+	.X(FE_PSN4346_n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4345_n_11294 (
+	.A(FE_PSN4104_n_11294),
+	.X(FE_PSN4345_n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4344_n_13387 (
+	.A(FE_PSBN19828_n_13387),
+	.X(FE_PSN4344_n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4343_u_soc_u_top_u_core_alu_operand_b_ex_3 (
+	.A(FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.X(FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.X(FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4341_FE_OFN18495_n_13389 (
+	.A(FE_OFN18495_n_13389),
+	.X(FE_PSN4341_FE_OFN18495_n_13389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4340_n_11761 (
+	.A(n_11761),
+	.X(FE_PSN4340_n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
+	.X(FE_PSN4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287 (
+	.A(FE_PSN4768_FE_PSBN19863_FE_RN_55_0),
+	.X(FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
+	.X(FE_PSN4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4335_n_13401 (
+	.A(n_13401),
+	.X(FE_COEN4335_n_13401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4334_n_10344 (
+	.A(n_10344),
+	.X(FE_COEN4334_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4333_n_12741 (
+	.A(n_12741),
+	.X(FE_COEN4333_n_12741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4332_n_12885 (
+	.A(n_12885),
+	.X(FE_COEN4332_n_12885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4331_n_496 (
+	.A(FE_COEN4874_n_496),
+	.X(FE_COEN4331_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4330_n_13432 (
+	.A(n_13432),
+	.X(FE_COEN4330_n_13432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4329_n_13402 (
+	.A(n_13402),
+	.X(FE_COEN4329_n_13402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0 (
+	.A(FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0),
+	.X(FE_COEN4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4327_u_soc_lsu_to_xbar_a_address_20 (
+	.A(\u_soc_lsu_to_xbar[a_address] [20]),
+	.X(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4325_n_12777 (
+	.A(n_12777),
+	.X(FE_COEN4325_n_12777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4324_u_soc_u_tcam_data_addr_25 (
+	.A(u_soc_u_tcam_data_addr[25]),
+	.X(FE_COEN4324_u_soc_u_tcam_data_addr_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4323_FE_OFN19381_u_soc_u_iccm_rdata4_17 (
+	.A(FE_OFN19381_u_soc_u_iccm_rdata4_17),
+	.X(FE_COEN4323_FE_OFN19381_u_soc_u_iccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4322_n_12783 (
+	.A(n_12783),
+	.X(FE_COEN4322_n_12783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4321_FE_OFN601_u_soc_u_iccm_rdata1_16 (
+	.A(FE_COEN4571_FE_OFN601_u_soc_u_iccm_rdata1_16),
+	.X(FE_COEN4321_FE_OFN601_u_soc_u_iccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4320_FE_OFN18983_u_soc_u_iccm_rdata4_1 (
+	.A(FE_OFN18983_u_soc_u_iccm_rdata4_1),
+	.X(FE_COEN4320_FE_OFN18983_u_soc_u_iccm_rdata4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4319_FE_OFN19442_u_soc_u_iccm_rdata4_16 (
+	.A(FE_OFN19442_u_soc_u_iccm_rdata4_16),
+	.X(FE_COEN4319_FE_OFN19442_u_soc_u_iccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4318_n_1877 (
+	.A(n_1877),
+	.X(FE_COEN4318_n_1877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4317_n_11475 (
+	.A(n_11475),
+	.X(FE_COEN4317_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4316_FE_OFN18547_n_11593 (
+	.A(FE_COEN4309_FE_OFN18547_n_11593),
+	.X(FE_COEN4316_FE_OFN18547_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4315_n_15877 (
+	.A(n_15877),
+	.X(FE_COEN4315_n_15877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_COEC4314_FE_OFN18473_n_11421 (
+	.A(FE_OFN18473_n_11421),
+	.X(FE_COEN4314_FE_OFN18473_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4313_n_13496 (
+	.A(n_13496),
+	.X(FE_COEN4313_n_13496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4312_n_11091 (
+	.A(n_11091),
+	.X(FE_COEN4312_n_11091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4311_n_11474 (
+	.A(n_11474),
+	.X(FE_COEN4311_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4310_n_13431 (
+	.A(n_13431),
+	.X(FE_COEN4310_n_13431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4309_FE_OFN18547_n_11593 (
+	.A(FE_OFN18547_n_11593),
+	.X(FE_COEN4309_FE_OFN18547_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0 (
+	.A(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
+	.X(FE_COEN4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4306_n_11550 (
+	.A(n_11550),
+	.X(FE_COEN4306_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4304_n_13397 (
+	.A(n_13397),
+	.X(FE_COEN4304_n_13397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4303_n_13388 (
+	.A(FE_PSBN19871_n_13388),
+	.X(FE_COEN4303_n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4302_n_669 (
+	.A(n_669),
+	.X(FE_COEN4302_n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4301_u_soc_u_top_u_core_alu_operand_b_ex_12 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[12]),
+	.X(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4300_n_13499 (
+	.A(FE_PSN4828_n_13499),
+	.X(FE_COEN4300_n_13499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4299_n_5838 (
+	.A(n_5838),
+	.X(FE_COEN4299_n_5838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_COEC4298_n_11756 (
+	.A(n_11756),
+	.X(FE_COEN4298_n_11756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4297_FE_OFN1071_n_11559 (
+	.A(FE_OFN1071_n_11559),
+	.X(FE_COEN4297_FE_OFN1071_n_11559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4295_u_soc_u_top_u_core_alu_operand_b_ex_3 (
+	.A(FE_PSN4373_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.X(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4294_n_11033 (
+	.A(n_11033),
+	.X(FE_COEN4294_n_11033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0 (
+	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.X(FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4292_u_soc_lsu_to_xbar_a_address_28 (
+	.A(\u_soc_lsu_to_xbar[a_address] [28]),
+	.X(FE_COEN4292_u_soc_lsu_to_xbar_a_address_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4290_FE_OFN18250_n_183 (
+	.A(FE_OFN18250_n_183),
+	.X(FE_COEN4290_FE_OFN18250_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4289_FE_OFN18396_n_15865 (
+	.A(FE_OFN18396_n_15865),
+	.X(FE_COEN4289_FE_OFN18396_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_COEC4288_n_15881 (
+	.A(n_15881),
+	.X(FE_COEN4288_n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_COEC4287_n_13433 (
+	.A(n_13433),
+	.X(FE_COEN4287_n_13433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4285_n_13437 (
+	.A(n_13437),
+	.X(FE_COEN4285_n_13437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4283_FE_OFN18238_n_13069 (
+	.A(FE_OFN18238_n_13069),
+	.X(FE_COEN4283_FE_OFN18238_n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4282_n_13467 (
+	.A(n_13467),
+	.X(FE_COEN4282_n_13467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4279_n_11090 (
+	.A(n_11090),
+	.X(FE_COEN4279_n_11090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4278_n_12263 (
+	.A(n_12263),
+	.X(FE_COEN4278_n_12263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_COEC4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
+	.X(FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4276_n_13501 (
+	.A(n_13501),
+	.X(FE_COEN4276_n_13501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_COEC4275_n_13392 (
+	.A(n_13392),
+	.X(FE_COEN4275_n_13392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4274_n_11089 (
+	.A(n_11089),
+	.X(FE_COEN4274_n_11089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4273_u_soc_u_top_u_core_alu_operator_ex_4 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[4]),
+	.X(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4272_n_12978 (
+	.A(n_12978),
+	.X(FE_COEN4272_n_12978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_COEC4271_FE_OFN18292_n_15857 (
+	.A(FE_OFN18292_n_15857),
+	.X(FE_COEN4271_FE_OFN18292_n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4270_n_13378 (
+	.A(n_13378),
+	.X(FE_COEN4270_n_13378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4269_n_12024 (
+	.A(n_12024),
+	.X(FE_COEN4269_n_12024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_COEC4268_n_12409 (
+	.A(n_12409),
+	.X(FE_COEN4268_n_12409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4267_u_soc_lsu_to_xbar_a_address_25 (
+	.A(\u_soc_lsu_to_xbar[a_address] [25]),
+	.X(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_COEC4266_n_5854 (
+	.A(n_5854),
+	.X(FE_COEN4266_n_5854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_COEC4265_u_soc_lsu_to_xbar_a_address_21 (
+	.A(\u_soc_lsu_to_xbar[a_address] [21]),
+	.X(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PSBC4118_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
+	.A(FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
+	.Y(FE_PSBN19822_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_PSBC4117_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
+	.A(FE_PSBN19822_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
+	.Y(FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSBC4116_FE_OFN237_u_soc_data_wdata_7 (
+	.A(FE_OFN237_u_soc_data_wdata_7),
+	.X(FE_PSBN19820_FE_OFN237_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSBC4115_u_soc_data_wdata_7 (
+	.A(u_soc_data_wdata[7]),
+	.X(FE_PSBN19819_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_98 (
+	.DIODE(FE_PDN4506_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_97 (
+	.DIODE(u_soc_u_dccm_rdata3[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4264_n_13386 (
+	.A(n_13386),
+	.X(FE_PSN4264_n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4263_n_11294 (
+	.A(FE_PSN4104_n_11294),
+	.X(FE_PSN4263_n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193 (
+	.A(FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
+	.X(FE_PSN4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
+	.X(FE_PSN4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
+	.X(FE_PSN4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4259_n_11557 (
+	.A(n_11557),
+	.X(FE_PSN4259_n_11557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
+	.X(FE_PSN4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4257_u_soc_u_iccm_rdata4_19 (
+	.A(u_soc_u_iccm_rdata4[19]),
+	.X(FE_PDN4257_u_soc_u_iccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4114_n (
+	.A(FE_PDN19812_n),
+	.X(FE_PDN19818_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4113_n (
+	.A(FE_PDN19790_n),
+	.Y(FE_PDN19817_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC4112_n (
+	.A(FE_PDN19817_n),
+	.Y(FE_PDN19816_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4111_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
+	.A(FE_PDN3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n),
+	.Y(FE_PDN19815_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC4110_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
+	.A(FE_PDN19815_n),
+	.Y(FE_PDN19814_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4256_u_soc_u_dccm_rdata4_23 (
+	.A(u_soc_u_dccm_rdata4[23]),
+	.X(FE_PDN4256_u_soc_u_dccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4255_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4530_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4255_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4109_n (
+	.A(FE_PDN4207_n),
+	.X(FE_PDN19813_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4254_u_soc_u_dccm_rdata3_9 (
+	.A(u_soc_u_dccm_rdata3[9]),
+	.X(FE_PDN4254_u_soc_u_dccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4253_u_soc_u_iccm_rdata2_29 (
+	.A(FE_PDN4527_u_soc_u_iccm_rdata2_29),
+	.X(FE_PDN4253_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4252_u_soc_u_dccm_rdata3_3 (
+	.A(u_soc_u_dccm_rdata3[3]),
+	.X(FE_PDN4252_u_soc_u_dccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(FE_PDN4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
+	.X(FE_PDN4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4250_u_soc_u_dccm_rdata3_4 (
+	.A(u_soc_u_dccm_rdata3[4]),
+	.X(FE_PDN4250_u_soc_u_dccm_rdata3_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4249_n (
+	.A(FE_PDN19801_n),
+	.X(FE_PDN4249_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4108_logic_0_71_net (
+	.A(FE_PDN3877_logic_0_71_net),
+	.X(FE_PDN19812_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4107_u_soc_u_iccm_rdata2_25 (
+	.A(u_soc_u_iccm_rdata2[25]),
+	.X(FE_PDN19811_u_soc_u_iccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4106_logic_0_66_net (
+	.A(FE_PDN3900_logic_0_66_net),
+	.X(FE_PDN19810_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4105_u_soc_u_dccm_rdata4_5 (
+	.A(u_soc_u_dccm_rdata4[5]),
+	.X(FE_PDN19809_u_soc_u_dccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4104_FE_OFN19519_n (
+	.A(FE_PDN3880_FE_OFN19519_n),
+	.X(FE_PDN19808_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4103_u_soc_u_dccm_rdata4_16 (
+	.A(u_soc_u_dccm_rdata4[16]),
+	.X(FE_PDN19807_u_soc_u_dccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4247_u_soc_u_iccm_rdata2_8 (
+	.A(FE_PDN4506_u_soc_u_iccm_rdata2_8),
+	.X(FE_PDN4247_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4246_u_soc_u_iccm_rdata3_22 (
+	.A(u_soc_u_iccm_rdata3[22]),
+	.X(FE_PDN4246_u_soc_u_iccm_rdata3_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4245_u_soc_u_dccm_rdata2_6 (
+	.A(u_soc_u_dccm_rdata2[6]),
+	.X(FE_PDN4245_u_soc_u_dccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4244_u_soc_u_dccm_rdata3_30 (
+	.A(u_soc_u_dccm_rdata3[30]),
+	.X(FE_PDN4244_u_soc_u_dccm_rdata3_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4243_u_soc_u_iccm_rdata3_29 (
+	.A(u_soc_u_iccm_rdata3[29]),
+	.X(FE_PDN4243_u_soc_u_iccm_rdata3_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4242_u_soc_u_iccm_rdata4_29 (
+	.A(u_soc_u_iccm_rdata4[29]),
+	.X(FE_PDN4242_u_soc_u_iccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4241_u_soc_u_dccm_rdata3_3 (
+	.A(FE_PDN4252_u_soc_u_dccm_rdata3_3),
+	.X(FE_PDN4241_u_soc_u_dccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4240_u_soc_u_iccm_rdata3_9 (
+	.A(FE_PDN4532_u_soc_u_iccm_rdata3_9),
+	.X(FE_PDN4240_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4239_u_soc_u_dccm_rdata3_9 (
+	.A(FE_PDN4254_u_soc_u_dccm_rdata3_9),
+	.X(FE_PDN4239_u_soc_u_dccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4238_u_soc_u_dccm_rdata2_25 (
+	.A(u_soc_u_dccm_rdata2[25]),
+	.X(FE_PDN4238_u_soc_u_dccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4237_u_soc_u_dccm_rdata4_29 (
+	.A(u_soc_u_dccm_rdata4[29]),
+	.X(FE_PDN4237_u_soc_u_dccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4102_u_soc_u_dccm_rdata4_22 (
+	.A(u_soc_u_dccm_rdata4[22]),
+	.X(FE_PDN19806_u_soc_u_dccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_PDN4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4235_u_soc_u_dccm_rdata3_17 (
+	.A(FE_PDN4621_u_soc_u_dccm_rdata3_17),
+	.X(FE_PDN4235_u_soc_u_dccm_rdata3_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4234_FE_OFN19760_n (
+	.A(FE_PDN4031_FE_OFN19760_n),
+	.X(FE_PDN4234_FE_OFN19760_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4233_u_soc_u_iccm_rdata2_29 (
+	.A(FE_PDN4253_u_soc_u_iccm_rdata2_29),
+	.X(FE_PDN4233_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4101_u_soc_u_dccm_rdata2_13 (
+	.A(u_soc_u_dccm_rdata2[13]),
+	.X(FE_PDN19805_u_soc_u_dccm_rdata2_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4232_u_soc_u_iccm_rdata3_3 (
+	.A(FE_PDN4524_u_soc_u_iccm_rdata3_3),
+	.X(FE_PDN4232_u_soc_u_iccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4231_u_soc_u_dccm_rdata2_23 (
+	.A(FE_PDN4517_u_soc_u_dccm_rdata2_23),
+	.X(FE_PDN4231_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4100_logic_0_49_net (
+	.A(FE_PDN3923_logic_0_49_net),
+	.X(FE_PDN19804_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4230_u_soc_u_iccm_rdata2_1 (
+	.A(FE_PDN4510_u_soc_u_iccm_rdata2_1),
+	.X(FE_PDN4230_u_soc_u_iccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
+	.A(FE_PDN4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
+	.X(FE_PDN4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
+	.A(FE_PDN3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
+	.X(FE_PDN4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4227_u_soc_u_dccm_rdata2_24 (
+	.A(u_soc_u_dccm_rdata2[24]),
+	.X(FE_PDN4227_u_soc_u_dccm_rdata2_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4226_FE_OFN19600_FE_OFN19523_n (
+	.A(FE_PDN3894_FE_OFN19600_FE_OFN19523_n),
+	.X(FE_PDN4226_FE_OFN19600_FE_OFN19523_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4225_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4537_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4225_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4224_u_soc_u_dccm_rdata2_18 (
+	.A(u_soc_u_dccm_rdata2[18]),
+	.X(FE_PDN4224_u_soc_u_dccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4223_u_soc_u_dccm_rdata4_17 (
+	.A(u_soc_u_dccm_rdata4[17]),
+	.X(FE_PDN4223_u_soc_u_dccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_PDN3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_PDN4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PDC4099_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
+	.A(FE_PDN3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n),
+	.Y(FE_PDN19803_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PDC4098_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
+	.A(FE_PDN19803_n),
+	.Y(FE_PDN19802_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4097_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
+	.A(FE_PDN19802_n),
+	.X(FE_PDN19801_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4221_u_soc_u_dccm_rdata2_26 (
+	.A(FE_PDN4548_u_soc_u_dccm_rdata2_26),
+	.X(FE_PDN4221_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4220_u_soc_u_dccm_rdata2_15 (
+	.A(u_soc_u_dccm_rdata2[15]),
+	.X(FE_PDN4220_u_soc_u_dccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4219_logic_0_61_net (
+	.A(FE_PDN3912_logic_0_61_net),
+	.X(FE_PDN4219_logic_0_61_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4218_u_soc_u_dccm_rdata2_27 (
+	.A(u_soc_u_dccm_rdata2[27]),
+	.X(FE_PDN4218_u_soc_u_dccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4217_u_soc_u_dccm_rdata3_4 (
+	.A(FE_PDN4250_u_soc_u_dccm_rdata3_4),
+	.X(FE_PDN4217_u_soc_u_dccm_rdata3_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4216_logic_0_48_net (
+	.A(FE_PDN3870_logic_0_48_net),
+	.X(FE_PDN4216_logic_0_48_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4096_FE_OFN19281_FE_OFN19059_n (
+	.A(FE_PDN3869_FE_OFN19281_FE_OFN19059_n),
+	.X(FE_PDN19800_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4215_u_soc_u_iccm_rdata4_2 (
+	.A(u_soc_u_iccm_rdata4[2]),
+	.X(FE_PDN4215_u_soc_u_iccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4214_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4507_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN4214_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4213_FE_OFN19605_FE_OFN19509_n (
+	.A(FE_PDN3928_FE_OFN19605_FE_OFN19509_n),
+	.X(FE_PDN4213_FE_OFN19605_FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4212_FE_OFN19521_n (
+	.A(FE_PDN3936_FE_OFN19521_n),
+	.X(FE_PDN4212_FE_OFN19521_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_PDN3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
+	.X(FE_PDN4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4210_u_soc_u_dccm_rdata4_4 (
+	.A(u_soc_u_dccm_rdata4[4]),
+	.X(FE_PDN4210_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_PDN4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
+	.X(FE_PDN4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(FE_PDN4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
+	.X(FE_PDN4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4207_n (
+	.A(FE_PDN19792_n),
+	.X(FE_PDN4207_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
+	.A(FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
+	.X(FE_PDN4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
+	.A(FE_PDN3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
+	.X(FE_PDN4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
+	.A(FE_PDN3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
+	.X(FE_PDN4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4203_u_soc_u_dccm_rdata3_0 (
+	.A(u_soc_u_dccm_rdata3[0]),
+	.X(FE_PDN4203_u_soc_u_dccm_rdata3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n (
+	.A(FE_OFN19618_FE_OFN19207_FE_OFN19102_n),
+	.X(FE_PDN4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4201_logic_0_63_net (
+	.A(FE_PDN3871_logic_0_63_net),
+	.X(FE_PDN4201_logic_0_63_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4200_FE_OFN19594_FE_OFN19517_n (
+	.A(FE_PDN3886_FE_OFN19594_FE_OFN19517_n),
+	.X(FE_PDN4200_FE_OFN19594_FE_OFN19517_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
+	.A(FE_PDN4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
+	.X(FE_PDN4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4198_FE_OFN19610_FE_OFN19514_n (
+	.A(FE_PDN3893_FE_OFN19610_FE_OFN19514_n),
+	.X(FE_PDN4198_FE_OFN19610_FE_OFN19514_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PDC4095_logic_0_52_net (
+	.A(FE_PDN3876_logic_0_52_net),
+	.Y(FE_PDN19799_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4094_logic_0_52_net (
+	.A(FE_PDN19799_n),
+	.X(FE_PDN19798_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_16 FE_PDC4093_logic_0_52_net (
+	.A(FE_PDN19798_n),
+	.Y(FE_PDN19797_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4092_FE_OFN3867_FE_OFN18746_FE_OFN17984_n (
+	.A(FE_OFN3867_FE_OFN18746_FE_OFN17984_n),
+	.X(FE_PDN19796_FE_OFN3867_FE_OFN18746_FE_OFN17984_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4197_u_soc_u_iccm_rdata4_14 (
+	.A(u_soc_u_iccm_rdata4[14]),
+	.X(FE_PDN4197_u_soc_u_iccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4196_u_soc_u_iccm_rdata2_6 (
+	.A(u_soc_u_iccm_rdata2[6]),
+	.X(FE_PDN4196_u_soc_u_iccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
+	.A(FE_PDN3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
+	.X(FE_PDN4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
+	.A(FE_PDN4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
+	.X(FE_PDN4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
+	.A(FE_PDN3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7),
+	.X(FE_PDN4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
+	.A(FE_PDN3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
+	.X(FE_PDN4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4191_FE_OFN3865_n (
+	.A(FE_PDN3919_FE_OFN3865_n),
+	.X(FE_PDN4191_FE_OFN3865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4190_FE_OFN19280_FE_OFN19064_n (
+	.A(FE_PDN4065_FE_OFN19280_FE_OFN19064_n),
+	.X(FE_PDN4190_FE_OFN19280_FE_OFN19064_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4091_logic_0_50_net (
+	.A(FE_PDN3888_logic_0_50_net),
+	.Y(FE_PDN19795_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_PDC4090_logic_0_50_net (
+	.A(FE_PDN19795_n),
+	.Y(FE_PDN19794_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4189_FE_OFN19506_n (
+	.A(FE_PDN3878_FE_OFN19506_n),
+	.X(FE_PDN4189_FE_OFN19506_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4188_FE_OFN19507_n (
+	.A(FE_PDN3917_FE_OFN19507_n),
+	.X(FE_PDN4188_FE_OFN19507_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4187_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_PDN3916_FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PDN4187_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4186_FE_OFN19612_FE_OFN19522_n (
+	.A(FE_PDN3896_FE_OFN19612_FE_OFN19522_n),
+	.X(FE_PDN4186_FE_OFN19612_FE_OFN19522_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4185_FE_OFN19515_n (
+	.A(FE_PDN19781_FE_OFN19515_n),
+	.X(FE_PDN4185_FE_OFN19515_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_96 (
+	.DIODE(FE_PDN4602_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_95 (
+	.DIODE(FE_PDN4230_u_soc_u_iccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_94 (
+	.DIODE(FE_PDN3913_FE_OFN19014_u_soc_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_93 (
+	.DIODE(FE_PDN4196_u_soc_u_iccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_92 (
+	.DIODE(u_soc_u_dccm_rdata2[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_91 (
+	.DIODE(FE_PDN4210_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4183_n_12067 (
+	.A(n_12067),
+	.X(FE_PSN4183_n_12067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4182_n_11480 (
+	.A(FE_PSN4483_n_11480),
+	.X(FE_PSN4182_n_11480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0 (
+	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.X(FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4180_n_12808 (
+	.A(n_12808),
+	.X(FE_PSN4180_n_12808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4179_n_11516 (
+	.A(n_11516),
+	.X(FE_PSN4179_n_11516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4177_n_16001 (
+	.A(FE_PSBN19897_n_16001),
+	.X(FE_PSN4177_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
+	.X(FE_PSN4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4174_n_11555 (
+	.A(n_11555),
+	.X(FE_PSN4174_n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4173_n_12857 (
+	.A(n_12857),
+	.X(FE_PSN4173_n_12857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4172_n_12095 (
+	.A(n_12095),
+	.X(FE_PSN4172_n_12095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4171_FE_OFN18622_n_11474 (
+	.A(FE_OFN18622_n_11474),
+	.X(FE_PSN4171_FE_OFN18622_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4170_FE_OFN18454_n_15997 (
+	.A(FE_OFN18454_n_15997),
+	.X(FE_PSN4170_FE_OFN18454_n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PSC4169_FE_OFN1085_n_13078 (
+	.A(FE_OFN1085_n_13078),
+	.X(FE_PSN4169_FE_OFN1085_n_13078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4168_n_13409 (
+	.A(n_13409),
+	.X(FE_PSN4168_n_13409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4167_n_11087 (
+	.A(n_11087),
+	.X(FE_PSN4167_n_11087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4166_n_13338 (
+	.A(n_13338),
+	.X(FE_PSN4166_n_13338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4165_FE_OFN618_u_soc_u_iccm_rdata1_0 (
+	.A(FE_OFN618_u_soc_u_iccm_rdata1_0),
+	.X(FE_PSN4165_FE_OFN618_u_soc_u_iccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4164_n_15857 (
+	.A(n_15857),
+	.X(FE_PSN4164_n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32 (
+	.A(FE_PSN4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
+	.X(FE_PSN4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4161_FE_OFN18431_n_11474 (
+	.A(FE_OFN18431_n_11474),
+	.X(FE_PSN4161_FE_OFN18431_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4160_n_13101 (
+	.A(n_13101),
+	.X(FE_PSN4160_n_13101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4159_FE_OFN18575_n_15869 (
+	.A(FE_OFN18575_n_15869),
+	.X(FE_PSN4159_FE_OFN18575_n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4158_n_12782 (
+	.A(n_12782),
+	.X(FE_PSN4158_n_12782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4157_n_8331 (
+	.A(n_8331),
+	.X(FE_PSN4157_n_8331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4156_n_13429 (
+	.A(FE_PSN4363_n_13429),
+	.X(FE_PSN4156_n_13429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4155_n_13808 (
+	.A(n_13808),
+	.X(FE_PSN4155_n_13808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
+	.X(FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4153_FE_OFN18511_n_11423 (
+	.A(FE_OFN18511_n_11423),
+	.X(FE_PSN4153_FE_OFN18511_n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
+	.X(FE_PSN4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4151_n_13513 (
+	.A(n_13513),
+	.X(FE_PSN4151_n_13513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4150_n_13044 (
+	.A(n_13044),
+	.X(FE_PSN4150_n_13044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4149_FE_OFN1398_n_141 (
+	.A(FE_OFN1398_n_141),
+	.X(FE_PSN4149_FE_OFN1398_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4148_u_soc_u_top_u_core_instr_rdata_id_25 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[25]),
+	.X(FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4147_n_13407 (
+	.A(n_13407),
+	.X(FE_PSN4147_n_13407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4146_n_11593 (
+	.A(n_11593),
+	.X(FE_PSN4146_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4145_u_soc_lsu_to_xbar_a_address_22 (
+	.A(\u_soc_lsu_to_xbar[a_address] [22]),
+	.X(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4144_n_10330 (
+	.A(FE_PSN4661_n_10330),
+	.X(FE_PSN4144_n_10330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4143_FE_OFN1114_n_15868 (
+	.A(FE_OFN1114_n_15868),
+	.X(FE_PSN4143_FE_OFN1114_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4142_n_13502 (
+	.A(FE_PSN4351_n_13502),
+	.X(FE_PSN4142_n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4141_u_soc_lsu_to_xbar_a_address_27 (
+	.A(\u_soc_lsu_to_xbar[a_address] [27]),
+	.X(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4140_n_14 (
+	.A(n_14),
+	.X(FE_PSN4140_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5 (
+	.A(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.X(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127 (
+	.A(FE_PSN4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
+	.X(FE_PSN4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
+	.X(FE_PSN4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
+	.X(FE_PSN4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20 (
+	.A(FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.X(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4134_FE_OFN18552_n_15995 (
+	.A(FE_OFN18552_n_15995),
+	.X(FE_PSN4134_FE_OFN18552_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4133_u_soc_lsu_to_xbar_a_address_24 (
+	.A(\u_soc_lsu_to_xbar[a_address] [24]),
+	.X(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4132_n_13504 (
+	.A(n_13504),
+	.X(FE_PSN4132_n_13504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4131_n_13610 (
+	.A(n_13610),
+	.X(FE_PSN4131_n_13610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4130_u_soc_u_top_u_core_pc_set (
+	.A(u_soc_u_top_u_core_pc_set),
+	.X(FE_PSN4130_u_soc_u_top_u_core_pc_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4129_n_13395 (
+	.A(n_13395),
+	.X(FE_PSN4129_n_13395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208 (
+	.A(FE_PSN4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.X(FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.X(FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4126_u_soc_lsu_to_xbar_a_address_23 (
+	.A(\u_soc_lsu_to_xbar[a_address] [23]),
+	.X(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4125_n_15 (
+	.A(FE_COEN4872_n_15),
+	.X(FE_PSN4125_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4124_n_11294 (
+	.A(n_11294),
+	.X(FE_PSN4124_n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q (
+	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.X(FE_PSN4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4122_n_13362 (
+	.A(n_13362),
+	.X(FE_PSN4122_n_13362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.X(FE_PSN4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4120_n_11294 (
+	.A(FE_PSN4104_n_11294),
+	.X(FE_PSN4120_n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
+	.X(FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
+	.X(FE_PSN4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4117_n_9163 (
+	.A(n_9163),
+	.X(FE_PSN4117_n_9163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4116_FE_OFN1586_n_13424 (
+	.A(FE_PSBN19866_n_13424),
+	.X(FE_PSN4116_FE_OFN1586_n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC4115_u_soc_u_top_u_core_pc_set (
+	.A(u_soc_u_top_u_core_pc_set),
+	.X(FE_PSN4115_u_soc_u_top_u_core_pc_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30 (
+	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.X(FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q (
+	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.X(FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4112_n_10158 (
+	.A(n_10158),
+	.X(FE_PSN4112_n_10158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4111_n_10338 (
+	.A(n_10338),
+	.X(FE_PSN4111_n_10338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4110_n_10341 (
+	.A(n_10341),
+	.X(FE_PSN4110_n_10341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4109_FE_OFN1586_n_13424 (
+	.A(FE_PSBN19866_n_13424),
+	.X(FE_PSN4109_FE_OFN1586_n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
+	.X(FE_PSN4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC4106_FE_OFN618_u_soc_u_iccm_rdata1_0 (
+	.A(FE_PSN4165_FE_OFN618_u_soc_u_iccm_rdata1_0),
+	.X(FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC4104_n_11294 (
+	.A(n_11294),
+	.X(FE_PSN4104_n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4103_u_soc_lsu_to_xbar_a_address_31 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.X(FE_PSN4103_u_soc_lsu_to_xbar_a_address_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC4101_n_7253 (
+	.A(n_7253),
+	.X(FE_PSN4101_n_7253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC4100_u_soc_lsu_to_xbar_a_address_31 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.X(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287 (
+	.A(FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.X(FE_PSN4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4098_u_soc_u_dccm_rdata4_31 (
+	.A(u_soc_u_dccm_rdata4[31]),
+	.X(FE_PDN4098_u_soc_u_dccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4089_u_soc_u_dccm_rdata2_6 (
+	.A(FE_PDN4245_u_soc_u_dccm_rdata2_6),
+	.X(FE_PDN19793_u_soc_u_dccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4096_u_soc_u_dccm_rdata3_0 (
+	.A(FE_PDN4203_u_soc_u_dccm_rdata3_0),
+	.X(FE_PDN4096_u_soc_u_dccm_rdata3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4095_u_soc_u_dccm_rdata4_4 (
+	.A(FE_PDN4210_u_soc_u_dccm_rdata4_4),
+	.X(FE_PDN4095_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4094_u_soc_u_dccm_rdata3_12 (
+	.A(u_soc_u_dccm_rdata3[12]),
+	.X(FE_PDN4094_u_soc_u_dccm_rdata3_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4093_u_soc_u_dccm_rdata2_22 (
+	.A(u_soc_u_dccm_rdata2[22]),
+	.X(FE_PDN4093_u_soc_u_dccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4092_u_soc_u_iccm_rdata3_27 (
+	.A(u_soc_u_iccm_rdata3[27]),
+	.X(FE_PDN4092_u_soc_u_iccm_rdata3_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4091_u_soc_u_iccm_rdata3_9 (
+	.A(FE_PDN4240_u_soc_u_iccm_rdata3_9),
+	.X(FE_PDN4091_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4088_FE_OFN3866_FE_OFN18749_FE_OFN17983_n (
+	.A(FE_PDN3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n),
+	.X(FE_PDN19792_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4090_u_soc_u_dccm_rdata3_5 (
+	.A(u_soc_u_dccm_rdata3[5]),
+	.X(FE_PDN4090_u_soc_u_dccm_rdata3_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4089_u_soc_u_dccm_rdata3_23 (
+	.A(u_soc_u_dccm_rdata3[23]),
+	.X(FE_PDN4089_u_soc_u_dccm_rdata3_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4088_u_soc_u_dccm_rdata3_21 (
+	.A(u_soc_u_dccm_rdata3[21]),
+	.X(FE_PDN4088_u_soc_u_dccm_rdata3_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4087_u_soc_u_dccm_rdata4_31 (
+	.A(FE_PDN4098_u_soc_u_dccm_rdata4_31),
+	.X(FE_PDN4087_u_soc_u_dccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4086_u_soc_u_dccm_rdata4_21 (
+	.A(FE_PDN4610_u_soc_u_dccm_rdata4_21),
+	.X(FE_PDN4086_u_soc_u_dccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4085_u_soc_u_dccm_rdata2_3 (
+	.A(u_soc_u_dccm_rdata2[3]),
+	.X(FE_PDN4085_u_soc_u_dccm_rdata2_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
+	.A(FE_PDN4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
+	.X(FE_PDN4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4083_u_soc_u_dccm_rdata4_15 (
+	.A(u_soc_u_dccm_rdata4[15]),
+	.X(FE_PDN4083_u_soc_u_dccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4082_u_soc_u_iccm_rdata2_15 (
+	.A(u_soc_u_iccm_rdata2[15]),
+	.X(FE_PDN4082_u_soc_u_iccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4081_u_soc_u_dccm_rdata3_7 (
+	.A(u_soc_u_dccm_rdata3[7]),
+	.X(FE_PDN4081_u_soc_u_dccm_rdata3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4080_u_soc_u_dccm_rdata3_22 (
+	.A(u_soc_u_dccm_rdata3[22]),
+	.X(FE_PDN4080_u_soc_u_dccm_rdata3_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC4079_u_soc_u_iccm_rdata4_5 (
+	.A(FE_PDN4756_u_soc_u_iccm_rdata4_5),
+	.X(FE_PDN4079_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PDC4087_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
+	.A(FE_PDN4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n),
+	.Y(FE_PDN19791_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_PDC4086_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
+	.A(FE_PDN19791_n),
+	.Y(FE_PDN19790_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4078_u_soc_u_dccm_rdata2_12 (
+	.A(u_soc_u_dccm_rdata2[12]),
+	.X(FE_PDN4078_u_soc_u_dccm_rdata2_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4077_u_soc_u_dccm_rdata2_4 (
+	.A(u_soc_u_dccm_rdata2[4]),
+	.X(FE_PDN4077_u_soc_u_dccm_rdata2_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4076_u_soc_u_dccm_rdata2_30 (
+	.A(FE_PDN4602_u_soc_u_dccm_rdata2_30),
+	.X(FE_PDN4076_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4075_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4225_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN4075_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
+	.X(FE_PDN4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
+	.A(FE_PDN3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
+	.X(FE_PDN4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n (
+	.A(FE_OFN19596_FE_OFN19203_FE_OFN19077_n),
+	.X(FE_PDN4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
+	.A(FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
+	.X(FE_PDN4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4070_u_soc_u_dccm_addr1_6 (
+	.A(u_soc_u_dccm_addr1[6]),
+	.X(FE_PDN4070_u_soc_u_dccm_addr1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25),
+	.X(FE_PDN4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4068_FE_OFN1732_n (
+	.A(FE_OFN1732_n),
+	.X(FE_PDN4068_FE_OFN1732_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4067_FE_OFN579_u_soc_instr_wdata_6 (
+	.A(FE_OFN579_u_soc_instr_wdata_6),
+	.X(FE_PDN4067_FE_OFN579_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1 (
+	.A(FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1),
+	.X(FE_PDN4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4065_FE_OFN19280_FE_OFN19064_n (
+	.A(FE_OFN19280_FE_OFN19064_n),
+	.X(FE_PDN4065_FE_OFN19280_FE_OFN19064_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27 (
+	.A(FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27),
+	.X(FE_PDN4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4085_u_soc_u_dccm_rdata4_27 (
+	.A(u_soc_u_dccm_rdata4[27]),
+	.X(FE_PDN19789_u_soc_u_dccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4063_FE_OFN1264_u_soc_data_wdata_9 (
+	.A(FE_OFN1264_u_soc_data_wdata_9),
+	.X(FE_PDN4063_FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4084_u_soc_u_tcam_rdata_0 (
+	.A(u_soc_u_tcam_rdata[0]),
+	.X(FE_PDN19788_u_soc_u_tcam_rdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
+	.A(FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
+	.X(FE_PDN4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4061_u_soc_u_dccm_rdata4_13 (
+	.A(u_soc_u_dccm_rdata4[13]),
+	.X(FE_PDN4061_u_soc_u_dccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4060_u_soc_u_iccm_rdata2_15 (
+	.A(FE_PDN4082_u_soc_u_iccm_rdata2_15),
+	.X(FE_PDN4060_u_soc_u_iccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4059_u_soc_u_dccm_rdata4_15 (
+	.A(FE_PDN4083_u_soc_u_dccm_rdata4_15),
+	.X(FE_PDN4059_u_soc_u_dccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4058_u_soc_u_iccm_rdata4_14 (
+	.A(FE_PDN4197_u_soc_u_iccm_rdata4_14),
+	.X(FE_PDN4058_u_soc_u_iccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4057_u_soc_u_dccm_rdata3_7 (
+	.A(FE_PDN4081_u_soc_u_dccm_rdata3_7),
+	.X(FE_PDN4057_u_soc_u_dccm_rdata3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4056_u_soc_u_iccm_rdata3_16 (
+	.A(u_soc_u_iccm_rdata3[16]),
+	.X(FE_PDN4056_u_soc_u_iccm_rdata3_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n (
+	.A(FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n),
+	.X(FE_PDN4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_PDN4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4053_u_soc_u_iccm_rdata4_15 (
+	.A(u_soc_u_iccm_rdata4[15]),
+	.X(FE_PDN4053_u_soc_u_iccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4052_u_soc_u_iccm_rdata3_18 (
+	.A(u_soc_u_iccm_rdata3[18]),
+	.X(FE_PDN4052_u_soc_u_iccm_rdata3_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4051_u_soc_u_dccm_rdata4_0 (
+	.A(u_soc_u_dccm_rdata4[0]),
+	.X(FE_PDN4051_u_soc_u_dccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4050_u_soc_u_dccm_rdata2_3 (
+	.A(FE_PDN4085_u_soc_u_dccm_rdata2_3),
+	.X(FE_PDN4050_u_soc_u_dccm_rdata2_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4048_n_17937 (
+	.A(n_17937),
+	.X(FE_PDN4048_n_17937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4047_u_soc_u_dccm_rdata3_6 (
+	.A(u_soc_u_dccm_rdata3[6]),
+	.X(FE_PDN4047_u_soc_u_dccm_rdata3_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4046_u_soc_u_dccm_rdata4_4 (
+	.A(FE_PDN4095_u_soc_u_dccm_rdata4_4),
+	.X(FE_PDN4046_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4044_u_soc_u_dccm_rdata3_10 (
+	.A(u_soc_u_dccm_rdata3[10]),
+	.X(FE_PDN4044_u_soc_u_dccm_rdata3_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4043_u_soc_u_dccm_rdata3_23 (
+	.A(FE_PDN4089_u_soc_u_dccm_rdata3_23),
+	.X(FE_PDN4043_u_soc_u_dccm_rdata3_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
+	.A(FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
+	.X(FE_PDN4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4041_u_soc_u_iccm_rdata4_29 (
+	.A(FE_PDN4242_u_soc_u_iccm_rdata4_29),
+	.X(FE_PDN4041_u_soc_u_iccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4040_FE_OFN490_u_soc_instr_wdata_19 (
+	.A(FE_OFN490_u_soc_instr_wdata_19),
+	.X(FE_PDN4040_FE_OFN490_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4039_u_soc_u_iccm_rdata3_8 (
+	.A(FE_PDN4526_u_soc_u_iccm_rdata3_8),
+	.X(FE_PDN4039_u_soc_u_iccm_rdata3_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4038_u_soc_u_dccm_rdata4_31 (
+	.A(FE_PDN4087_u_soc_u_dccm_rdata4_31),
+	.X(FE_PDN4038_u_soc_u_dccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4037_FE_OFN561_u_soc_instr_wdata_9 (
+	.A(FE_OFN561_u_soc_instr_wdata_9),
+	.X(FE_PDN4037_FE_OFN561_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4036_u_soc_u_iccm_rdata4_11 (
+	.A(u_soc_u_iccm_rdata4[11]),
+	.X(FE_PDN4036_u_soc_u_iccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4035_u_soc_u_dccm_rdata2_4 (
+	.A(FE_PDN4077_u_soc_u_dccm_rdata2_4),
+	.X(FE_PDN4035_u_soc_u_dccm_rdata2_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4034_u_soc_u_dccm_rdata3_4 (
+	.A(FE_PDN4217_u_soc_u_dccm_rdata3_4),
+	.X(FE_PDN4034_u_soc_u_dccm_rdata3_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4032_u_soc_u_iccm_rdata4_28 (
+	.A(u_soc_u_iccm_rdata4[28]),
+	.X(FE_PDN4032_u_soc_u_iccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4083_u_soc_u_dccm_rdata2_7 (
+	.A(u_soc_u_dccm_rdata2[7]),
+	.X(FE_PDN19787_u_soc_u_dccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4031_FE_OFN19760_n (
+	.A(FE_OFN19760_n),
+	.X(FE_PDN4031_FE_OFN19760_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4030_FE_OFN437_u_soc_instr_wdata_27 (
+	.A(FE_OFN437_u_soc_instr_wdata_27),
+	.X(FE_PDN4030_FE_OFN437_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4029_u_soc_u_dccm_rdata4_14 (
+	.A(u_soc_u_dccm_rdata4[14]),
+	.X(FE_PDN4029_u_soc_u_dccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4028_u_soc_u_iccm_rdata2_0 (
+	.A(u_soc_u_iccm_rdata2[0]),
+	.X(FE_PDN4028_u_soc_u_iccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4027_io_oeb_27 (
+	.A(FE_PDN4026_io_oeb_27),
+	.X(io_oeb[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4025_u_soc_u_dccm_rdata4_21 (
+	.A(FE_PDN4086_u_soc_u_dccm_rdata4_21),
+	.X(FE_PDN4025_u_soc_u_dccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4024_FE_OFN243_u_soc_data_wdata_6 (
+	.A(FE_OFN243_u_soc_data_wdata_6),
+	.X(FE_PDN4024_FE_OFN243_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
+	.A(FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
+	.X(FE_PDN4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4022_u_soc_u_dccm_rdata3_21 (
+	.A(FE_PDN4088_u_soc_u_dccm_rdata3_21),
+	.X(FE_PDN4022_u_soc_u_dccm_rdata3_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4021_FE_OFN1253_u_soc_data_wdata_19 (
+	.A(FE_OFN1253_u_soc_data_wdata_19),
+	.X(FE_PDN4021_FE_OFN1253_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4020_u_soc_u_iccm_rdata3_3 (
+	.A(FE_PDN4232_u_soc_u_iccm_rdata3_3),
+	.X(FE_PDN4020_u_soc_u_iccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4019_u_soc_u_iccm_rdata4_9 (
+	.A(u_soc_u_iccm_rdata4[9]),
+	.X(FE_PDN4019_u_soc_u_iccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4018_u_soc_u_iccm_rdata3_0 (
+	.A(u_soc_u_iccm_rdata3[0]),
+	.X(FE_PDN4018_u_soc_u_iccm_rdata3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4017_u_soc_u_dccm_rdata3_11 (
+	.A(u_soc_u_dccm_rdata3[11]),
+	.X(FE_PDN4017_u_soc_u_dccm_rdata3_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4016_u_soc_u_iccm_rdata3_2 (
+	.A(u_soc_u_iccm_rdata3[2]),
+	.X(FE_PDN4016_u_soc_u_iccm_rdata3_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4015_u_soc_u_dccm_rdata2_5 (
+	.A(u_soc_u_dccm_rdata2[5]),
+	.X(FE_PDN4015_u_soc_u_dccm_rdata2_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4014_u_soc_u_iccm_rdata2_1 (
+	.A(FE_PDN4230_u_soc_u_iccm_rdata2_1),
+	.X(FE_PDN4014_u_soc_u_iccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_PDN4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4012_FE_OFN278_u_soc_data_wdata_1 (
+	.A(FE_OFN278_u_soc_data_wdata_1),
+	.X(FE_PDN4012_FE_OFN278_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
+	.X(FE_PDN4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4010_u_soc_u_dccm_rdata2_24 (
+	.A(FE_PDN4227_u_soc_u_dccm_rdata2_24),
+	.X(FE_PDN4010_u_soc_u_dccm_rdata2_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4009_FE_OFN1289_u_soc_instr_wdata_0 (
+	.A(FE_OFN1289_u_soc_instr_wdata_0),
+	.X(FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4008_u_soc_u_dccm_rdata2_27 (
+	.A(FE_PDN4218_u_soc_u_dccm_rdata2_27),
+	.X(FE_PDN4008_u_soc_u_dccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4007_u_soc_u_iccm_rdata3_20 (
+	.A(u_soc_u_iccm_rdata3[20]),
+	.X(FE_PDN4007_u_soc_u_iccm_rdata3_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4006_u_soc_u_iccm_rdata3_19 (
+	.A(u_soc_u_iccm_rdata3[19]),
+	.X(FE_PDN4006_u_soc_u_iccm_rdata3_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
+	.A(FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n),
+	.X(FE_PDN4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4003_FE_OFN19373_FE_OFN19261_n (
+	.A(FE_OFN19373_FE_OFN19261_n),
+	.X(FE_PDN4003_FE_OFN19373_FE_OFN19261_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4002_FE_OFN201_u_soc_data_wdata_21 (
+	.A(FE_OFN201_u_soc_data_wdata_21),
+	.X(FE_PDN4002_FE_OFN201_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4001_u_soc_u_iccm_rdata2_22 (
+	.A(u_soc_u_iccm_rdata2[22]),
+	.X(FE_PDN4001_u_soc_u_iccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC4000_u_soc_u_dccm_rdata4_8 (
+	.A(u_soc_u_dccm_rdata4[8]),
+	.X(FE_PDN4000_u_soc_u_dccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n (
+	.A(FE_OFN3866_FE_OFN18749_FE_OFN17983_n),
+	.X(FE_PDN3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3998_FE_OFN547_u_soc_instr_wdata_11 (
+	.A(FE_OFN547_u_soc_instr_wdata_11),
+	.X(FE_PDN3998_FE_OFN547_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3997_u_soc_u_iccm_rdata3_6 (
+	.A(u_soc_u_iccm_rdata3[6]),
+	.X(FE_PDN3997_u_soc_u_iccm_rdata3_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n (
+	.A(FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n),
+	.X(FE_PDN3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3994_u_soc_u_iccm_rdata3_7 (
+	.A(u_soc_u_iccm_rdata3[7]),
+	.X(FE_PDN3994_u_soc_u_iccm_rdata3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3993_u_soc_u_dccm_rdata3_22 (
+	.A(FE_PDN4080_u_soc_u_dccm_rdata3_22),
+	.X(FE_PDN3993_u_soc_u_dccm_rdata3_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_PDN3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3991_u_soc_u_dccm_rdata3_17 (
+	.A(FE_PDN4235_u_soc_u_dccm_rdata3_17),
+	.X(FE_PDN3991_u_soc_u_dccm_rdata3_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC3990_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN4214_u_soc_u_iccm_rdata4_6),
+	.X(FE_PDN3990_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
+	.A(FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n),
+	.X(FE_PDN3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3988_u_soc_u_iccm_rdata3_23 (
+	.A(u_soc_u_iccm_rdata3[23]),
+	.X(FE_PDN3988_u_soc_u_iccm_rdata3_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
+	.X(FE_PDN3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3986_u_soc_u_iccm_rdata3_11 (
+	.A(u_soc_u_iccm_rdata3[11]),
+	.X(FE_PDN3986_u_soc_u_iccm_rdata3_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3985_u_soc_u_dccm_rdata2_8 (
+	.A(u_soc_u_dccm_rdata2[8]),
+	.X(FE_PDN3985_u_soc_u_dccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
+	.A(FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
+	.X(FE_PDN3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3983_FE_OFN18665_u_soc_data_wdata_10 (
+	.A(FE_OFN18665_u_soc_data_wdata_10),
+	.X(FE_PDN3983_FE_OFN18665_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3982_u_soc_u_iccm_rdata3_9 (
+	.A(FE_PDN4091_u_soc_u_iccm_rdata3_9),
+	.X(FE_PDN3982_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3981_u_soc_u_dccm_rdata2_0 (
+	.A(u_soc_u_dccm_rdata2[0]),
+	.X(FE_PDN3981_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
+	.A(FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n),
+	.X(FE_PDN3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3979_u_soc_u_dccm_rdata4_30 (
+	.A(u_soc_u_dccm_rdata4[30]),
+	.X(FE_PDN3979_u_soc_u_dccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3978_FE_OFN530_u_soc_instr_wdata_13 (
+	.A(FE_OFN530_u_soc_instr_wdata_13),
+	.X(FE_PDN3978_FE_OFN530_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3977_u_soc_u_iccm_rdata4_5 (
+	.A(FE_PDN4079_u_soc_u_iccm_rdata4_5),
+	.X(FE_PDN3977_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3976_u_soc_u_dccm_rdata2_23 (
+	.A(FE_PDN4231_u_soc_u_dccm_rdata2_23),
+	.X(FE_PDN3976_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3975_FE_OFN19603_FE_OFN19512_n (
+	.A(FE_OFN19603_FE_OFN19512_n),
+	.X(FE_PDN3975_FE_OFN19603_FE_OFN19512_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3974_u_soc_u_dccm_rdata2_17 (
+	.A(FE_PDN19845_u_soc_u_dccm_rdata2_17),
+	.X(FE_PDN3974_u_soc_u_dccm_rdata2_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3973_u_soc_u_dccm_rdata2_18 (
+	.A(FE_PDN4224_u_soc_u_dccm_rdata2_18),
+	.X(FE_PDN3973_u_soc_u_dccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3972_u_soc_u_dccm_rdata2_11 (
+	.A(FE_PDN4529_u_soc_u_dccm_rdata2_11),
+	.X(FE_PDN3972_u_soc_u_dccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3971_FE_OFN19763_n (
+	.A(FE_OFN19763_n),
+	.X(FE_PDN3971_FE_OFN19763_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3970_u_soc_u_iccm_rdata2_11 (
+	.A(u_soc_u_iccm_rdata2[11]),
+	.X(FE_PDN3970_u_soc_u_iccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3969_u_soc_u_dccm_rdata3_19 (
+	.A(u_soc_u_dccm_rdata3[19]),
+	.X(FE_PDN3969_u_soc_u_dccm_rdata3_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1 (
+	.A(FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1),
+	.X(FE_PDN3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3967_u_soc_u_dccm_rdata2_31 (
+	.A(u_soc_u_dccm_rdata2[31]),
+	.X(FE_PDN3967_u_soc_u_dccm_rdata2_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3966_u_soc_u_dccm_rdata2_10 (
+	.A(u_soc_u_dccm_rdata2[10]),
+	.X(FE_PDN3966_u_soc_u_dccm_rdata2_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net (
+	.A(FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net),
+	.X(FE_PDN3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n (
+	.A(FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n),
+	.X(FE_PDN3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3963_u_soc_u_dccm_rdata2_13 (
+	.A(FE_PDN19805_u_soc_u_dccm_rdata2_13),
+	.X(FE_PDN3963_u_soc_u_dccm_rdata2_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3962_u_soc_u_dccm_rdata2_25 (
+	.A(FE_PDN4238_u_soc_u_dccm_rdata2_25),
+	.X(FE_PDN3962_u_soc_u_dccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3961_FE_OFN19762_n (
+	.A(FE_OFN19762_n),
+	.X(FE_PDN3961_FE_OFN19762_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3960_FE_OFN241_u_soc_data_wdata_7 (
+	.A(FE_OFN241_u_soc_data_wdata_7),
+	.X(FE_PDN3960_FE_OFN241_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3959_u_soc_u_dccm_rdata2_12 (
+	.A(FE_PDN4078_u_soc_u_dccm_rdata2_12),
+	.X(FE_PDN3959_u_soc_u_dccm_rdata2_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4082_FE_OFN19518_n (
+	.A(FE_OFN19518_n),
+	.Y(FE_PDN19786_FE_OFN19518_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC4081_FE_OFN19518_n (
+	.A(FE_PDN19786_FE_OFN19518_n),
+	.Y(FE_PDN19785_FE_OFN19518_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n (
+	.A(FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n),
+	.X(FE_PDN3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3957_FE_OFN292_u_soc_u_dccm_rdata1_28 (
+	.A(FE_OFN292_u_soc_u_dccm_rdata1_28),
+	.X(FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3956_u_soc_u_dccm_addr1_5 (
+	.A(u_soc_u_dccm_addr1[5]),
+	.X(FE_PDN3956_u_soc_u_dccm_addr1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3955_FE_OFN19025_io_oeb_35 (
+	.A(FE_OFN19025_io_oeb_35),
+	.X(FE_PDN3955_FE_OFN19025_io_oeb_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27 (
+	.A(FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27),
+	.X(FE_PDN3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3953_n_17939 (
+	.A(n_17939),
+	.X(FE_PDN3953_n_17939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3952_u_soc_u_dccm_rdata2_14 (
+	.A(u_soc_u_dccm_rdata2[14]),
+	.X(FE_PDN3952_u_soc_u_dccm_rdata2_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3951_u_soc_u_dccm_rdata2_16 (
+	.A(u_soc_u_dccm_rdata2[16]),
+	.X(FE_PDN3951_u_soc_u_dccm_rdata2_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3950_u_soc_u_dccm_rdata2_9 (
+	.A(u_soc_u_dccm_rdata2[9]),
+	.X(FE_PDN3950_u_soc_u_dccm_rdata2_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3949_u_soc_u_dccm_rdata2_21 (
+	.A(u_soc_u_dccm_rdata2[21]),
+	.X(FE_PDN3949_u_soc_u_dccm_rdata2_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3948_n_17938 (
+	.A(n_17938),
+	.X(FE_PDN3948_n_17938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n (
+	.A(FE_OFN19579_FE_OFN19283_FE_OFN19181_n),
+	.X(FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3946_u_soc_u_dccm_rdata2_15 (
+	.A(FE_PDN4220_u_soc_u_dccm_rdata2_15),
+	.X(FE_PDN3946_u_soc_u_dccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5),
+	.X(FE_PDN3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC4080_FE_OFN19520_n (
+	.A(FE_OFN19520_n),
+	.Y(FE_PDN19784_FE_OFN19520_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_PDC4079_FE_OFN19520_n (
+	.A(FE_PDN19784_FE_OFN19520_n),
+	.Y(FE_PDN19783_FE_OFN19520_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3944_u_soc_u_dccm_rdata2_26 (
+	.A(FE_PDN4221_u_soc_u_dccm_rdata2_26),
+	.X(FE_PDN3944_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
+	.A(FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
+	.X(FE_PDN3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3942_FE_OFN18768_FE_OFN1126_u_soc_data_we (
+	.A(FE_OFN18768_FE_OFN1126_u_soc_data_we),
+	.X(FE_PDN3942_FE_OFN18768_FE_OFN1126_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3941_n_17941 (
+	.A(n_17941),
+	.X(FE_PDN3941_n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3940_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN4075_u_soc_u_dccm_rdata2_2),
+	.X(FE_PDN3940_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3939_u_soc_u_dccm_rdata2_19 (
+	.A(u_soc_u_dccm_rdata2[19]),
+	.X(FE_PDN3939_u_soc_u_dccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3938_FE_OFN512_u_soc_instr_wdata_16 (
+	.A(FE_OFN512_u_soc_instr_wdata_16),
+	.X(FE_PDN3938_FE_OFN512_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3937_n_17940 (
+	.A(n_17940),
+	.X(FE_PDN3937_n_17940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3936_FE_OFN19521_n (
+	.A(FE_OFN19521_n),
+	.X(FE_PDN3936_FE_OFN19521_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3935_u_soc_u_dccm_rdata4_24 (
+	.A(u_soc_u_dccm_rdata4[24]),
+	.X(FE_PDN3935_u_soc_u_dccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3934_u_soc_u_dccm_rdata2_29 (
+	.A(u_soc_u_dccm_rdata2[29]),
+	.X(FE_PDN3934_u_soc_u_dccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3933_FE_OFN580_u_soc_instr_wdata_3 (
+	.A(FE_OFN580_u_soc_instr_wdata_3),
+	.X(FE_PDN3933_FE_OFN580_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3932_FE_OFN184_u_soc_data_wdata_29 (
+	.A(FE_OFN184_u_soc_data_wdata_29),
+	.X(FE_PDN3932_FE_OFN184_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3931_u_soc_u_dccm_rdata2_30 (
+	.A(FE_PDN4076_u_soc_u_dccm_rdata2_30),
+	.X(FE_PDN3931_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3930_FE_OFN253_u_soc_data_wdata_5 (
+	.A(FE_OFN253_u_soc_data_wdata_5),
+	.X(FE_PDN3930_FE_OFN253_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3929_u_soc_u_dccm_rdata2_22 (
+	.A(FE_PDN4093_u_soc_u_dccm_rdata2_22),
+	.X(FE_PDN3929_u_soc_u_dccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3928_FE_OFN19605_FE_OFN19509_n (
+	.A(FE_OFN19605_FE_OFN19509_n),
+	.X(FE_PDN3928_FE_OFN19605_FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4078_u_soc_u_dccm_rdata2_28 (
+	.A(u_soc_u_dccm_rdata2[28]),
+	.X(FE_PDN19782_u_soc_u_dccm_rdata2_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
+	.A(FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
+	.X(FE_PDN3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3925_FE_OFN1285_u_soc_instr_wdata_1 (
+	.A(FE_OFN1285_u_soc_instr_wdata_1),
+	.X(FE_PDN3925_FE_OFN1285_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC3924_u_soc_u_dccm_rdata2_20 (
+	.A(u_soc_u_dccm_rdata2[20]),
+	.X(FE_PDN3924_u_soc_u_dccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3923_logic_0_49_net (
+	.A(logic_0_49_net),
+	.X(FE_PDN3923_logic_0_49_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
+	.A(FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7),
+	.X(FE_PDN3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3920_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN1237_u_soc_data_wdata_25),
+	.X(FE_PDN3920_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3919_FE_OFN3865_n (
+	.A(FE_OFN3865_n),
+	.X(FE_PDN3919_FE_OFN3865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3918_u_soc_u_dccm_rdata4_9 (
+	.A(u_soc_u_dccm_rdata4[9]),
+	.X(FE_PDN3918_u_soc_u_dccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3917_FE_OFN19507_n (
+	.A(FE_OFN19507_n),
+	.X(FE_PDN3917_FE_OFN19507_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3916_FE_OFN19592_FE_OFN19511_n (
+	.A(FE_OFN19592_FE_OFN19511_n),
+	.X(FE_PDN3916_FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3915_FE_OFN18972_io_oeb_36 (
+	.A(FE_OFN18972_io_oeb_36),
+	.X(FE_PDN3915_FE_OFN18972_io_oeb_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3914_FE_OFN18694_u_soc_data_wdata_13 (
+	.A(FE_OFN18694_u_soc_data_wdata_13),
+	.X(FE_PDN3914_FE_OFN18694_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3913_FE_OFN19014_u_soc_data_addr_6 (
+	.A(FE_OFN19014_u_soc_data_addr_6),
+	.X(FE_PDN3913_FE_OFN19014_u_soc_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3912_logic_0_61_net (
+	.A(logic_0_61_net),
+	.X(FE_PDN3912_logic_0_61_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC3911_u_soc_u_iccm_rdata4_8 (
+	.A(u_soc_u_iccm_rdata4[8]),
+	.X(FE_PDN3911_u_soc_u_iccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3910_FE_OFN539_u_soc_instr_wdata_12 (
+	.A(FE_OFN539_u_soc_instr_wdata_12),
+	.X(FE_PDN3910_FE_OFN539_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC3909_u_soc_u_iccm_rdata2_29 (
+	.A(FE_PDN4233_u_soc_u_iccm_rdata2_29),
+	.X(FE_PDN3909_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3908_u_soc_u_dccm_addr1_0 (
+	.A(u_soc_u_dccm_addr1[0]),
+	.X(FE_PDN3908_u_soc_u_dccm_addr1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3907_io_oeb_24 (
+	.A(FE_PDN3906_io_oeb_24),
+	.X(FE_PDN4737_io_oeb_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3905_FE_OFN18873_FE_OFN1125_u_soc_data_we (
+	.A(FE_OFN18873_FE_OFN1125_u_soc_data_we),
+	.X(FE_PDN3905_FE_OFN18873_FE_OFN1125_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3904_FE_OFN18671_u_soc_data_wdata_11 (
+	.A(FE_OFN18671_u_soc_data_wdata_11),
+	.X(FE_PDN3904_FE_OFN18671_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PDC3903_FE_OFN214_u_soc_data_wdata_17 (
+	.A(FE_OFN214_u_soc_data_wdata_17),
+	.X(FE_PDN3903_FE_OFN214_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3902_io_in_27 (
+	.A(io_in[27]),
+	.X(FE_PDN3902_io_in_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3901_io_in_29 (
+	.A(io_in[29]),
+	.X(FE_PDN3901_io_in_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3900_logic_0_66_net (
+	.A(logic_0_66_net),
+	.X(FE_PDN3900_logic_0_66_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3899_FE_OFN172_u_soc_data_wdata_31 (
+	.A(FE_OFN172_u_soc_data_wdata_31),
+	.X(FE_PDN3899_FE_OFN172_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
+	.A(FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
+	.X(FE_PDN3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n (
+	.A(FE_OFN19597_FE_OFN19310_FE_OFN19076_n),
+	.X(FE_PDN3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3896_FE_OFN19612_FE_OFN19522_n (
+	.A(FE_OFN19612_FE_OFN19522_n),
+	.X(FE_PDN3896_FE_OFN19612_FE_OFN19522_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3895_FE_OFN19510_n (
+	.A(FE_OFN19510_n),
+	.X(FE_PDN3895_FE_OFN19510_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3894_FE_OFN19600_FE_OFN19523_n (
+	.A(FE_OFN19600_FE_OFN19523_n),
+	.X(FE_PDN3894_FE_OFN19600_FE_OFN19523_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3893_FE_OFN19610_FE_OFN19514_n (
+	.A(FE_OFN19610_FE_OFN19514_n),
+	.X(FE_PDN3893_FE_OFN19610_FE_OFN19514_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3892_FE_OFN18779_FE_OFN868_logic_0_54_net (
+	.A(FE_OFN18779_FE_OFN868_logic_0_54_net),
+	.X(FE_PDN3892_FE_OFN18779_FE_OFN868_logic_0_54_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26 (
+	.A(FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26),
+	.X(FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3890_FE_OFN569_u_soc_instr_wdata_7 (
+	.A(FE_OFN569_u_soc_instr_wdata_7),
+	.X(FE_PDN3890_FE_OFN569_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3889_FE_OFN19604_FE_OFN19513_n (
+	.A(FE_OFN19604_FE_OFN19513_n),
+	.X(FE_PDN3889_FE_OFN19604_FE_OFN19513_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3888_logic_0_50_net (
+	.A(logic_0_50_net),
+	.X(FE_PDN3888_logic_0_50_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3887_io_in_26 (
+	.A(io_in[26]),
+	.X(FE_PDN3887_io_in_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3886_FE_OFN19594_FE_OFN19517_n (
+	.A(FE_OFN19594_FE_OFN19517_n),
+	.X(FE_PDN3886_FE_OFN19594_FE_OFN19517_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4077_FE_OFN19515_n (
+	.A(FE_OFN19515_n),
+	.X(FE_PDN19781_FE_OFN19515_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4 (
+	.A(FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4),
+	.X(FE_PDN3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3884_n_17984 (
+	.A(n_17984),
+	.X(FE_PDN3884_n_17984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3883_FE_OFN576_u_soc_instr_wdata_6 (
+	.A(FE_OFN576_u_soc_instr_wdata_6),
+	.X(FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3882_n_17985 (
+	.A(n_17985),
+	.X(FE_PDN3882_n_17985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3881_FE_OFN1127_u_soc_data_we (
+	.A(FE_OFN1127_u_soc_data_we),
+	.X(FE_PDN3881_FE_OFN1127_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3880_FE_OFN19519_n (
+	.A(FE_OFN19519_n),
+	.X(FE_PDN3880_FE_OFN19519_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0 (
+	.A(FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0),
+	.X(FE_PDN3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3878_FE_OFN19506_n (
+	.A(FE_OFN19506_n),
+	.X(FE_PDN3878_FE_OFN19506_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3877_logic_0_71_net (
+	.A(logic_0_71_net),
+	.X(FE_PDN3877_logic_0_71_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3876_logic_0_52_net (
+	.A(logic_0_52_net),
+	.X(FE_PDN3876_logic_0_52_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
+	.A(FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
+	.X(FE_PDN3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3874_FE_OFN701_u_soc_u_tcam_rdata_5 (
+	.A(FE_OFN701_u_soc_u_tcam_rdata_5),
+	.X(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4076_logic_0_56_net (
+	.A(logic_0_56_net),
+	.X(FE_PDN19780_logic_0_56_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC4075_FE_OFN19516_n (
+	.A(FE_OFN19516_n),
+	.X(FE_PDN19779_FE_OFN19516_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3872_FE_OFN1249_u_soc_data_wdata_22 (
+	.A(FE_OFN1249_u_soc_data_wdata_22),
+	.X(FE_PDN3872_FE_OFN1249_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3871_logic_0_63_net (
+	.A(logic_0_63_net),
+	.X(FE_PDN3871_logic_0_63_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3870_logic_0_48_net (
+	.A(logic_0_48_net),
+	.X(FE_PDN3870_logic_0_48_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_PDC3869_FE_OFN19281_FE_OFN19059_n (
+	.A(FE_OFN19281_FE_OFN19059_n),
+	.X(FE_PDN3869_FE_OFN19281_FE_OFN19059_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
+	.A(FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
+	.X(FE_PDN3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_89 (
+	.DIODE(FE_PSN4553_u_soc_data_addr_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_88 (
+	.DIODE(FE_OFN1281_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_87 (
+	.DIODE(rx), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_86 (
+	.DIODE(FE_OFN451_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_85 (
+	.DIODE(FE_OFN506_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_84 (
+	.DIODE(FE_OFN19317_u_soc_data_addr_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_83 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_82 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_81 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_80 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_79 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_78 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_77 (
+	.DIODE(FE_PHN4711_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_76 (
+	.DIODE(FE_PHN4711_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_75 (
+	.DIODE(FE_PHN4711_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_74 (
+	.DIODE(FE_PHN4711_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_73 (
+	.DIODE(io_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_72 (
+	.DIODE(io_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_71 (
+	.DIODE(io_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_70 (
+	.DIODE(io_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_69 (
+	.DIODE(io_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_68 (
+	.DIODE(FE_OFN170_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_67 (
+	.DIODE(FE_OFN293_u_soc_u_dccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_66 (
+	.DIODE(FE_OFN197_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_65 (
+	.DIODE(FE_OFN309_u_soc_u_dccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_64 (
+	.DIODE(FE_OFN610_u_soc_u_iccm_rdata1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_63 (
+	.DIODE(FE_OFN612_u_soc_u_iccm_rdata1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_62 (
+	.DIODE(FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_61 (
+	.DIODE(FE_OFN299_u_soc_u_dccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_60 (
+	.DIODE(FE_OFN320_u_soc_u_dccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_59 (
+	.DIODE(FE_OFN310_u_soc_u_dccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_58 (
+	.DIODE(FE_OFN598_u_soc_u_iccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_57 (
+	.DIODE(FE_OFN305_u_soc_u_dccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_56 (
+	.DIODE(FE_OFN595_u_soc_u_iccm_rdata1_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_55 (
+	.DIODE(FE_OFN205_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_54 (
+	.DIODE(FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_53 (
+	.DIODE(FE_OFN302_u_soc_u_dccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_52 (
+	.DIODE(FE_OFN313_u_soc_u_dccm_rdata1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_51 (
+	.DIODE(FE_OFN307_u_soc_u_dccm_rdata1_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_50 (
+	.DIODE(FE_OFN303_u_soc_u_dccm_rdata1_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_49 (
+	.DIODE(FE_OFN297_u_soc_u_dccm_rdata1_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_48 (
+	.DIODE(FE_OFN301_u_soc_u_dccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_47 (
+	.DIODE(FE_OFN300_u_soc_u_dccm_rdata1_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_46 (
+	.DIODE(FE_OFN304_u_soc_u_dccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_45 (
+	.DIODE(FE_OFN312_u_soc_u_dccm_rdata1_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_44 (
+	.DIODE(FE_OFN306_u_soc_u_dccm_rdata1_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_43 (
+	.DIODE(FE_OFN314_u_soc_u_dccm_rdata1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_42 (
+	.DIODE(FE_OFN592_u_soc_u_iccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_41 (
+	.DIODE(FE_OFN311_u_soc_u_dccm_rdata1_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_40 (
+	.DIODE(FE_OFN590_u_soc_u_iccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_39 (
+	.DIODE(FE_OFN308_u_soc_u_dccm_rdata1_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_38 (
+	.DIODE(FE_OFN19572_FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_37 (
+	.DIODE(logic_0_54_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_36 (
+	.DIODE(FE_OFN315_u_soc_u_dccm_rdata1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_35 (
+	.DIODE(FE_OFN1737_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_34 (
+	.DIODE(FE_OFN593_u_soc_u_iccm_rdata1_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_33 (
+	.DIODE(FE_OFN317_u_soc_u_dccm_rdata1_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_32 (
+	.DIODE(FE_OFN588_u_soc_u_iccm_rdata1_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_31 (
+	.DIODE(FE_OFN319_u_soc_u_dccm_rdata1_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_30 (
+	.DIODE(FE_OFN1126_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_29 (
+	.DIODE(FE_OFN19128_u_soc_u_iccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_28 (
+	.DIODE(FE_OFN19122_u_soc_u_dccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_27 (
+	.DIODE(FE_OFN19458_u_soc_u_iccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_26 (
+	.DIODE(FE_OFN19130_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_25 (
+	.DIODE(FE_OFN19113_u_soc_u_dccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_24 (
+	.DIODE(FE_OFN19435_u_soc_u_dccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_23 (
+	.DIODE(FE_OFN19422_u_soc_u_dccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_22 (
+	.DIODE(FE_OFN19115_u_soc_u_dccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_21 (
+	.DIODE(FE_OFN19124_u_soc_u_dccm_rdata4_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_20 (
+	.DIODE(FE_OFN19163_u_soc_u_dccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_19 (
+	.DIODE(FE_OFN18967_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_18 (
+	.DIODE(FE_OFN19165_u_soc_u_iccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_17 (
+	.DIODE(FE_OFN19462_u_soc_u_iccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_16 (
+	.DIODE(FE_OFN19319_u_soc_data_addr_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_15 (
+	.DIODE(n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_14 (
+	.DIODE(FE_OFN19489_u_soc_u_dccm_rdata4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_13 (
+	.DIODE(n_2347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_12 (
+	.DIODE(n_10924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_11 (
+	.DIODE(n_10926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_10 (
+	.DIODE(n_10925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_9 (
+	.DIODE(FE_PDN3931_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_8 (
+	.DIODE(n_10927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_7 (
+	.DIODE(FE_PDN3924_u_soc_u_dccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_6 (
+	.DIODE(FE_OFN439_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_5 (
+	.DIODE(FE_PDN3939_u_soc_u_dccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_4 (
+	.DIODE(FE_PDN3959_u_soc_u_dccm_rdata2_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_3 (
+	.DIODE(FE_PDN3918_u_soc_u_dccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_2 (
+	.DIODE(FE_PDN3940_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_1 (
+	.DIODE(FE_PDN4046_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4074_FE_OFN19480_FE_OFN19271_n (
+	.A(FE_OFN19631_FE_OFN19480_FE_OFN19271_n),
+	.X(FE_OFN19778_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4073_n (
+	.A(FE_OFN19737_n),
+	.X(FE_OFN19777_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4072_n (
+	.A(FE_OFN19741_n),
+	.X(FE_OFN19776_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4071_n (
+	.A(FE_OFN19743_n),
+	.X(FE_OFN19775_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4070_FE_OFN19486_FE_OFN19265_n (
+	.A(FE_OFN19633_FE_OFN19486_FE_OFN19265_n),
+	.X(FE_OFN19774_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4069_n (
+	.A(FE_OFN19733_n),
+	.X(FE_OFN19773_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4068_n (
+	.A(FE_OFN19735_n),
+	.X(FE_OFN19772_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4067_n (
+	.A(FE_OFN19739_n),
+	.X(FE_OFN19771_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4066_n (
+	.A(FE_OFN19745_n),
+	.X(FE_OFN19770_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4065_FE_OFN19472_FE_OFN19277_n (
+	.A(FE_OFN19625_FE_OFN19472_FE_OFN19277_n),
+	.X(FE_OFN19769_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4064_FE_OFN19469_FE_OFN19266_n (
+	.A(FE_OFN19627_FE_OFN19469_FE_OFN19266_n),
+	.X(FE_OFN19768_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4063_n (
+	.A(FE_OFN19747_n),
+	.X(FE_OFN19767_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4062_n (
+	.A(FE_OFN19749_n),
+	.X(FE_OFN19766_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4061_u_soc_u_iccm_rdata3_26 (
+	.A(u_soc_u_iccm_rdata3[26]),
+	.X(FE_OFN19765_u_soc_u_iccm_rdata3_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4060_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19576_FE_OFN19332_FE_OFN19262_n),
+	.X(FE_OFN19764_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4059_FE_OFN3537_n (
+	.A(FE_OFN19621_FE_OFN3537_n),
+	.X(FE_OFN19763_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4058_FE_OFN3537_n (
+	.A(FE_OFN19621_FE_OFN3537_n),
+	.X(FE_OFN19762_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4057_FE_OFN3537_n (
+	.A(FE_OFN19621_FE_OFN3537_n),
+	.X(FE_OFN19761_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4056_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19573_FE_OFN19332_FE_OFN19262_n),
+	.X(FE_OFN19760_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4055_FE_OFN19154_n (
+	.A(FE_OFN19477_FE_OFN19154_n),
+	.X(FE_OFN19759_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4054_FE_OFN19141_n (
+	.A(FE_OFN19478_FE_OFN19141_n),
+	.X(FE_OFN19758_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4053_FE_OFN19161_n (
+	.A(FE_OFN19246_FE_OFN19161_n),
+	.X(FE_OFN19757_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4052_FE_OFN19156_n (
+	.A(FE_OFN19247_FE_OFN19156_n),
+	.X(FE_OFN19756_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4051_n (
+	.A(FE_OFN19527_n),
+	.X(FE_OFN19755_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4050_n (
+	.A(FE_OFN19531_n),
+	.X(FE_OFN19754_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4049_FE_OFN19131_n (
+	.A(FE_OFN19243_FE_OFN19131_n),
+	.X(FE_OFN19753_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4048_n (
+	.A(FE_OFN19529_n),
+	.X(FE_OFN19752_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4047_n (
+	.A(FE_OFN19526_n),
+	.X(FE_OFN19751_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4046_n (
+	.A(FE_OFN19530_n),
+	.X(FE_OFN19750_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4045_FE_OFN19268_n (
+	.A(FE_OFN19748_n),
+	.X(FE_OFN19749_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4044_FE_OFN19268_n (
+	.A(FE_OFN19485_FE_OFN19268_n),
+	.X(FE_OFN19748_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4043_FE_OFN19267_n (
+	.A(FE_OFN19746_n),
+	.X(FE_OFN19747_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4042_FE_OFN19267_n (
+	.A(FE_OFN19450_FE_OFN19267_n),
+	.X(FE_OFN19746_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4041_FE_OFN19273_n (
+	.A(FE_OFN19744_n),
+	.X(FE_OFN19745_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4040_FE_OFN19273_n (
+	.A(FE_OFN19484_FE_OFN19273_n),
+	.X(FE_OFN19744_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4039_FE_OFN19276_n (
+	.A(FE_OFN19742_n),
+	.X(FE_OFN19743_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4038_FE_OFN19276_n (
+	.A(FE_OFN19479_FE_OFN19276_n),
+	.X(FE_OFN19742_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4037_FE_OFN19275_n (
+	.A(FE_OFN19740_n),
+	.X(FE_OFN19741_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4036_FE_OFN19275_n (
+	.A(FE_OFN19473_FE_OFN19275_n),
+	.X(FE_OFN19740_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4035_FE_OFN19270_n (
+	.A(FE_OFN19738_n),
+	.X(FE_OFN19739_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4034_FE_OFN19270_n (
+	.A(FE_OFN19451_FE_OFN19270_n),
+	.X(FE_OFN19738_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4033_FE_OFN19272_n (
+	.A(FE_OFN19736_n),
+	.X(FE_OFN19737_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4032_FE_OFN19272_n (
+	.A(FE_OFN19475_FE_OFN19272_n),
+	.X(FE_OFN19736_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4031_FE_OFN19269_n (
+	.A(FE_OFN19734_n),
+	.X(FE_OFN19735_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4030_FE_OFN19269_n (
+	.A(FE_OFN19476_FE_OFN19269_n),
+	.X(FE_OFN19734_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC4029_FE_OFN19274_n (
+	.A(FE_OFN19732_n),
+	.X(FE_OFN19733_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC4028_FE_OFN19274_n (
+	.A(FE_OFN19474_FE_OFN19274_n),
+	.X(FE_OFN19732_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4027_FE_OFN1702_n (
+	.A(FE_OFN18754_FE_OFN1702_n),
+	.X(FE_OFN19731_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC4026_FE_OFN537_u_soc_instr_wdata_12 (
+	.A(FE_OFN537_u_soc_instr_wdata_12),
+	.X(FE_OFN19730_FE_OFN537_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4025_n_17982 (
+	.A(n_17982),
+	.X(FE_OFN19729_n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4024_n_2043 (
+	.A(n_2043),
+	.X(FE_OFN19728_n_2043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4023_FE_OFN18696_u_soc_data_wdata_13 (
+	.A(FE_OFN18696_u_soc_data_wdata_13),
+	.X(FE_OFN19727_FE_OFN18696_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4022_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
+	.X(FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4021_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net (
+	.A(FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net),
+	.X(FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4020_FE_OFN19436_FE_OFN18910_FE_OFN17986_n (
+	.A(FE_OFN19436_FE_OFN18910_FE_OFN17986_n),
+	.X(FE_OFN19724_FE_OFN19436_FE_OFN18910_FE_OFN17986_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4019_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
+	.A(FE_OFN19444_FE_OFN18998_FE_OFN17988_n),
+	.X(FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4018_FE_OFN19430_FE_OFN18907_FE_OFN17999_n (
+	.A(FE_OFN19721_FE_OFN19430_FE_OFN18907_FE_OFN17999_n),
+	.X(FE_OFN19722_FE_OFN19430_FE_OFN18907_FE_OFN17999_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC4017_FE_OFN19430_FE_OFN18907_FE_OFN17999_n (
+	.A(FE_OFN19430_FE_OFN18907_FE_OFN17999_n),
+	.X(FE_OFN19721_FE_OFN19430_FE_OFN18907_FE_OFN17999_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4016_u_soc_u_iccm_rdata4_30 (
+	.A(u_soc_u_iccm_rdata4[30]),
+	.X(FE_OFN19720_u_soc_u_iccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4015_u_soc_u_dccm_rdata4_2 (
+	.A(FE_PDN4604_u_soc_u_dccm_rdata4_2),
+	.X(FE_OFN19719_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4014_FE_OFN237_u_soc_data_wdata_7 (
+	.A(FE_OFN237_u_soc_data_wdata_7),
+	.X(FE_OFN19718_FE_OFN237_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4013_u_soc_u_iccm_rdata4_27 (
+	.A(u_soc_u_iccm_rdata4[27]),
+	.X(FE_OFN19717_u_soc_u_iccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4012_u_soc_u_iccm_rdata4_25 (
+	.A(u_soc_u_iccm_rdata4[25]),
+	.X(FE_OFN19716_u_soc_u_iccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4011_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
+	.X(FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4010_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
+	.X(FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4009_u_soc_data_wdata_28 (
+	.A(u_soc_data_wdata[28]),
+	.X(FE_OFN19713_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4008_u_soc_u_dccm_rdata4_4 (
+	.A(FE_PDN4046_u_soc_u_dccm_rdata4_4),
+	.X(FE_OFN19712_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4007_FE_OFN19245_FE_OFN19152_n (
+	.A(FE_OFN19245_FE_OFN19152_n),
+	.X(FE_OFN19711_FE_OFN19245_FE_OFN19152_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4006_u_soc_instr_addr_0 (
+	.A(u_soc_instr_addr[0]),
+	.X(FE_OFN19710_u_soc_instr_addr_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4005_u_soc_u_dccm_rdata3_4 (
+	.A(FE_PDN4034_u_soc_u_dccm_rdata3_4),
+	.X(FE_OFN19709_u_soc_u_dccm_rdata3_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4004_u_soc_u_dccm_rdata3_3 (
+	.A(FE_PDN4241_u_soc_u_dccm_rdata3_3),
+	.X(FE_OFN19708_u_soc_u_dccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4003_FE_OFN19244_FE_OFN19155_n (
+	.A(FE_OFN19244_FE_OFN19155_n),
+	.X(FE_OFN19707_FE_OFN19244_FE_OFN19155_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4002_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
+	.A(FE_OFN19393_FE_OFN18782_FE_OFN17992_n),
+	.X(FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC4001_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC4000_u_soc_u_dccm_rdata3_0 (
+	.A(FE_PDN4096_u_soc_u_dccm_rdata3_0),
+	.X(FE_OFN19704_u_soc_u_dccm_rdata3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3999_u_soc_u_iccm_rdata4_18 (
+	.A(u_soc_u_iccm_rdata4[18]),
+	.X(FE_OFN19703_u_soc_u_iccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3998_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
+	.A(FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
+	.X(FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3997_u_soc_u_dccm_rdata4_10 (
+	.A(FE_PDN4609_u_soc_u_dccm_rdata4_10),
+	.X(FE_OFN19701_u_soc_u_dccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3996_u_soc_u_dccm_rdata3_5 (
+	.A(FE_PDN4090_u_soc_u_dccm_rdata3_5),
+	.X(FE_OFN19700_u_soc_u_dccm_rdata3_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3995_u_soc_u_iccm_rdata4_28 (
+	.A(FE_PDN4032_u_soc_u_iccm_rdata4_28),
+	.X(FE_OFN19699_u_soc_u_iccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3994_FE_OFN19528_n (
+	.A(FE_OFN19528_n),
+	.X(FE_OFN19698_FE_OFN19528_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3993_u_soc_u_dccm_rdata4_6 (
+	.A(u_soc_u_dccm_rdata4[6]),
+	.X(FE_OFN19697_u_soc_u_dccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3992_u_soc_u_dccm_rdata4_11 (
+	.A(u_soc_u_dccm_rdata4[11]),
+	.X(FE_OFN19696_u_soc_u_dccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3991_u_soc_u_iccm_rdata4_22 (
+	.A(u_soc_u_iccm_rdata4[22]),
+	.X(FE_OFN19695_u_soc_u_iccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3990_u_soc_u_dccm_rdata4_7 (
+	.A(u_soc_u_dccm_rdata4[7]),
+	.X(FE_OFN19694_u_soc_u_dccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3989_u_soc_u_dccm_rdata2_1 (
+	.A(u_soc_u_dccm_rdata2[1]),
+	.X(FE_OFN19693_u_soc_u_dccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3988_u_soc_u_dccm_rdata4_0 (
+	.A(FE_PDN4051_u_soc_u_dccm_rdata4_0),
+	.X(FE_OFN19692_u_soc_u_dccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3987_FE_OFN1281_u_soc_instr_wdata_2 (
+	.A(FE_OFN1281_u_soc_instr_wdata_2),
+	.X(FE_OFN19691_FE_OFN1281_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3986_u_soc_u_dccm_rdata3_1 (
+	.A(u_soc_u_dccm_rdata3[1]),
+	.X(FE_OFN19690_u_soc_u_dccm_rdata3_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3985_u_soc_u_iccm_rdata3_30 (
+	.A(u_soc_u_iccm_rdata3[30]),
+	.X(FE_OFN19689_u_soc_u_iccm_rdata3_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3984_u_soc_u_iccm_rdata3_21 (
+	.A(u_soc_u_iccm_rdata3[21]),
+	.X(FE_OFN19688_u_soc_u_iccm_rdata3_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3983_u_soc_u_iccm_rdata3_17 (
+	.A(u_soc_u_iccm_rdata3[17]),
+	.X(FE_OFN19687_u_soc_u_iccm_rdata3_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3982_FE_OFN19532_n (
+	.A(FE_OFN19532_n),
+	.X(FE_OFN19686_FE_OFN19532_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3981_u_soc_u_dccm_rdata4_13 (
+	.A(FE_PDN4061_u_soc_u_dccm_rdata4_13),
+	.X(FE_OFN19685_u_soc_u_dccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3980_u_soc_u_dccm_rdata4_5 (
+	.A(FE_PDN19809_u_soc_u_dccm_rdata4_5),
+	.X(FE_OFN19684_u_soc_u_dccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3979_u_soc_u_iccm_rdata2_26 (
+	.A(u_soc_u_iccm_rdata2[26]),
+	.X(FE_OFN19683_u_soc_u_iccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3978_u_soc_u_iccm_rdata4_26 (
+	.A(u_soc_u_iccm_rdata4[26]),
+	.X(FE_OFN19682_u_soc_u_iccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3977_FE_OFN19242_FE_OFN19168_n (
+	.A(FE_OFN19242_FE_OFN19168_n),
+	.X(FE_OFN19681_FE_OFN19242_FE_OFN19168_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3976_n_5953 (
+	.A(n_5953),
+	.X(FE_OFN19680_n_5953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3975_u_soc_u_iccm_rdata4_15 (
+	.A(FE_PDN4053_u_soc_u_iccm_rdata4_15),
+	.X(FE_OFN19679_u_soc_u_iccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3974_u_soc_u_iccm_rdata3_29 (
+	.A(FE_PDN4243_u_soc_u_iccm_rdata3_29),
+	.X(FE_OFN19678_u_soc_u_iccm_rdata3_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3973_u_soc_u_dccm_rdata4_19 (
+	.A(FE_PDN4605_u_soc_u_dccm_rdata4_19),
+	.X(FE_OFN19677_u_soc_u_dccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3972_u_soc_u_iccm_rdata4_11 (
+	.A(FE_PDN4036_u_soc_u_iccm_rdata4_11),
+	.X(FE_OFN19676_u_soc_u_iccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3971_u_soc_u_iccm_rdata4_5 (
+	.A(FE_PDN3977_u_soc_u_iccm_rdata4_5),
+	.X(FE_OFN19675_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3970_u_soc_u_iccm_rdata4_7 (
+	.A(u_soc_u_iccm_rdata4[7]),
+	.X(FE_OFN19674_u_soc_u_iccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3969_u_soc_u_iccm_rdata4_8 (
+	.A(FE_PDN3911_u_soc_u_iccm_rdata4_8),
+	.X(FE_OFN19673_u_soc_u_iccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3968_u_soc_u_iccm_rdata4_9 (
+	.A(FE_PDN4019_u_soc_u_iccm_rdata4_9),
+	.X(FE_OFN19672_u_soc_u_iccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3967_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
+	.A(FE_OFN19428_FE_OFN18844_FE_OFN17995_n),
+	.X(FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3966_u_soc_u_dccm_rdata4_18 (
+	.A(u_soc_u_dccm_rdata4[18]),
+	.X(FE_OFN19670_u_soc_u_dccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3965_u_soc_u_iccm_rdata2_29 (
+	.A(FE_PDN3909_u_soc_u_iccm_rdata2_29),
+	.X(FE_OFN19669_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3964_u_soc_u_dccm_rdata4_24 (
+	.A(FE_PDN3935_u_soc_u_dccm_rdata4_24),
+	.X(FE_OFN19668_u_soc_u_dccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3963_FE_OFN19439_FE_OFN19258_n (
+	.A(FE_OFN19439_FE_OFN19258_n),
+	.X(FE_OFN19667_FE_OFN19439_FE_OFN19258_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3962_u_soc_u_dccm_rdata3_15 (
+	.A(u_soc_u_dccm_rdata3[15]),
+	.X(FE_OFN19666_u_soc_u_dccm_rdata3_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3961_FE_OFN457_u_soc_instr_wdata_24 (
+	.A(FE_OFN457_u_soc_instr_wdata_24),
+	.X(FE_OFN19665_FE_OFN457_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3960_u_soc_u_iccm_rdata2_15 (
+	.A(FE_PDN4060_u_soc_u_iccm_rdata2_15),
+	.X(FE_OFN19664_u_soc_u_iccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3959_u_soc_u_iccm_rdata3_1 (
+	.A(u_soc_u_iccm_rdata3[1]),
+	.X(FE_OFN19663_u_soc_u_iccm_rdata3_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3958_u_soc_u_dccm_rdata4_26 (
+	.A(FE_PDN4732_u_soc_u_dccm_rdata4_26),
+	.X(FE_OFN19662_u_soc_u_dccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3957_u_soc_u_dccm_rdata4_20 (
+	.A(FE_PDN19856_u_soc_u_dccm_rdata4_20),
+	.X(FE_OFN19661_u_soc_u_dccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3956_u_soc_u_dccm_rdata3_27 (
+	.A(u_soc_u_dccm_rdata3[27]),
+	.X(FE_OFN19660_u_soc_u_dccm_rdata3_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3955_u_soc_data_wdata_29 (
+	.A(u_soc_data_wdata[29]),
+	.X(FE_OFN19659_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3954_u_soc_u_iccm_rdata2_21 (
+	.A(u_soc_u_iccm_rdata2[21]),
+	.X(FE_OFN19658_u_soc_u_iccm_rdata2_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3953_u_soc_u_iccm_csb3 (
+	.A(u_soc_u_iccm_csb3),
+	.X(FE_OFN19657_u_soc_u_iccm_csb3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3952_u_soc_u_dccm_rdata2_11 (
+	.A(FE_PDN3972_u_soc_u_dccm_rdata2_11),
+	.X(FE_OFN19656_u_soc_u_dccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3951_u_soc_u_iccm_rdata3_26 (
+	.A(FE_OFN19765_u_soc_u_iccm_rdata3_26),
+	.X(FE_OFN19655_u_soc_u_iccm_rdata3_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3950_u_soc_data_csb (
+	.A(u_soc_data_csb),
+	.X(FE_OFN19654_u_soc_data_csb), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3949_u_soc_u_dccm_rdata3_28 (
+	.A(u_soc_u_dccm_rdata3[28]),
+	.X(FE_OFN19653_u_soc_u_dccm_rdata3_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3948_u_soc_u_dccm_rdata3_30 (
+	.A(FE_PDN4244_u_soc_u_dccm_rdata3_30),
+	.X(FE_OFN19652_u_soc_u_dccm_rdata3_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3947_u_soc_u_iccm_rdata4_0 (
+	.A(u_soc_u_iccm_rdata4[0]),
+	.X(FE_OFN19651_u_soc_u_iccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3946_u_soc_xbar_to_dccm_a_address__10 (
+	.A(\u_soc_xbar_to_dccm[a_address] [10]),
+	.X(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3945_FE_OFN19388_FE_OFN18804_FE_OFN17997_n (
+	.A(FE_OFN19388_FE_OFN18804_FE_OFN17997_n),
+	.X(FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3944_u_soc_u_iccm_rdata3_10 (
+	.A(u_soc_u_iccm_rdata3[10]),
+	.X(FE_OFN19648_u_soc_u_iccm_rdata3_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3943_FE_OFN19209_FE_OFN18796_FE_OFN18186_n (
+	.A(FE_OFN19209_FE_OFN18796_FE_OFN18186_n),
+	.X(FE_OFN19647_FE_OFN19209_FE_OFN18796_FE_OFN18186_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3942_u_soc_u_dccm_rdata3_25 (
+	.A(u_soc_u_dccm_rdata3[25]),
+	.X(FE_OFN19646_u_soc_u_dccm_rdata3_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3941_u_soc_u_dccm_rdata4_25 (
+	.A(u_soc_u_dccm_rdata4[25]),
+	.X(FE_OFN19645_u_soc_u_dccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3940_u_soc_u_dccm_rdata3_14 (
+	.A(u_soc_u_dccm_rdata3[14]),
+	.X(FE_OFN19644_u_soc_u_dccm_rdata3_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3939_u_soc_u_iccm_rdata2_30 (
+	.A(u_soc_u_iccm_rdata2[30]),
+	.X(FE_OFN19643_u_soc_u_iccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3938_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7 (
+	.A(FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7),
+	.X(FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3937_u_soc_u_iccm_rdata3_24 (
+	.A(u_soc_u_iccm_rdata3[24]),
+	.X(FE_OFN19641_u_soc_u_iccm_rdata3_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3936_u_soc_u_dccm_rdata2_23 (
+	.A(FE_PDN3976_u_soc_u_dccm_rdata2_23),
+	.X(FE_OFN19640_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3935_u_soc_u_iccm_rdata4_14 (
+	.A(FE_PDN4058_u_soc_u_iccm_rdata4_14),
+	.X(FE_OFN19639_u_soc_u_iccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3934_u_soc_u_dccm_rdata2_8 (
+	.A(FE_PDN3985_u_soc_u_dccm_rdata2_8),
+	.X(FE_OFN19638_u_soc_u_dccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3933_u_soc_u_iccm_rdata4_10 (
+	.A(u_soc_u_iccm_rdata4[10]),
+	.X(FE_OFN19637_u_soc_u_iccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3867_FE_OFN18746_FE_OFN17984_n (
+	.A(FE_OFN19294_FE_OFN18746_FE_OFN17984_n),
+	.X(FE_OFN3867_FE_OFN18746_FE_OFN17984_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3932_u_soc_u_iccm_rdata2_0 (
+	.A(FE_PDN4028_u_soc_u_iccm_rdata2_0),
+	.X(FE_OFN19636_u_soc_u_iccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3931_u_soc_u_dccm_rdata2_31 (
+	.A(FE_PDN3967_u_soc_u_dccm_rdata2_31),
+	.X(FE_OFN19635_u_soc_u_dccm_rdata2_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3866_FE_OFN18749_FE_OFN17983_n (
+	.A(FE_OFN19309_FE_OFN18749_FE_OFN17983_n),
+	.X(FE_OFN3866_FE_OFN18749_FE_OFN17983_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3865_n (
+	.A(FE_OFN19508_n),
+	.X(FE_OFN3865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3930_u_soc_u_dccm_rdata3_13 (
+	.A(u_soc_u_dccm_rdata3[13]),
+	.X(FE_OFN19634_u_soc_u_dccm_rdata3_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3929_FE_OFN19486_FE_OFN19265_n (
+	.A(FE_OFN19632_FE_OFN19486_FE_OFN19265_n),
+	.X(FE_OFN19633_FE_OFN19486_FE_OFN19265_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3928_FE_OFN19486_FE_OFN19265_n (
+	.A(FE_OFN19486_FE_OFN19265_n),
+	.X(FE_OFN19632_FE_OFN19486_FE_OFN19265_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3927_FE_OFN19480_FE_OFN19271_n (
+	.A(FE_OFN19630_FE_OFN19480_FE_OFN19271_n),
+	.X(FE_OFN19631_FE_OFN19480_FE_OFN19271_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3926_FE_OFN19480_FE_OFN19271_n (
+	.A(FE_OFN19480_FE_OFN19271_n),
+	.X(FE_OFN19630_FE_OFN19480_FE_OFN19271_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3925_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
+	.A(FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
+	.X(FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3924_u_soc_u_dccm_rdata3_18 (
+	.A(u_soc_u_dccm_rdata3[18]),
+	.X(FE_OFN19628_u_soc_u_dccm_rdata3_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3923_FE_OFN19469_FE_OFN19266_n (
+	.A(FE_OFN19626_FE_OFN19469_FE_OFN19266_n),
+	.X(FE_OFN19627_FE_OFN19469_FE_OFN19266_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3922_FE_OFN19469_FE_OFN19266_n (
+	.A(FE_OFN19469_FE_OFN19266_n),
+	.X(FE_OFN19626_FE_OFN19469_FE_OFN19266_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3921_FE_OFN19472_FE_OFN19277_n (
+	.A(FE_OFN19624_FE_OFN19472_FE_OFN19277_n),
+	.X(FE_OFN19625_FE_OFN19472_FE_OFN19277_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3920_FE_OFN19472_FE_OFN19277_n (
+	.A(FE_OFN19472_FE_OFN19277_n),
+	.X(FE_OFN19624_FE_OFN19472_FE_OFN19277_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3919_u_soc_u_top_u_core_rf_wdata_fwd_wb_28 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.X(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3918_u_soc_u_dccm_rdata4_23 (
+	.A(FE_PDN4256_u_soc_u_dccm_rdata4_23),
+	.X(FE_OFN19622_u_soc_u_dccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3917_FE_OFN3537_n (
+	.A(FE_OFN3537_n),
+	.X(FE_OFN19621_FE_OFN3537_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3916_FE_OFN19566_FE_OFN19260_n (
+	.A(FE_OFN19566_FE_OFN19260_n),
+	.X(FE_OFN19620_FE_OFN19566_FE_OFN19260_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3915_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
+	.A(FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
+	.X(FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3914_FE_OFN19207_FE_OFN19102_n (
+	.A(FE_OFN19207_FE_OFN19102_n),
+	.X(FE_OFN19618_FE_OFN19207_FE_OFN19102_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3913_FE_OFN19286_FE_OFN19061_n (
+	.A(FE_OFN19286_FE_OFN19061_n),
+	.X(FE_OFN19617_FE_OFN19286_FE_OFN19061_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3912_u_soc_instr_addr_4 (
+	.A(u_soc_instr_addr[4]),
+	.X(FE_OFN19616_u_soc_instr_addr_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3911_u_soc_instr_addr_5 (
+	.A(u_soc_instr_addr[5]),
+	.X(FE_OFN19615_u_soc_instr_addr_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3910_u_soc_instr_addr_3 (
+	.A(u_soc_instr_addr[3]),
+	.X(FE_OFN19614_u_soc_instr_addr_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3909_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2 (
+	.A(FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2),
+	.X(FE_OFN19613_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3908_FE_OFN19522_n (
+	.A(FE_OFN19522_n),
+	.X(FE_OFN19612_FE_OFN19522_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3907_FE_OFN19344_FE_OFN19205_FE_OFN19097_n (
+	.A(FE_OFN19344_FE_OFN19205_FE_OFN19097_n),
+	.X(FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3906_FE_OFN19514_n (
+	.A(FE_OFN19514_n),
+	.X(FE_OFN19610_FE_OFN19514_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3905_FE_OFN19216_FE_OFN19067_n (
+	.A(FE_OFN19216_FE_OFN19067_n),
+	.X(FE_OFN19609_FE_OFN19216_FE_OFN19067_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3904_FE_OFN18789_FE_OFN18004_n (
+	.A(FE_OFN18789_FE_OFN18004_n),
+	.X(FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3903_FE_OFN19282_FE_OFN19089_n (
+	.A(FE_OFN19282_FE_OFN19089_n),
+	.X(FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3902_u_soc_u_dccm_rdata2_27 (
+	.A(FE_PDN4008_u_soc_u_dccm_rdata2_27),
+	.X(FE_OFN19606_u_soc_u_dccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3901_FE_OFN19509_n (
+	.A(FE_OFN19509_n),
+	.X(FE_OFN19605_FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3900_FE_OFN19513_n (
+	.A(FE_OFN19513_n),
+	.X(FE_OFN19604_FE_OFN19513_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3899_FE_OFN19512_n (
+	.A(FE_OFN19512_n),
+	.X(FE_OFN19603_FE_OFN19512_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3898_u_soc_instr_addr_2 (
+	.A(u_soc_instr_addr[2]),
+	.X(FE_OFN19602_u_soc_instr_addr_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3897_FE_OFN19202_FE_OFN18777_FE_OFN1689_n (
+	.A(FE_OFN19202_FE_OFN18777_FE_OFN1689_n),
+	.X(FE_OFN19601_FE_OFN19202_FE_OFN18777_FE_OFN1689_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3896_FE_OFN19523_n (
+	.A(FE_OFN19523_n),
+	.X(FE_OFN19600_FE_OFN19523_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3895_FE_OFN19212_FE_OFN19103_n (
+	.A(FE_OFN19212_FE_OFN19103_n),
+	.X(FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3894_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
+	.A(FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
+	.X(FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3893_FE_OFN19310_FE_OFN19076_n (
+	.A(FE_OFN19310_FE_OFN19076_n),
+	.X(FE_OFN19597_FE_OFN19310_FE_OFN19076_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3892_FE_OFN19203_FE_OFN19077_n (
+	.A(FE_OFN19203_FE_OFN19077_n),
+	.X(FE_OFN19596_FE_OFN19203_FE_OFN19077_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3891_FE_OFN19195_FE_OFN19073_n (
+	.A(FE_OFN19195_FE_OFN19073_n),
+	.X(FE_OFN19595_FE_OFN19195_FE_OFN19073_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3890_FE_OFN19517_n (
+	.A(FE_OFN19517_n),
+	.X(FE_OFN19594_FE_OFN19517_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3889_u_soc_u_dccm_rdata2_19 (
+	.A(FE_PDN3939_u_soc_u_dccm_rdata2_19),
+	.X(FE_OFN19593_u_soc_u_dccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3888_FE_OFN19511_n (
+	.A(FE_OFN19511_n),
+	.X(FE_OFN19592_FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3887_u_soc_u_top_u_core_rf_wdata_fwd_wb_21 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.X(FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3886_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3885_FE_OFN19192_FE_OFN19179_n (
+	.A(FE_OFN19192_FE_OFN19179_n),
+	.X(FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3884_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
+	.A(FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
+	.X(FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3883_FE_OFN19210_FE_OFN19104_n (
+	.A(FE_OFN19210_FE_OFN19104_n),
+	.X(FE_OFN19587_FE_OFN19210_FE_OFN19104_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3882_FE_OFN19358_FE_OFN19213_FE_OFN19090_n (
+	.A(FE_OFN19358_FE_OFN19213_FE_OFN19090_n),
+	.X(FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3881_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
+	.X(FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3880_u_soc_u_dccm_rdata2_18 (
+	.A(FE_PDN3973_u_soc_u_dccm_rdata2_18),
+	.X(FE_OFN19584_u_soc_u_dccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3879_FE_OFN19398_FE_OFN19193_FE_OFN19182_n (
+	.A(FE_OFN19398_FE_OFN19193_FE_OFN19182_n),
+	.X(FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3878_FE_OFN19357_FE_OFN19215_FE_OFN19173_n (
+	.A(FE_OFN19357_FE_OFN19215_FE_OFN19173_n),
+	.X(FE_OFN19582_FE_OFN19357_FE_OFN19215_FE_OFN19173_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3877_FE_OFN19190_FE_OFN19180_n (
+	.A(FE_OFN19190_FE_OFN19180_n),
+	.X(FE_OFN19581_FE_OFN19190_FE_OFN19180_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3876_FE_OFN19194_FE_OFN18757_FE_OFN1682_n (
+	.A(FE_OFN19194_FE_OFN18757_FE_OFN1682_n),
+	.X(FE_OFN19580_FE_OFN19194_FE_OFN18757_FE_OFN1682_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3875_FE_OFN19283_FE_OFN19181_n (
+	.A(FE_OFN19283_FE_OFN19181_n),
+	.X(FE_OFN19579_FE_OFN19283_FE_OFN19181_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3874_FE_OFN19288_FE_OFN19191_FE_OFN19096_n (
+	.A(FE_OFN19288_FE_OFN19191_FE_OFN19096_n),
+	.X(FE_OFN19578_FE_OFN19288_FE_OFN19191_FE_OFN19096_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3873_FE_OFN19288_FE_OFN19191_FE_OFN19096_n (
+	.A(FE_OFN19288_FE_OFN19191_FE_OFN19096_n),
+	.X(FE_OFN19577_FE_OFN19288_FE_OFN19191_FE_OFN19096_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3872_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19575_FE_OFN19332_FE_OFN19262_n),
+	.X(FE_OFN19576_FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC3871_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19574_FE_OFN19332_FE_OFN19262_n),
+	.Y(FE_OFN19575_FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3870_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19572_FE_OFN19332_FE_OFN19262_n),
+	.X(FE_OFN19574_FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3869_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19332_FE_OFN19262_n),
+	.X(FE_OFN19573_FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3868_FE_OFN19332_FE_OFN19262_n (
+	.A(FE_OFN19332_FE_OFN19262_n),
+	.Y(FE_OFN19572_FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3866_u_soc_u_top_u_core_instr_rdata_id_15 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.X(FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OCPC3865_u_soc_u_top_u_core_pc_set (
+	.A(FE_PSN4130_u_soc_u_top_u_core_pc_set),
+	.X(FE_OCPN19569_u_soc_u_top_u_core_pc_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_4 FE_RC_214_0 (
+	.A1(n_10337),
+	.A2(n_13492),
+	.B1_N(FE_RN_94_0),
+	.Y(n_10861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_213_0 (
+	.A1(FE_OFN18604_n_11479),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.B1(FE_OFN18570_n_15858),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.X(FE_RN_129_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_212_0 (
+	.A(FE_RN_129_0),
+	.B(n_12654),
+	.Y(n_12756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_211_0 (
+	.A1(FE_OFN18593_n_11626),
+	.A2(FE_PSN4160_n_13101),
+	.B1(n_11896),
+	.Y(n_13505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_4 FE_RC_210_0 (
+	.A1(FE_PSN4788_n_11593),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[0]),
+	.B1(n_13112),
+	.C1(n_13192),
+	.D1(n_13152),
+	.Y(n_13807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_204_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
+	.C1(n_8868),
+	.C2(n_13347),
+	.Y(n_10162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_203_0 (
+	.A1(FE_OFN18604_n_11479),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.B1(FE_OFN18570_n_15858),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.X(FE_RN_125_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 FE_RC_202_0 (
+	.A(FE_RN_125_0),
+	.B(n_12611),
+	.Y(n_12699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 FE_RC_201_0 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
+	.B(FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
+	.Y(FE_RN_123_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_200_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
+	.Y(FE_RN_124_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 FE_RC_199_0 (
+	.A1(FE_RN_124_0),
+	.A2(FE_PSN4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
+	.B1(FE_RN_123_0),
+	.Y(\u_soc_lsu_to_xbar[a_address] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3862_FE_OFN19260_n (
+	.A(FE_OFN19189_FE_OFN19180_n),
+	.X(FE_OFN19566_FE_OFN19260_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3861_u_soc_u_dccm_rdata4_14 (
+	.A(FE_PDN4029_u_soc_u_dccm_rdata4_14),
+	.X(FE_OFN19565_u_soc_u_dccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3860_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
+	.A(FE_OFN19377_FE_OFN18824_FE_OFN852_logic_0_25_net),
+	.X(FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3859_u_soc_u_iccm_rdata3_21 (
+	.A(FE_OFN19688_u_soc_u_iccm_rdata3_21),
+	.X(FE_OFN19563_u_soc_u_iccm_rdata3_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_16 FE_OFC3858_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1 (
+	.A(FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1),
+	.X(FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3857_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2 (
+	.A(u_soc_u_dccm_addr3[2]),
+	.X(FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3851_u_soc_u_top_u_core_instr_rdata_id_21 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.X(FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3849_u_soc_u_top_u_core_instr_rdata_id_18 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.X(FE_OFN19556_u_soc_u_top_u_core_instr_rdata_id_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3848_n_13387 (
+	.A(FE_PSN4344_n_13387),
+	.X(FE_OFN19555_n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3846_n_11746 (
+	.A(FE_OFN18268_n_11746),
+	.X(FE_OFN19553_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3844_n_11313 (
+	.A(FE_OFN18356_n_11313),
+	.X(FE_OFN19551_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3843_u_soc_u_top_u_core_rf_waddr_wb_1 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.X(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3842_u_soc_u_top_u_core_instr_rdata_id_26 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[26]),
+	.X(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3839_u_soc_u_top_u_core_instr_rdata_id_17 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.X(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3837_n_13394 (
+	.A(n_13394),
+	.X(FE_OFN19544_n_13394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3836_u_soc_iccm_adapter_inst_mem_wmask_int_0__30 (
+	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.X(FE_OFN19543_u_soc_iccm_adapter_inst_mem_wmask_int_0__30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3835_n_13088 (
+	.A(n_13088),
+	.X(FE_OFN19542_n_13088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3834_n (
+	.A(FE_OFN18496_n),
+	.X(FE_OFN19541_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3832_n_11355 (
+	.A(FE_PSN4433_n_11355),
+	.Y(FE_OFN18451_n_11355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3831_n_13391 (
+	.A(FE_PSN4389_FE_OFN18507_n_13391),
+	.X(FE_OFN19539_n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3830_n_13391 (
+	.A(n_13391),
+	.X(FE_OFN18507_n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3829_n_11554 (
+	.A(n_11554),
+	.X(FE_OFN18432_n_11554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3828_n_11156 (
+	.A(n_11156),
+	.Y(n_11155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3826_u_soc_xbar_to_dccm_a_address__5 (
+	.A(\u_soc_xbar_to_dccm[a_address] [5]),
+	.X(FE_OFN772_u_soc_xbar_to_dccm_a_address__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3825_n_11514 (
+	.A(FE_OFN18385_n_11514),
+	.X(FE_OFN19537_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3824_n_11514 (
+	.A(n_11514),
+	.X(FE_OFN18385_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3823_u_soc_u_top_u_core_alu_operator_ex_3 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3821_n_11152 (
+	.A(FE_OFN18423_n_11152),
+	.Y(n_11151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3820_n_11152 (
+	.A(n_11152),
+	.X(FE_OFN18423_n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3819_FE_RN_86_0 (
+	.A(n_13417),
+	.X(n_15945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3818_FE_RN_86_0 (
+	.A(FE_RN_86_0),
+	.Y(n_13417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC3817_n_13073 (
+	.A(n_13421),
+	.Y(FE_OFN18294_n_13073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3816_n_13073 (
+	.A(n_13073),
+	.X(n_13421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3815_n_11513 (
+	.A(n_11513),
+	.X(FE_OFN18635_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3814_n_15882 (
+	.A(n_15882),
+	.X(FE_OFN18272_n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3813_n_13070 (
+	.A(n_13070),
+	.X(FE_OFN1080_n_13070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3812_n_11421 (
+	.A(FE_OFN18472_n_11421),
+	.X(FE_OFN18473_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3811_n_11421 (
+	.A(FE_PSN4412_FE_OFN18472_n_11421),
+	.X(n_11422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3810_n_11421 (
+	.A(FE_OFN18472_n_11421),
+	.Y(FE_OFN18296_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3809_n_11421 (
+	.A(n_11421),
+	.Y(FE_OFN18472_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3808_n_10863 (
+	.A(n_10863),
+	.X(FE_OFN18974_n_10863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3807_u_soc_u_top_u_core_alu_operand_b_ex_20 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[20]),
+	.X(FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3806_n_11479 (
+	.A(FE_PSN4632_n_11479),
+	.X(FE_OFN1058_n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3805_n_11757 (
+	.A(n_11757),
+	.X(FE_OFN18589_n_11757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3804_n_13101 (
+	.A(FE_PSN4160_n_13101),
+	.X(FE_OFN1102_n_13101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3803_n_11558 (
+	.A(n_11558),
+	.X(FE_OFN18480_n_11558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3801_n_13078 (
+	.A(n_13078),
+	.X(FE_OFN1085_n_13078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3800_n_11626 (
+	.A(n_11625),
+	.Y(FE_OFN18593_n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC3799_n_11626 (
+	.A(FE_COEN4862_n_11626),
+	.Y(n_11625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC3798_n_13076 (
+	.A(n_13076),
+	.Y(FE_OFN1083_n_13076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3797_n_13380 (
+	.A(FE_OFN1105_n_13380),
+	.Y(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3796_n_13380 (
+	.A(n_13380),
+	.Y(FE_OFN1105_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3795_n_577 (
+	.A(FE_OFN1400_n_577),
+	.X(FE_OFN19533_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC3794_n_577 (
+	.A(n_577),
+	.Y(FE_OFN1400_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3793_n_13425 (
+	.A(n_13425),
+	.X(FE_OFN18315_n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3792_n_13082 (
+	.A(n_13082),
+	.Y(FE_OFN1086_n_13082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3791_FE_OFN19150_n (
+	.A(u_soc_u_iccm_addr2[1]),
+	.X(FE_OFN19532_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3790_FE_OFN19153_n (
+	.A(u_soc_u_iccm_addr2[5]),
+	.X(FE_OFN19531_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3789_FE_OFN19248_FE_OFN19151_n (
+	.A(u_soc_u_iccm_addr2[0]),
+	.X(FE_OFN19530_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3788_FE_OFN19132_n (
+	.A(u_soc_u_iccm_addr2[2]),
+	.X(FE_OFN19529_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3787_FE_OFN19134_n (
+	.A(u_soc_u_iccm_addr2[6]),
+	.X(FE_OFN19528_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3786_FE_OFN19133_n (
+	.A(u_soc_u_iccm_addr2[3]),
+	.X(FE_OFN19527_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3785_FE_OFN19142_n (
+	.A(u_soc_u_iccm_addr2[4]),
+	.X(FE_OFN19526_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3783_n_10261 (
+	.A(n_10261),
+	.X(FE_OFN19524_n_10261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3782_n (
+	.A(u_soc_u_dccm_addr1[4]),
+	.X(FE_OFN19523_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3781_n (
+	.A(u_soc_u_dccm_addr4[4]),
+	.X(FE_OFN19522_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_16 FE_OFC3780_FE_OFN18009_n (
+	.A(u_soc_u_dccm_addr4[5]),
+	.X(FE_OFN19521_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3779_FE_OFN1684_n (
+	.A(u_soc_u_dccm_addr1[2]),
+	.X(FE_OFN19520_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3778_u_soc_u_dccm_addr2_5 (
+	.A(u_soc_u_dccm_addr2[5]),
+	.X(FE_OFN19519_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3777_FE_OFN1683_n (
+	.A(u_soc_u_dccm_addr1[1]),
+	.X(FE_OFN19518_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3776_FE_OFN18005_n (
+	.A(u_soc_u_dccm_addr4[1]),
+	.X(FE_OFN19517_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3775_n (
+	.A(u_soc_u_dccm_addr2[7]),
+	.X(FE_OFN19516_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3774_FE_OFN1388_u_soc_u_dccm_addr3_1 (
+	.A(u_soc_u_dccm_addr3[1]),
+	.X(FE_OFN19515_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3773_FE_OFN18006_n (
+	.A(u_soc_u_dccm_addr4[2]),
+	.X(FE_OFN19514_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3772_FE_OFN18007_n (
+	.A(u_soc_u_dccm_addr4[3]),
+	.X(FE_OFN19513_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3771_FE_OFN1384_u_soc_u_dccm_addr3_5 (
+	.A(u_soc_u_dccm_addr3[5]),
+	.X(FE_OFN19512_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3770_n (
+	.A(u_soc_u_dccm_addr3[4]),
+	.X(FE_OFN19511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3769_n (
+	.A(u_soc_u_dccm_addr2[3]),
+	.X(FE_OFN19510_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3768_FE_OFN1386_u_soc_u_dccm_addr3_3 (
+	.A(u_soc_u_dccm_addr3[3]),
+	.X(FE_OFN19509_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3767_n (
+	.A(u_soc_u_dccm_addr2[2]),
+	.X(FE_OFN19508_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3766_n (
+	.A(u_soc_u_dccm_addr2[1]),
+	.X(FE_OFN19507_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3765_n (
+	.A(u_soc_u_dccm_addr2[4]),
+	.X(FE_OFN19506_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3763_FE_OFN112_io_out_37 (
+	.A(FE_OFN112_io_out_37),
+	.X(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3762_FE_OFN149_io_out_37 (
+	.A(FE_OFN149_io_out_37),
+	.X(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3761_n_10931 (
+	.A(n_10931),
+	.X(FE_OFN19502_n_10931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3760_FE_OFN59_io_out_37 (
+	.A(FE_OFN59_io_out_37),
+	.X(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3759_FE_OFN496_u_soc_instr_wdata_18 (
+	.A(u_soc_instr_wdata[18]),
+	.X(FE_OFN19500_FE_OFN496_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3758_n_10447 (
+	.A(n_10447),
+	.X(FE_OFN19499_n_10447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3756_FE_OFN76_io_out_37 (
+	.A(FE_OFN76_io_out_37),
+	.X(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3754_FE_OFN1032_n_8911 (
+	.A(FE_OFN1032_n_8911),
+	.X(FE_OFN19495_FE_OFN1032_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3749_FE_OFN18932_FE_OFN17993_n (
+	.A(logic_0_20_net),
+	.X(FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3748_u_soc_u_dccm_rdata4_1 (
+	.A(u_soc_u_dccm_rdata4[1]),
+	.X(FE_OFN19489_u_soc_u_dccm_rdata4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3745_FE_OFN19265_n (
+	.A(FE_OFN1329_u_soc_u_iccm_addr4_6),
+	.X(FE_OFN19486_FE_OFN19265_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3744_FE_OFN19268_n (
+	.A(u_soc_u_iccm_addr4[5]),
+	.X(FE_OFN19485_FE_OFN19268_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3743_FE_OFN19273_n (
+	.A(u_soc_u_iccm_addr4[0]),
+	.X(FE_OFN19484_FE_OFN19273_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3742_u_soc_u_iccm_rdata4_29 (
+	.A(FE_PDN4041_u_soc_u_iccm_rdata4_29),
+	.X(FE_OFN19483_u_soc_u_iccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3741_u_soc_u_dccm_rdata4_3 (
+	.A(FE_PDN19889_u_soc_u_dccm_rdata4_3),
+	.X(FE_OFN19482_u_soc_u_dccm_rdata4_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3740_u_soc_u_iccm_rdata4_24 (
+	.A(u_soc_u_iccm_rdata4[24]),
+	.X(FE_OFN19481_u_soc_u_iccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3739_FE_OFN19271_n (
+	.A(u_soc_u_iccm_addr1[6]),
+	.X(FE_OFN19480_FE_OFN19271_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3738_FE_OFN19276_n (
+	.A(u_soc_u_iccm_addr1[2]),
+	.X(FE_OFN19479_FE_OFN19276_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3737_FE_OFN19141_n (
+	.A(u_soc_u_iccm_addr3[5]),
+	.X(FE_OFN19478_FE_OFN19141_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3736_FE_OFN19154_n (
+	.A(u_soc_u_iccm_addr3[3]),
+	.X(FE_OFN19477_FE_OFN19154_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3735_FE_OFN19269_n (
+	.A(u_soc_u_iccm_addr1[0]),
+	.X(FE_OFN19476_FE_OFN19269_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3734_FE_OFN19272_n (
+	.A(u_soc_u_iccm_addr1[4]),
+	.X(FE_OFN19475_FE_OFN19272_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3733_FE_OFN19274_n (
+	.A(u_soc_u_iccm_addr1[5]),
+	.X(FE_OFN19474_FE_OFN19274_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3732_FE_OFN19275_n (
+	.A(u_soc_u_iccm_addr1[3]),
+	.X(FE_OFN19473_FE_OFN19275_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3731_FE_OFN19277_n (
+	.A(u_soc_u_iccm_addr1[1]),
+	.X(FE_OFN19472_FE_OFN19277_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3730_u_soc_u_iccm_rdata4_23 (
+	.A(u_soc_u_iccm_rdata4[23]),
+	.X(FE_OFN19471_u_soc_u_iccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3729_u_soc_u_iccm_rdata4_31 (
+	.A(u_soc_u_iccm_rdata4[31]),
+	.X(FE_OFN19470_u_soc_u_iccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3728_FE_OFN19266_n (
+	.A(FE_OFN1340_u_soc_u_iccm_addr4_1),
+	.X(FE_OFN19469_FE_OFN19266_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3727_u_soc_u_dccm_rdata4_4 (
+	.A(FE_OFN19712_u_soc_u_dccm_rdata4_4),
+	.X(FE_OFN19468_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3726_u_soc_u_dccm_rdata4_5 (
+	.A(FE_OFN19684_u_soc_u_dccm_rdata4_5),
+	.X(FE_OFN19467_u_soc_u_dccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3725_FE_OFN892_n_2004 (
+	.A(FE_OFN892_n_2004),
+	.X(FE_OFN19466_FE_OFN892_n_2004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3724_FE_OFN1746_n (
+	.A(FE_OFN1256_u_soc_data_wdata_18),
+	.X(FE_OFN19465_FE_OFN1746_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3722_FE_OFN1751_n (
+	.A(FE_OFN1751_n),
+	.X(FE_OFN19463_FE_OFN1751_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3721_u_soc_u_iccm_rdata4_30 (
+	.A(FE_OFN19720_u_soc_u_iccm_rdata4_30),
+	.X(FE_OFN19462_u_soc_u_iccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3720_FE_OFN18984_FE_OFN17987_n (
+	.A(FE_OFN863_logic_0_34_net),
+	.X(FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3719_FE_OFN18918_FE_OFN17998_n (
+	.A(FE_OFN18918_FE_OFN17998_n),
+	.X(FE_OFN19460_FE_OFN18918_FE_OFN17998_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3717_u_soc_u_iccm_rdata4_27 (
+	.A(FE_OFN19717_u_soc_u_iccm_rdata4_27),
+	.X(FE_OFN19458_u_soc_u_iccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3716_u_soc_u_iccm_rdata4_21 (
+	.A(u_soc_u_iccm_rdata4[21]),
+	.X(FE_OFN19457_u_soc_u_iccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3715_FE_OFN181_u_soc_data_wdata_30 (
+	.A(u_soc_data_wdata[30]),
+	.X(FE_OFN19456_FE_OFN181_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3714_u_soc_u_iccm_rdata4_18 (
+	.A(FE_OFN19703_u_soc_u_iccm_rdata4_18),
+	.X(FE_OFN19455_u_soc_u_iccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3713_u_soc_u_iccm_rdata4_19 (
+	.A(FE_PDN4257_u_soc_u_iccm_rdata4_19),
+	.X(FE_OFN19454_u_soc_u_iccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3712_u_soc_u_dccm_rdata4_8 (
+	.A(FE_PDN4000_u_soc_u_dccm_rdata4_8),
+	.X(FE_OFN19453_u_soc_u_dccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3710_FE_OFN19270_n (
+	.A(u_soc_u_iccm_addr4[3]),
+	.X(FE_OFN19451_FE_OFN19270_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3709_FE_OFN19267_n (
+	.A(u_soc_u_iccm_addr4[4]),
+	.X(FE_OFN19450_FE_OFN19267_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3708_FE_OFN18975_FE_OFN17985_n (
+	.A(FE_OFN867_logic_0_36_net),
+	.X(FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3707_u_soc_u_iccm_rdata4_20 (
+	.A(FE_PDN4528_u_soc_u_iccm_rdata4_20),
+	.X(FE_OFN19448_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3706_u_soc_u_iccm_rdata4_28 (
+	.A(FE_OFN19699_u_soc_u_iccm_rdata4_28),
+	.X(FE_OFN19447_u_soc_u_iccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3704_u_soc_u_dccm_rdata4_17 (
+	.A(FE_PDN4223_u_soc_u_dccm_rdata4_17),
+	.X(FE_OFN19445_u_soc_u_dccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3703_FE_OFN18998_FE_OFN17988_n (
+	.A(FE_OFN861_logic_0_33_net),
+	.X(FE_OFN19444_FE_OFN18998_FE_OFN17988_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3702_n_1431 (
+	.A(n_1431),
+	.X(FE_OFN19443_n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3701_u_soc_u_iccm_rdata4_16 (
+	.A(u_soc_u_iccm_rdata4[16]),
+	.X(FE_OFN19442_u_soc_u_iccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3700_u_soc_u_dccm_rdata1_17 (
+	.A(u_soc_u_dccm_rdata1[17]),
+	.X(FE_OFN19441_u_soc_u_dccm_rdata1_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3699_u_soc_u_dccm_rdata4_9 (
+	.A(FE_PDN3918_u_soc_u_dccm_rdata4_9),
+	.X(FE_OFN19440_u_soc_u_dccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3698_FE_OFN19258_n (
+	.A(FE_OFN19189_FE_OFN19180_n),
+	.X(FE_OFN19439_FE_OFN19258_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3697_u_soc_u_iccm_rdata2_12 (
+	.A(u_soc_u_iccm_rdata2[12]),
+	.X(FE_OFN19438_u_soc_u_iccm_rdata2_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3696_u_soc_u_dccm_rdata4_25 (
+	.A(FE_OFN19645_u_soc_u_dccm_rdata4_25),
+	.X(FE_OFN19437_u_soc_u_dccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3695_FE_OFN18910_FE_OFN17986_n (
+	.A(FE_OFN865_logic_0_35_net),
+	.X(FE_OFN19436_FE_OFN18910_FE_OFN17986_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3694_u_soc_u_dccm_rdata4_22 (
+	.A(FE_PDN19806_u_soc_u_dccm_rdata4_22),
+	.X(FE_OFN19435_u_soc_u_dccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3693_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
+	.X(FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3691_FE_OFN1756_n (
+	.A(FE_OFN1249_u_soc_data_wdata_22),
+	.X(FE_OFN19432_FE_OFN1756_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3690_u_soc_u_iccm_rdata4_14 (
+	.A(FE_OFN19639_u_soc_u_iccm_rdata4_14),
+	.X(FE_OFN19431_u_soc_u_iccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3689_FE_OFN18907_FE_OFN17999_n (
+	.A(FE_OFN18907_FE_OFN17999_n),
+	.X(FE_OFN19430_FE_OFN18907_FE_OFN17999_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3687_FE_OFN18844_FE_OFN17995_n (
+	.A(FE_OFN18844_FE_OFN17995_n),
+	.X(FE_OFN19428_FE_OFN18844_FE_OFN17995_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3685_u_soc_u_iccm_rdata2_23 (
+	.A(u_soc_u_iccm_rdata2[23]),
+	.X(FE_OFN19426_u_soc_u_iccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3684_u_soc_u_dccm_rdata4_10 (
+	.A(FE_OFN19701_u_soc_u_dccm_rdata4_10),
+	.X(FE_OFN19425_u_soc_u_dccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3683_u_soc_u_iccm_rdata4_8 (
+	.A(FE_OFN19673_u_soc_u_iccm_rdata4_8),
+	.X(FE_OFN19424_u_soc_u_iccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3682_u_soc_u_dccm_rdata2_17 (
+	.A(FE_PDN3974_u_soc_u_dccm_rdata2_17),
+	.X(FE_OFN19423_u_soc_u_dccm_rdata2_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3681_u_soc_u_dccm_rdata4_16 (
+	.A(FE_PDN19807_u_soc_u_dccm_rdata4_16),
+	.X(FE_OFN19422_u_soc_u_dccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3680_u_soc_u_iccm_rdata4_0 (
+	.A(FE_OFN19651_u_soc_u_iccm_rdata4_0),
+	.X(FE_OFN19421_u_soc_u_iccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3679_u_soc_u_iccm_rdata3_28 (
+	.A(u_soc_u_iccm_rdata3[28]),
+	.X(FE_OFN19420_u_soc_u_iccm_rdata3_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3678_u_soc_u_iccm_rdata3_16 (
+	.A(FE_PDN4056_u_soc_u_iccm_rdata3_16),
+	.X(FE_OFN19419_u_soc_u_iccm_rdata3_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3676_u_soc_u_iccm_rdata2_9 (
+	.A(u_soc_u_iccm_rdata2[9]),
+	.X(FE_OFN19417_u_soc_u_iccm_rdata2_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3675_u_soc_u_iccm_rdata3_30 (
+	.A(FE_OFN19689_u_soc_u_iccm_rdata3_30),
+	.X(FE_OFN19416_u_soc_u_iccm_rdata3_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3674_u_soc_u_iccm_rdata3_26 (
+	.A(FE_OFN19655_u_soc_u_iccm_rdata3_26),
+	.X(FE_OFN19415_u_soc_u_iccm_rdata3_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3673_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6 (
+	.A(FE_OFN18748_FE_OFN244_u_soc_data_wdata_6),
+	.X(FE_OFN19414_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3672_n_2053 (
+	.A(n_2053),
+	.X(FE_OFN19413_n_2053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3671_u_soc_u_dccm_rdata3_8 (
+	.A(u_soc_u_dccm_rdata3[8]),
+	.X(FE_OFN19412_u_soc_u_dccm_rdata3_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3670_u_soc_u_iccm_rdata3_24 (
+	.A(FE_OFN19641_u_soc_u_iccm_rdata3_24),
+	.X(FE_OFN19411_u_soc_u_iccm_rdata3_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3537_n (
+	.A(FE_OFN19175_n),
+	.X(FE_OFN3537_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3669_FE_OFN18831_FE_OFN18000_n (
+	.A(FE_OFN19409_FE_OFN18831_FE_OFN18000_n),
+	.X(FE_OFN19410_FE_OFN18831_FE_OFN18000_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3668_FE_OFN18831_FE_OFN18000_n (
+	.A(FE_OFN18830_FE_OFN18000_n),
+	.X(FE_OFN19409_FE_OFN18831_FE_OFN18000_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3667_FE_OFN18774_FE_OFN853_logic_0_26_net (
+	.A(logic_0_26_net),
+	.X(FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3666_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10 (
+	.A(FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10),
+	.X(FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3665_FE_OFN18846_FE_OFN17994_n (
+	.A(FE_OFN18846_FE_OFN17994_n),
+	.X(FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3663_u_soc_u_dccm_rdata3_13 (
+	.A(FE_OFN19634_u_soc_u_dccm_rdata3_13),
+	.X(FE_OFN19404_u_soc_u_dccm_rdata3_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3662_u_soc_u_iccm_rdata2_18 (
+	.A(u_soc_u_iccm_rdata2[18]),
+	.X(FE_OFN19403_u_soc_u_iccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3661_u_soc_u_iccm_rdata3_12 (
+	.A(u_soc_u_iccm_rdata3[12]),
+	.X(FE_OFN19402_u_soc_u_iccm_rdata3_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3660_u_soc_u_iccm_rdata2_11 (
+	.A(FE_PDN3970_u_soc_u_iccm_rdata2_11),
+	.X(FE_OFN19401_u_soc_u_iccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3659_u_soc_u_iccm_rdata2_6 (
+	.A(FE_PDN4196_u_soc_u_iccm_rdata2_6),
+	.X(FE_OFN19400_u_soc_u_iccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3658_u_soc_u_dccm_rdata3_5 (
+	.A(FE_OFN19700_u_soc_u_dccm_rdata3_5),
+	.X(FE_OFN19399_u_soc_u_dccm_rdata3_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3657_FE_OFN19193_FE_OFN19182_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19398_FE_OFN19193_FE_OFN19182_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3656_u_soc_u_iccm_rdata3_18 (
+	.A(FE_PDN4052_u_soc_u_iccm_rdata3_18),
+	.X(FE_OFN19397_u_soc_u_iccm_rdata3_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3655_u_soc_u_dccm_rdata3_1 (
+	.A(FE_OFN19690_u_soc_u_dccm_rdata3_1),
+	.X(FE_OFN19396_u_soc_u_dccm_rdata3_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3654_u_soc_u_dccm_rdata3_6 (
+	.A(FE_PDN4047_u_soc_u_dccm_rdata3_6),
+	.X(FE_OFN19395_u_soc_u_dccm_rdata3_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3653_u_soc_u_iccm_rdata4_13 (
+	.A(u_soc_u_iccm_rdata4[13]),
+	.X(FE_OFN19394_u_soc_u_iccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3652_FE_OFN18782_FE_OFN17992_n (
+	.A(FE_OFN19392_FE_OFN18782_FE_OFN17992_n),
+	.X(FE_OFN19393_FE_OFN18782_FE_OFN17992_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3651_FE_OFN18782_FE_OFN17992_n (
+	.A(logic_0_27_net),
+	.X(FE_OFN19392_FE_OFN18782_FE_OFN17992_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3650_FE_OFN18925_FE_OFN850_logic_0_23_net (
+	.A(FE_OFN19390_FE_OFN18925_FE_OFN850_logic_0_23_net),
+	.X(FE_OFN19391_FE_OFN18925_FE_OFN850_logic_0_23_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3649_FE_OFN18925_FE_OFN850_logic_0_23_net (
+	.A(FE_OFN850_logic_0_23_net),
+	.X(FE_OFN19390_FE_OFN18925_FE_OFN850_logic_0_23_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3648_FE_OFN240_u_soc_data_wdata_7 (
+	.A(FE_OFN19718_FE_OFN237_u_soc_data_wdata_7),
+	.X(FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3647_FE_OFN18804_FE_OFN17997_n (
+	.A(FE_OFN18804_FE_OFN17997_n),
+	.X(FE_OFN19388_FE_OFN18804_FE_OFN17997_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3645_u_soc_u_dccm_rdata2_13 (
+	.A(FE_PDN3963_u_soc_u_dccm_rdata2_13),
+	.X(FE_OFN19386_u_soc_u_dccm_rdata2_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3644_u_soc_u_dccm_rdata2_14 (
+	.A(FE_PDN3952_u_soc_u_dccm_rdata2_14),
+	.X(FE_OFN19385_u_soc_u_dccm_rdata2_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3643_u_soc_u_dccm_rdata2_0 (
+	.A(FE_PDN3981_u_soc_u_dccm_rdata2_0),
+	.X(FE_OFN19384_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3642_u_soc_u_iccm_rdata3_29 (
+	.A(FE_OFN19678_u_soc_u_iccm_rdata3_29),
+	.X(FE_OFN19383_u_soc_u_iccm_rdata3_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3641_u_soc_u_dccm_rdata3_18 (
+	.A(FE_OFN19628_u_soc_u_dccm_rdata3_18),
+	.X(FE_OFN19382_u_soc_u_dccm_rdata3_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3640_u_soc_u_iccm_rdata4_17 (
+	.A(u_soc_u_iccm_rdata4[17]),
+	.X(FE_OFN19381_u_soc_u_iccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3639_u_soc_u_dccm_rdata3_12 (
+	.A(FE_PDN4094_u_soc_u_dccm_rdata3_12),
+	.X(FE_OFN19380_u_soc_u_dccm_rdata3_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3638_u_soc_u_iccm_rdata2_19 (
+	.A(u_soc_u_iccm_rdata2[19]),
+	.X(FE_OFN19379_u_soc_u_iccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3636_FE_OFN18824_FE_OFN852_logic_0_25_net (
+	.A(logic_0_25_net),
+	.X(FE_OFN19377_FE_OFN18824_FE_OFN852_logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3635_u_soc_u_iccm_rdata2_25 (
+	.A(FE_PDN19811_u_soc_u_iccm_rdata2_25),
+	.X(FE_OFN19376_u_soc_u_iccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3634_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(FE_OFN19374_FE_OFN18783_FE_OFN851_logic_0_24_net),
+	.X(FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3633_FE_OFN18783_FE_OFN851_logic_0_24_net (
+	.A(logic_0_24_net),
+	.X(FE_OFN19374_FE_OFN18783_FE_OFN851_logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_16 FE_OFC3632_FE_OFN19261_n (
+	.A(u_soc_u_dccm_addr1[3]),
+	.X(FE_OFN19373_FE_OFN19261_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3631_u_soc_u_dccm_rdata2_7 (
+	.A(FE_PDN19787_u_soc_u_dccm_rdata2_7),
+	.X(FE_OFN19372_u_soc_u_dccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3630_u_soc_u_iccm_rdata3_15 (
+	.A(u_soc_u_iccm_rdata3[15]),
+	.X(FE_OFN19371_u_soc_u_iccm_rdata3_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3629_u_soc_u_dccm_rdata3_15 (
+	.A(FE_OFN19666_u_soc_u_dccm_rdata3_15),
+	.X(FE_OFN19370_u_soc_u_dccm_rdata3_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3628_u_soc_u_dccm_rdata3_3 (
+	.A(FE_OFN19708_u_soc_u_dccm_rdata3_3),
+	.X(FE_OFN19369_u_soc_u_dccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3627_u_soc_u_iccm_rdata2_27 (
+	.A(u_soc_u_iccm_rdata2[27]),
+	.X(FE_OFN19368_u_soc_u_iccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3626_FE_OFN3443_FE_OFN1709_n (
+	.A(u_soc_u_iccm_addr2[7]),
+	.X(FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3625_u_soc_u_dccm_rdata2_12 (
+	.A(FE_PDN3959_u_soc_u_dccm_rdata2_12),
+	.X(FE_OFN19366_u_soc_u_dccm_rdata2_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3624_u_soc_u_iccm_rdata3_17 (
+	.A(FE_OFN19687_u_soc_u_iccm_rdata3_17),
+	.X(FE_OFN19365_u_soc_u_iccm_rdata3_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3623_u_soc_u_iccm_rdata3_1 (
+	.A(FE_OFN19663_u_soc_u_iccm_rdata3_1),
+	.X(FE_OFN19364_u_soc_u_iccm_rdata3_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3622_u_soc_u_dccm_rdata3_2 (
+	.A(u_soc_u_dccm_rdata3[2]),
+	.X(FE_OFN19363_u_soc_u_dccm_rdata3_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3621_u_soc_u_iccm_rdata3_10 (
+	.A(FE_OFN19648_u_soc_u_iccm_rdata3_10),
+	.X(FE_OFN19362_u_soc_u_iccm_rdata3_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3620_u_soc_u_dccm_rdata2_3 (
+	.A(FE_PDN4050_u_soc_u_dccm_rdata2_3),
+	.X(FE_OFN19361_u_soc_u_dccm_rdata2_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3619_u_soc_u_iccm_rdata2_30 (
+	.A(FE_OFN19643_u_soc_u_iccm_rdata2_30),
+	.X(FE_OFN19360_u_soc_u_iccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3618_u_soc_u_iccm_rdata3_13 (
+	.A(u_soc_u_iccm_rdata3[13]),
+	.X(FE_OFN19359_u_soc_u_iccm_rdata3_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3617_FE_OFN19213_FE_OFN19090_n (
+	.A(FE_OFN18649_u_soc_data_wmask_3),
+	.X(FE_OFN19358_FE_OFN19213_FE_OFN19090_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3616_FE_OFN19215_FE_OFN19173_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19357_FE_OFN19215_FE_OFN19173_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3615_u_soc_u_iccm_rdata3_14 (
+	.A(u_soc_u_iccm_rdata3[14]),
+	.X(FE_OFN19356_u_soc_u_iccm_rdata3_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3614_u_soc_u_iccm_rdata2_20 (
+	.A(u_soc_u_iccm_rdata2[20]),
+	.X(FE_OFN19355_u_soc_u_iccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3613_u_soc_u_iccm_rdata2_10 (
+	.A(u_soc_u_iccm_rdata2[10]),
+	.X(FE_OFN19354_u_soc_u_iccm_rdata2_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3612_u_soc_u_iccm_rdata2_7 (
+	.A(u_soc_u_iccm_rdata2[7]),
+	.X(FE_OFN19353_u_soc_u_iccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3611_u_soc_u_iccm_rdata2_16 (
+	.A(u_soc_u_iccm_rdata2[16]),
+	.X(FE_OFN19352_u_soc_u_iccm_rdata2_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3610_u_soc_u_dccm_rdata3_4 (
+	.A(FE_OFN19709_u_soc_u_dccm_rdata3_4),
+	.X(FE_OFN19351_u_soc_u_dccm_rdata3_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3609_u_soc_data_wdata_14 (
+	.A(u_soc_data_wdata[14]),
+	.X(FE_OFN19350_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3608_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7 (
+	.A(u_soc_u_iccm_addr3[7]),
+	.X(FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3607_u_soc_u_dccm_rdata3_14 (
+	.A(FE_OFN19644_u_soc_u_dccm_rdata3_14),
+	.X(FE_OFN19348_u_soc_u_dccm_rdata3_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3606_u_soc_u_dccm_rdata2_10 (
+	.A(FE_PDN3966_u_soc_u_dccm_rdata2_10),
+	.X(FE_OFN19347_u_soc_u_dccm_rdata2_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3605_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
+	.A(u_soc_u_dccm_addr3[7]),
+	.X(FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3604_u_soc_u_iccm_rdata2_0 (
+	.A(FE_OFN19636_u_soc_u_iccm_rdata2_0),
+	.X(FE_OFN19345_u_soc_u_iccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3603_FE_OFN19205_FE_OFN19097_n (
+	.A(FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0),
+	.X(FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3602_u_soc_u_iccm_rdata2_31 (
+	.A(u_soc_u_iccm_rdata2[31]),
+	.X(FE_OFN19343_u_soc_u_iccm_rdata2_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3601_u_soc_u_iccm_rdata2_24 (
+	.A(u_soc_u_iccm_rdata2[24]),
+	.X(FE_OFN19342_u_soc_u_iccm_rdata2_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3600_u_soc_u_iccm_rdata2_13 (
+	.A(u_soc_u_iccm_rdata2[13]),
+	.X(FE_OFN19341_u_soc_u_iccm_rdata2_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3599_u_soc_u_dccm_rdata2_6 (
+	.A(FE_PDN19793_u_soc_u_dccm_rdata2_6),
+	.X(FE_OFN19340_u_soc_u_dccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3598_u_soc_u_iccm_rdata2_8 (
+	.A(FE_PDN4247_u_soc_u_iccm_rdata2_8),
+	.X(FE_OFN19339_u_soc_u_iccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3597_u_soc_u_iccm_rdata2_29 (
+	.A(FE_OFN19669_u_soc_u_iccm_rdata2_29),
+	.X(FE_OFN19338_u_soc_u_iccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3596_u_soc_u_dccm_rdata2_16 (
+	.A(FE_PDN3951_u_soc_u_dccm_rdata2_16),
+	.X(FE_OFN19337_u_soc_u_dccm_rdata2_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3595_u_soc_u_dccm_rdata2_4 (
+	.A(FE_PDN4035_u_soc_u_dccm_rdata2_4),
+	.X(FE_OFN19336_u_soc_u_dccm_rdata2_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3594_u_soc_u_dccm_rdata2_1 (
+	.A(FE_OFN19693_u_soc_u_dccm_rdata2_1),
+	.X(FE_OFN19335_u_soc_u_dccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3593_u_soc_u_iccm_rdata2_22 (
+	.A(FE_PDN4001_u_soc_u_iccm_rdata2_22),
+	.X(FE_OFN19334_u_soc_u_iccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3592_u_soc_u_dccm_rdata2_11 (
+	.A(FE_OFN19656_u_soc_u_dccm_rdata2_11),
+	.X(FE_OFN19333_u_soc_u_dccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3591_FE_OFN19262_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19332_FE_OFN19262_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3590_u_soc_u_dccm_rdata3_27 (
+	.A(FE_OFN19660_u_soc_u_dccm_rdata3_27),
+	.X(FE_OFN19331_u_soc_u_dccm_rdata3_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3589_u_soc_u_iccm_rdata2_3 (
+	.A(u_soc_u_iccm_rdata2[3]),
+	.X(FE_OFN19330_u_soc_u_iccm_rdata2_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3588_u_soc_u_dccm_rdata2_9 (
+	.A(FE_PDN3950_u_soc_u_dccm_rdata2_9),
+	.X(FE_OFN19329_u_soc_u_dccm_rdata2_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3587_u_soc_u_dccm_rdata3_0 (
+	.A(FE_OFN19704_u_soc_u_dccm_rdata3_0),
+	.X(FE_OFN19328_u_soc_u_dccm_rdata3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3586_u_soc_u_iccm_rdata2_14 (
+	.A(u_soc_u_iccm_rdata2[14]),
+	.X(FE_OFN19327_u_soc_u_iccm_rdata2_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3585_u_soc_u_iccm_rdata3_0 (
+	.A(FE_PDN4018_u_soc_u_iccm_rdata3_0),
+	.X(FE_OFN19326_u_soc_u_iccm_rdata3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3584_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
+	.A(FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3583_FE_OFN19217_FE_OFN19098_n (
+	.A(FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1),
+	.X(FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3582_u_soc_data_addr_5 (
+	.A(u_soc_data_addr[5]),
+	.X(FE_OFN19323_u_soc_data_addr_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3581_u_soc_u_iccm_rdata2_21 (
+	.A(FE_OFN19658_u_soc_u_iccm_rdata2_21),
+	.X(FE_OFN19322_u_soc_u_iccm_rdata2_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3580_u_soc_u_iccm_rdata2_4 (
+	.A(u_soc_u_iccm_rdata2[4]),
+	.X(FE_OFN19321_u_soc_u_iccm_rdata2_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3579_u_soc_u_dccm_rdata3_30 (
+	.A(FE_OFN19652_u_soc_u_dccm_rdata3_30),
+	.X(FE_OFN19320_u_soc_u_dccm_rdata3_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3578_u_soc_data_addr_3 (
+	.A(u_soc_data_addr[3]),
+	.X(FE_OFN19319_u_soc_data_addr_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3577_u_soc_u_dccm_rdata3_28 (
+	.A(FE_OFN19653_u_soc_u_dccm_rdata3_28),
+	.X(FE_OFN19318_u_soc_u_dccm_rdata3_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3576_u_soc_data_addr_7 (
+	.A(u_soc_data_addr[7]),
+	.X(FE_OFN19317_u_soc_data_addr_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3575_u_soc_data_addr_2 (
+	.A(u_soc_data_addr[2]),
+	.X(FE_OFN19316_u_soc_data_addr_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3574_u_soc_u_iccm_rdata2_26 (
+	.A(FE_OFN19683_u_soc_u_iccm_rdata2_26),
+	.X(FE_OFN19315_u_soc_u_iccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3573_u_soc_data_addr_1 (
+	.A(u_soc_data_addr[1]),
+	.X(FE_OFN19314_u_soc_data_addr_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3572_u_soc_u_dccm_rdata3_29 (
+	.A(FE_PDN4531_u_soc_u_dccm_rdata3_29),
+	.X(FE_OFN19313_u_soc_u_dccm_rdata3_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3571_u_soc_u_dccm_rdata3_24 (
+	.A(u_soc_u_dccm_rdata3[24]),
+	.X(FE_OFN19312_u_soc_u_dccm_rdata3_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3570_u_soc_u_iccm_rdata2_15 (
+	.A(FE_OFN19664_u_soc_u_iccm_rdata2_15),
+	.X(FE_OFN19311_u_soc_u_iccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3569_FE_OFN19076_n (
+	.A(u_soc_u_dccm_addr4[6]),
+	.X(FE_OFN19310_FE_OFN19076_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3568_FE_OFN18749_FE_OFN17983_n (
+	.A(logic_0_58_net),
+	.X(FE_OFN19309_FE_OFN18749_FE_OFN17983_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3567_u_soc_u_iccm_rdata2_17 (
+	.A(u_soc_u_iccm_rdata2[17]),
+	.X(FE_OFN19308_u_soc_u_iccm_rdata2_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3566_u_soc_u_dccm_rdata2_29 (
+	.A(FE_PDN3934_u_soc_u_dccm_rdata2_29),
+	.X(FE_OFN19307_u_soc_u_dccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3565_u_soc_u_iccm_rdata2_5 (
+	.A(u_soc_u_iccm_rdata2[5]),
+	.X(FE_OFN19306_u_soc_u_iccm_rdata2_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3564_u_soc_u_dccm_rdata3_26 (
+	.A(u_soc_u_dccm_rdata3[26]),
+	.X(FE_OFN19305_u_soc_u_dccm_rdata3_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3563_u_soc_u_dccm_rdata2_5 (
+	.A(FE_PDN4015_u_soc_u_dccm_rdata2_5),
+	.X(FE_OFN19304_u_soc_u_dccm_rdata2_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3562_u_soc_u_dccm_rdata3_25 (
+	.A(FE_OFN19646_u_soc_u_dccm_rdata3_25),
+	.X(FE_OFN19303_u_soc_u_dccm_rdata3_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3560_u_soc_u_iccm_rdata2_28 (
+	.A(u_soc_u_iccm_rdata2[28]),
+	.X(FE_OFN19301_u_soc_u_iccm_rdata2_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3559_u_soc_u_iccm_rdata2_1 (
+	.A(FE_PDN4014_u_soc_u_iccm_rdata2_1),
+	.X(FE_OFN19300_u_soc_u_iccm_rdata2_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3558_u_soc_u_dccm_rdata3_31 (
+	.A(u_soc_u_dccm_rdata3[31]),
+	.X(FE_OFN19299_u_soc_u_dccm_rdata3_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3556_u_soc_u_dccm_rdata2_8 (
+	.A(FE_OFN19638_u_soc_u_dccm_rdata2_8),
+	.X(FE_OFN19297_u_soc_u_dccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3555_FE_OFN1741_n (
+	.A(FE_OFN1260_u_soc_data_wdata_16),
+	.X(FE_OFN19296_FE_OFN1741_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3554_u_soc_u_dccm_rdata2_23 (
+	.A(FE_OFN19640_u_soc_u_dccm_rdata2_23),
+	.X(FE_OFN19295_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3553_FE_OFN18746_FE_OFN17984_n (
+	.A(logic_0_57_net),
+	.X(FE_OFN19294_FE_OFN18746_FE_OFN17984_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3552_u_soc_u_dccm_rdata2_25 (
+	.A(FE_PDN3962_u_soc_u_dccm_rdata2_25),
+	.X(FE_OFN19293_u_soc_u_dccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3551_u_soc_u_dccm_rdata2_30 (
+	.A(FE_PDN3931_u_soc_u_dccm_rdata2_30),
+	.X(FE_OFN19292_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3550_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
+	.A(u_soc_u_dccm_addr3[0]),
+	.X(FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3548_u_soc_u_dccm_rdata2_24 (
+	.A(FE_PDN4010_u_soc_u_dccm_rdata2_24),
+	.X(FE_OFN19289_u_soc_u_dccm_rdata2_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3547_FE_OFN19191_FE_OFN19096_n (
+	.A(FE_OFN19057_n),
+	.X(FE_OFN19288_FE_OFN19191_FE_OFN19096_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3545_FE_OFN19061_n (
+	.A(u_soc_u_dccm_addr3[6]),
+	.X(FE_OFN19286_FE_OFN19061_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3544_u_soc_data_addr_4 (
+	.A(FE_PSN4555_u_soc_data_addr_4),
+	.X(FE_OFN19285_u_soc_data_addr_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3543_u_soc_u_iccm_rdata2_2 (
+	.A(u_soc_u_iccm_rdata2[2]),
+	.X(FE_OFN19284_u_soc_u_iccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3542_FE_OFN19181_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19283_FE_OFN19181_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3541_FE_OFN19089_n (
+	.A(FE_OFN18649_u_soc_data_wmask_3),
+	.X(FE_OFN19282_FE_OFN19089_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3540_FE_OFN19059_n (
+	.A(u_soc_u_dccm_addr2[0]),
+	.X(FE_OFN19281_FE_OFN19059_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3539_FE_OFN19064_n (
+	.A(u_soc_u_dccm_addr2[6]),
+	.X(FE_OFN19280_FE_OFN19064_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3538_u_soc_u_dccm_rdata2_31 (
+	.A(FE_OFN19635_u_soc_u_dccm_rdata2_31),
+	.X(FE_OFN19279_u_soc_u_dccm_rdata2_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00072 (
+	.A(CTS_91),
+	.X(CTS_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00070 (
+	.A(CTS_91),
+	.X(CTS_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00066 (
+	.A(CTS_91),
+	.X(CTS_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00062 (
+	.A(CTS_91),
+	.X(FE_USKN4848_CTS_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00060 (
+	.A(CTS_91),
+	.X(CTS_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00058 (
+	.A(CTS_91),
+	.X(CTS_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00056 (
+	.A(CTS_91),
+	.X(CTS_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00079 (
+	.A(u_soc_u_top_u_core_clk),
+	.X(CTS_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00068 (
+	.A(CTS_83),
+	.X(CTS_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00064 (
+	.A(CTS_83),
+	.X(CTS_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00054 (
+	.A(CTS_83),
+	.X(CTS_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00052 (
+	.A(CTS_83),
+	.X(CTS_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00050 (
+	.A(CTS_83),
+	.X(CTS_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00048 (
+	.A(CTS_83),
+	.X(CTS_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00077 (
+	.A(u_soc_u_top_u_core_clk),
+	.X(CTS_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00674 (
+	.A(wb_clk_i),
+	.X(CTS_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00624 (
+	.A(CTS_74),
+	.X(CTS_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00538 (
+	.A(CTS_74),
+	.X(CTS_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00656 (
+	.A(CTS_78),
+	.X(CTS_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 CTS_ccl_buf_00668 (
+	.A(CTS_84),
+	.X(CTS_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_buf_00673 (
+	.A(wb_clk_i),
+	.X(CTS_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00616 (
+	.A(CTS_70),
+	.X(CTS_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00614 (
+	.A(CTS_70),
+	.X(CTS_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00612 (
+	.A(CTS_70),
+	.X(CTS_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00610 (
+	.A(CTS_70),
+	.X(CTS_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00608 (
+	.A(CTS_70),
+	.X(CTS_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00606 (
+	.A(CTS_70),
+	.X(CTS_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00604 (
+	.A(CTS_70),
+	.X(CTS_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00602 (
+	.A(CTS_70),
+	.X(CTS_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00600 (
+	.A(CTS_70),
+	.X(CTS_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00598 (
+	.A(CTS_70),
+	.X(CTS_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00596 (
+	.A(CTS_70),
+	.X(CTS_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00594 (
+	.A(CTS_70),
+	.X(CTS_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00562 (
+	.A(CTS_70),
+	.X(CTS_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00664 (
+	.A(CTS_75),
+	.X(CTS_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00626 (
+	.A(CTS_56),
+	.X(CTS_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00590 (
+	.A(CTS_56),
+	.X(CTS_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00584 (
+	.A(CTS_56),
+	.X(CTS_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00576 (
+	.A(CTS_56),
+	.X(FE_USKN4660_CTS_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00512 (
+	.A(CTS_56),
+	.X(CTS_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00508 (
+	.A(CTS_56),
+	.X(CTS_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00504 (
+	.A(CTS_56),
+	.X(CTS_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00500 (
+	.A(CTS_56),
+	.X(CTS_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00498 (
+	.A(CTS_56),
+	.X(CTS_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00496 (
+	.A(CTS_56),
+	.X(CTS_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00662 (
+	.A(CTS_75),
+	.X(FE_USKN4673_CTS_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00574 (
+	.A(CTS_45),
+	.X(CTS_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00570 (
+	.A(CTS_45),
+	.X(CTS_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00568 (
+	.A(CTS_45),
+	.X(CTS_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00552 (
+	.A(CTS_45),
+	.X(CTS_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00542 (
+	.A(CTS_45),
+	.X(CTS_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00540 (
+	.A(CTS_45),
+	.X(CTS_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00530 (
+	.A(CTS_45),
+	.X(CTS_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00528 (
+	.A(CTS_45),
+	.X(CTS_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00526 (
+	.A(CTS_45),
+	.X(CTS_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00518 (
+	.A(CTS_45),
+	.X(CTS_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00660 (
+	.A(CTS_75),
+	.X(CTS_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00628 (
+	.A(CTS_34),
+	.X(CTS_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00592 (
+	.A(CTS_34),
+	.X(CTS_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00586 (
+	.A(CTS_34),
+	.X(CTS_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00582 (
+	.A(CTS_34),
+	.X(CTS_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00580 (
+	.A(CTS_34),
+	.X(CTS_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00558 (
+	.A(CTS_34),
+	.X(CTS_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00534 (
+	.A(CTS_34),
+	.X(CTS_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00516 (
+	.A(CTS_34),
+	.X(CTS_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00510 (
+	.A(CTS_34),
+	.X(CTS_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00502 (
+	.A(CTS_34),
+	.X(CTS_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00494 (
+	.A(CTS_34),
+	.X(CTS_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00658 (
+	.A(CTS_75),
+	.X(FE_USKN4675_CTS_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 CTS_csf_buf_00682 (
+	.A(CTS_19),
+	.X(CTS_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_csf_buf_00681 (
+	.A(CTS_19),
+	.X(CTS_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00622 (
+	.A(CTS_19),
+	.X(CTS_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00620 (
+	.A(CTS_19),
+	.X(FE_USKN4849_CTS_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00618 (
+	.A(CTS_19),
+	.X(CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00578 (
+	.A(CTS_19),
+	.X(CTS_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00572 (
+	.A(CTS_19),
+	.X(CTS_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00506 (
+	.A(CTS_19),
+	.X(FE_USKN4656_CTS_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00654 (
+	.A(CTS_75),
+	.X(CTS_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00566 (
+	.A(CTS_14),
+	.X(CTS_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00564 (
+	.A(CTS_14),
+	.X(CTS_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00560 (
+	.A(CTS_14),
+	.X(CTS_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00556 (
+	.A(CTS_14),
+	.X(CTS_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00554 (
+	.A(CTS_14),
+	.X(CTS_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00550 (
+	.A(CTS_14),
+	.X(CTS_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00548 (
+	.A(CTS_14),
+	.X(CTS_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00546 (
+	.A(CTS_14),
+	.X(CTS_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00544 (
+	.A(CTS_14),
+	.X(CTS_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00536 (
+	.A(CTS_14),
+	.X(CTS_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00532 (
+	.A(CTS_14),
+	.X(CTS_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00524 (
+	.A(CTS_14),
+	.X(CTS_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00522 (
+	.A(CTS_14),
+	.X(CTS_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00520 (
+	.A(CTS_14),
+	.X(CTS_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00514 (
+	.A(CTS_14),
+	.X(CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00652 (
+	.A(CTS_75),
+	.X(CTS_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00669 (
+	.A(wb_clk_i),
+	.X(FE_USKN4845_CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_198_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
+	.C1(n_8868),
+	.C2(n_13531),
+	.Y(n_10161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3537_u_soc_u_top_u_core_instr_rdata_id_16 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.X(FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3514_n_6867 (
+	.A(n_6867),
+	.X(FE_OFN19255_n_6867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_OFC3513_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN19253_FE_OFN1276_u_soc_instr_wdata_5),
+	.Y(FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3512_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN1276_u_soc_instr_wdata_5),
+	.Y(FE_OFN19253_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3506_FE_OFN19156_n (
+	.A(u_soc_u_iccm_addr3[2]),
+	.X(FE_OFN19247_FE_OFN19156_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3505_FE_OFN19161_n (
+	.A(u_soc_u_iccm_addr3[4]),
+	.X(FE_OFN19246_FE_OFN19161_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3504_FE_OFN19152_n (
+	.A(u_soc_u_iccm_addr3[1]),
+	.X(FE_OFN19245_FE_OFN19152_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3503_FE_OFN19155_n (
+	.A(u_soc_u_iccm_addr3[6]),
+	.X(FE_OFN19244_FE_OFN19155_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3502_FE_OFN19131_n (
+	.A(u_soc_u_iccm_addr3[0]),
+	.X(FE_OFN19243_FE_OFN19131_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3501_FE_OFN19168_n (
+	.A(FE_OFN18652_u_soc_data_wmask_3),
+	.X(FE_OFN19242_FE_OFN19168_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3477_FE_OFN18888_FE_OFN17996_n (
+	.A(FE_OFN18888_FE_OFN17996_n),
+	.X(FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3475_FE_OFN19067_n (
+	.A(FE_PSBN19879_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_OFN19216_FE_OFN19067_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3471_FE_OFN19103_n (
+	.A(FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1),
+	.X(FE_OFN19212_FE_OFN19103_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3470_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0 (
+	.A(FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0),
+	.X(FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3469_FE_OFN19104_n (
+	.A(FE_OFN18649_u_soc_data_wmask_3),
+	.X(FE_OFN19210_FE_OFN19104_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3468_FE_OFN18796_FE_OFN18186_n (
+	.A(FE_OFN1728_n),
+	.X(FE_OFN19209_FE_OFN18796_FE_OFN18186_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3467_FE_OFN809_u_soc_u_dccm_addr4_7 (
+	.A(u_soc_u_dccm_addr4[7]),
+	.X(FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3466_FE_OFN19102_n (
+	.A(FE_OFN18685_FE_OFN1317_u_soc_data_wmask_0),
+	.X(FE_OFN19207_FE_OFN19102_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3465_FE_OFN18750_FE_OFN1716_n (
+	.A(u_soc_u_iccm_addr4[7]),
+	.X(FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3463_FE_OFN18791_FE_OFN1687_n (
+	.A(FE_PDN3956_u_soc_u_dccm_addr1_5),
+	.X(FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3462_FE_OFN19077_n (
+	.A(FE_PDN4070_u_soc_u_dccm_addr1_6),
+	.X(FE_OFN19203_FE_OFN19077_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3461_FE_OFN18777_FE_OFN1689_n (
+	.A(u_soc_u_dccm_addr1[7]),
+	.X(FE_OFN19202_FE_OFN18777_FE_OFN1689_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3454_FE_OFN19073_n (
+	.A(FE_PSBN19880_FE_OFN1309_u_soc_data_wmask_2),
+	.X(FE_OFN19195_FE_OFN19073_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3453_FE_OFN18757_FE_OFN1682_n (
+	.A(FE_PDN3908_u_soc_u_dccm_addr1_0),
+	.X(FE_OFN19194_FE_OFN18757_FE_OFN1682_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3451_FE_OFN19179_n (
+	.A(FE_OFN19057_n),
+	.X(FE_OFN19192_FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3449_FE_OFN19180_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19190_FE_OFN19180_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3448_FE_OFN19180_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19189_FE_OFN19180_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_197_0 (
+	.A1(n_15866),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.B1(n_15872),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.C1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.C2(n_16001),
+	.Y(n_15986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_196_0 (
+	.A(n_11460),
+	.B(n_11155),
+	.Y(FE_RN_122_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 FE_RC_195_0 (
+	.A(FE_RN_122_0),
+	.B_N(n_11111),
+	.Y(n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_192_0 (
+	.A1(n_11479),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.B1(n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.C1(n_15858),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.Y(n_15974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 FE_RC_191_0 (
+	.A1(n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.B1(n_15951),
+	.Y(FE_RN_120_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 FE_RC_190_0 (
+	.A(n_12865),
+	.B_N(FE_RN_120_0),
+	.Y(n_13028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_189_0 (
+	.A1(FE_OFN18422_n_11555),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.B1(FE_OFN18579_n_15859),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.X(FE_RN_119_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_188_0 (
+	.A(FE_RN_119_0),
+	.B(n_12607),
+	.Y(n_12689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_187_0 (
+	.A(FE_PSN4166_n_13338),
+	.B(n_11153),
+	.Y(FE_RN_118_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_186_0 (
+	.A(n_11637),
+	.B(FE_RN_118_0),
+	.Y(n_15996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 FE_RC_185_0 (
+	.A1(FE_OFN18547_n_11593),
+	.A2(FE_PSBN19826_n_15943),
+	.B1(n_13114),
+	.C1(n_13147),
+	.D1(n_13175),
+	.Y(n_13808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_184_0 (
+	.A1(FE_OFN18378_n_11176),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B1(n_11258),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.X(FE_RN_117_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 FE_RC_183_0 (
+	.A1(n_13498),
+	.A2(FE_OFN1581_n_11054),
+	.B1(FE_RN_117_0),
+	.Y(n_13264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_179_0 (
+	.A(n_11245),
+	.Y(FE_RN_113_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_RC_178_0 (
+	.A(n_11628),
+	.Y(FE_RN_114_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 FE_RC_177_0 (
+	.A(FE_RN_113_0),
+	.B(FE_RN_114_0),
+	.Y(n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_176_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
+	.Y(FE_RN_111_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_175_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
+	.Y(FE_RN_112_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_174_0 (
+	.A(FE_RN_112_0),
+	.B(FE_RN_111_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_173_0 (
+	.A(n_13199),
+	.Y(FE_RN_108_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_172_0 (
+	.A(FE_OFN18366_n_11593),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.Y(FE_RN_109_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_171_0 (
+	.A(FE_RN_108_0),
+	.B(FE_RN_109_0),
+	.Y(FE_RN_110_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 FE_RC_170_0 (
+	.A(FE_RN_110_0),
+	.B(n_11466),
+	.C(n_13184),
+	.Y(n_13820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 FE_RC_169_0 (
+	.A(n_15986),
+	.B(FE_PSN4486_n_15974),
+	.C(n_12600),
+	.D(n_12162),
+	.Y(n_12839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_168_0 (
+	.A(FE_RN_91_0),
+	.Y(FE_RN_106_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 FE_RC_167_0 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
+	.B(FE_RN_106_0),
+	.Y(FE_RN_107_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 FE_RC_166_0 (
+	.A(FE_RN_90_0),
+	.B(FE_RN_107_0),
+	.Y(\u_soc_lsu_to_xbar[a_address] [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_165_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64),
+	.Y(FE_RN_105_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_4 FE_RC_164_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.B1_N(FE_RN_105_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 FE_RC_163_0 (
+	.A(n_12762),
+	.B(n_12583),
+	.X(FE_RN_104_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 FE_RC_162_0 (
+	.A(n_13063),
+	.B(FE_PSN4173_n_12857),
+	.C(FE_RN_104_0),
+	.Y(n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_RC_161_0 (
+	.A(n_12919),
+	.Y(FE_RN_102_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_160_0 (
+	.A(n_12319),
+	.B(n_12320),
+	.Y(FE_RN_103_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_4 FE_RC_159_0 (
+	.A_N(FE_RN_103_0),
+	.B(FE_RN_102_0),
+	.C(n_15947),
+	.Y(n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_158_0 (
+	.A1(n_11515),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.B1(FE_OFN18440_n_11765),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.X(FE_RN_101_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_157_0 (
+	.A(FE_RN_101_0),
+	.B(n_12300),
+	.Y(n_12809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 FE_RC_150_0 (
+	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.B(n_646),
+	.Y(FE_RN_96_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 FE_RC_148_0 (
+	.A1(n_646),
+	.A2(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.B1(FE_RN_96_0),
+	.Y(n_8955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 FE_RC_147_0 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.Y(FE_RN_94_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_4 FE_RC_144_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
+	.B1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68),
+	.Y(FE_RN_93_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_143_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
+	.A2(FE_PSBN19891_FE_RN_93_0),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215),
+	.Y(\u_soc_lsu_to_xbar[a_address] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_142_0 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.X(FE_RN_92_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 FE_RC_141_0 (
+	.A1(n_10336),
+	.A2(n_13492),
+	.B1(FE_RN_92_0),
+	.Y(n_10862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_139_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
+	.B(FE_RN_91_0),
+	.Y(FE_RN_90_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_138_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80),
+	.Y(FE_RN_91_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_135_0 (
+	.A(n_11464),
+	.Y(FE_RN_88_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_4 FE_RC_134_0 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11156),
+	.C(FE_RN_88_0),
+	.Y(n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_132_0 (
+	.A(n_12863),
+	.B(n_12839),
+	.Y(FE_RN_85_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_130_0 (
+	.A(FE_RN_85_0),
+	.B(n_13028),
+	.Y(FE_RN_86_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_RC_128_0 (
+	.A(n_11398),
+	.Y(FE_RN_83_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_127_0 (
+	.A(n_11279),
+	.Y(FE_RN_84_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 FE_RC_126_0 (
+	.A(FE_RN_83_0),
+	.B(FE_RN_84_0),
+	.Y(n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_125_0 (
+	.A(n_11268),
+	.Y(FE_RN_81_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_RC_124_0 (
+	.A(n_11397),
+	.Y(FE_RN_82_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 FE_RC_123_0 (
+	.A(FE_RN_81_0),
+	.B(FE_RN_82_0),
+	.Y(n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_122_0 (
+	.A(FE_PSN4624_n_11401),
+	.Y(FE_RN_80_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 FE_RC_121_0 (
+	.A(FE_RN_80_0),
+	.B_N(n_11287),
+	.Y(n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_120_0 (
+	.A(n_12788),
+	.Y(FE_RN_77_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_119_0 (
+	.A(n_12664),
+	.Y(FE_RN_78_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 FE_RC_118_0 (
+	.A(n_13416),
+	.B(n_11443),
+	.Y(FE_RN_79_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 FE_RC_117_0 (
+	.A(FE_RN_79_0),
+	.B(FE_RN_78_0),
+	.C(FE_RN_77_0),
+	.Y(n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 FE_RC_116_0 (
+	.A(n_12988),
+	.B(n_12924),
+	.C(n_13055),
+	.Y(n_13073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_115_0 (
+	.A1(n_11626),
+	.A2(n_13417),
+	.B1(n_12310),
+	.Y(n_13495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_114_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[7]),
+	.Y(FE_RN_76_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 FE_RC_113_0 (
+	.A1(FE_PSN4664_n_10153),
+	.A2(FE_PSN4110_n_10341),
+	.B1(FE_RN_76_0),
+	.Y(n_10558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_RC_110_0 (
+	.A(FE_RN_75_0),
+	.Y(n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_109_0 (
+	.A(n_11400),
+	.B(n_11239),
+	.Y(FE_RN_75_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_108_0 (
+	.A(n_11515),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.Y(FE_RN_70_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_107_0 (
+	.A(FE_OFN18440_n_11765),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.Y(FE_RN_71_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_106_0 (
+	.A(FE_OFN18554_n_15860),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.Y(FE_RN_72_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 FE_RC_105_0 (
+	.A(FE_RN_72_0),
+	.B(FE_RN_71_0),
+	.C(FE_RN_70_0),
+	.X(n_12795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_104_0 (
+	.A1(FE_PSN4428_n_11176),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B1(n_11258),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.X(FE_RN_69_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 FE_RC_103_0 (
+	.A1(n_13496),
+	.A2(FE_OFN1581_n_11054),
+	.B1(FE_RN_69_0),
+	.Y(n_13221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_102_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[5]),
+	.Y(FE_RN_68_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 FE_RC_101_0 (
+	.A1(FE_PSN4664_n_10153),
+	.A2(FE_PSN4780_n_10162),
+	.B1(FE_RN_68_0),
+	.Y(n_10395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_100_0 (
+	.A(n_11289),
+	.Y(FE_RN_66_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_RC_99_0 (
+	.A(n_11456),
+	.Y(FE_RN_67_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_98_0 (
+	.A(FE_RN_66_0),
+	.B(FE_RN_67_0),
+	.Y(n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_97_0 (
+	.A1(FE_OFN18378_n_11176),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B1(n_11258),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.X(FE_RN_65_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 FE_RC_96_0 (
+	.A1(n_13503),
+	.A2(FE_OFN18577_n_11054),
+	.B1(FE_RN_65_0),
+	.Y(n_13253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_95_0 (
+	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.Y(FE_RN_64_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 FE_RC_94_0 (
+	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(FE_RN_64_0),
+	.C(n_8816),
+	.Y(n_16004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_93_0 (
+	.A(n_11169),
+	.Y(FE_RN_61_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_92_0 (
+	.A(n_11302),
+	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.Y(FE_RN_62_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_91_0 (
+	.A(FE_RN_63_0),
+	.Y(n_11431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_90_0 (
+	.A(FE_RN_62_0),
+	.B(FE_RN_61_0),
+	.Y(FE_RN_63_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 FE_RC_89_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [28]),
+	.B_N(n_15940),
+	.Y(FE_RN_58_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_88_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [25]),
+	.B(\u_soc_lsu_to_xbar[a_address] [27]),
+	.Y(FE_RN_59_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_87_0 (
+	.A(FE_RN_60_0),
+	.Y(n_15938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_86_0 (
+	.A(FE_RN_59_0),
+	.B(FE_RN_58_0),
+	.Y(FE_RN_60_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_85_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[9]),
+	.Y(FE_RN_56_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_84_0 (
+	.A(n_10152),
+	.B(\u_soc_ifu_to_xbar[a_address] [11]),
+	.Y(FE_RN_57_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_83_0 (
+	.A(FE_RN_57_0),
+	.B(FE_RN_56_0),
+	.Y(n_10561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_82_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17),
+	.Y(FE_RN_54_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 FE_RC_80_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16),
+	.B1(FE_RN_54_0),
+	.Y(FE_RN_55_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_79_0 (
+	.A(n_10315),
+	.Y(FE_RN_50_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_78_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.Y(FE_RN_51_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_77_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
+	.Y(FE_RN_52_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 FE_RC_76_0 (
+	.A1_N(FE_RN_52_0),
+	.A2_N(FE_RN_51_0),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
+	.B2(FE_PSN4856_n_8934),
+	.Y(FE_RN_53_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_75_0 (
+	.A(FE_RN_53_0),
+	.B(FE_RN_50_0),
+	.Y(\u_soc_ifu_to_xbar[a_address] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_74_0 (
+	.A(n_1220),
+	.B(u_soc_u_top_u_core_csr_depc[31]),
+	.Y(FE_RN_46_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_73_0 (
+	.A(n_6919),
+	.B(u_soc_u_top_u_core_pc_if[31]),
+	.Y(FE_RN_47_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_72_0 (
+	.A(n_13607),
+	.B(FE_OFN1503_n_6915),
+	.Y(FE_RN_48_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_RC_71_0 (
+	.A(FE_RN_49_0),
+	.Y(n_7597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 FE_RC_70_0 (
+	.A(FE_RN_48_0),
+	.B(FE_RN_46_0),
+	.C(FE_RN_47_0),
+	.Y(FE_RN_49_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_69_0 (
+	.A1(FE_OFN18378_n_11176),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B1(n_11258),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.X(FE_RN_45_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 FE_RC_68_0 (
+	.A1(n_13499),
+	.A2(FE_OFN1581_n_11054),
+	.B1(FE_RN_45_0),
+	.Y(n_13223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_67_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[8]),
+	.Y(FE_RN_42_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_RC_66_0 (
+	.A(n_10152),
+	.Y(FE_RN_43_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_65_0 (
+	.A(\u_soc_ifu_to_xbar[a_address] [10]),
+	.Y(FE_RN_44_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 FE_RC_64_0 (
+	.A1(FE_RN_44_0),
+	.A2(FE_RN_43_0),
+	.B1(FE_RN_42_0),
+	.Y(n_10559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_63_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[0]),
+	.Y(FE_RN_41_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 FE_RC_62_0 (
+	.A1(FE_PSN4664_n_10153),
+	.A2(n_10161),
+	.B1(FE_RN_41_0),
+	.Y(n_10400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_61_0 (
+	.A(FE_COEN4278_n_12263),
+	.B(u_soc_u_top_u_core_instr_rdata_id[10]),
+	.Y(FE_RN_38_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_60_0 (
+	.A(FE_PSN4471_n_12261),
+	.B(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23),
+	.Y(FE_RN_39_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_59_0 (
+	.A(FE_RN_39_0),
+	.B(FE_RN_38_0),
+	.Y(FE_RN_40_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 FE_RC_58_0 (
+	.A1(FE_PSBN19828_n_13387),
+	.A2(FE_PSN4801_n_11443),
+	.B1(FE_RN_40_0),
+	.Y(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 FE_RC_57_0 (
+	.A1(FE_OFN18378_n_11176),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B1(n_11258),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.Y(FE_RN_37_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 FE_RC_56_0 (
+	.A1(n_13497),
+	.A2(FE_OFN1581_n_11054),
+	.B1_N(FE_RN_37_0),
+	.Y(n_13222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_55_0 (
+	.A(n_10316),
+	.Y(FE_RN_33_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_54_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.Y(FE_RN_34_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_53_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
+	.Y(FE_RN_35_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 FE_RC_52_0 (
+	.A1_N(FE_RN_35_0),
+	.A2_N(FE_RN_34_0),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
+	.B2(FE_PSN4856_n_8934),
+	.Y(FE_RN_36_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_51_0 (
+	.A(FE_RN_36_0),
+	.B(FE_RN_33_0),
+	.Y(\u_soc_ifu_to_xbar[a_address] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_48_0 (
+	.A(FE_RN_1_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95),
+	.Y(FE_RN_32_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_47_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95),
+	.A2(FE_RN_1_0),
+	.B1(FE_RN_32_0),
+	.Y(\u_soc_xbar_to_dccm[a_address] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_46_0 (
+	.A(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.Y(FE_RN_27_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_45_0 (
+	.A(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.B(n_13275),
+	.Y(FE_RN_28_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_RC_44_0 (
+	.A(n_13282),
+	.Y(FE_RN_29_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 FE_RC_43_0 (
+	.A(FE_RN_29_0),
+	.B(FE_RN_28_0),
+	.C(FE_RN_27_0),
+	.Y(n_13283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_42_0 (
+	.A(FE_OFN18536_n_15862),
+	.B(u_soc_u_top_u_core_pc_id[5]),
+	.Y(FE_RN_24_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_41_0 (
+	.A(FE_OFN18426_n_16003),
+	.B(u_soc_u_top_u_core_lsu_addr_last[5]),
+	.Y(FE_RN_25_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_40_0 (
+	.A(FE_RN_25_0),
+	.B(FE_RN_24_0),
+	.Y(FE_RN_26_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 FE_RC_39_0 (
+	.A1(FE_PSBN19892_n_13422),
+	.A2(n_11625),
+	.B1(FE_RN_26_0),
+	.Y(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_38_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
+	.Y(FE_RN_22_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_RC_37_0 (
+	.A(FE_RN_23_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_4 FE_RC_36_0 (
+	.A(FE_PSBN19863_FE_RN_55_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
+	.C(FE_RN_22_0),
+	.Y(FE_RN_23_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_35_0 (
+	.A(FE_RN_15_0),
+	.Y(FE_RN_21_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_34_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
+	.B1(FE_RN_21_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_30_0 (
+	.A(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.B(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.Y(FE_RN_16_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_29_0 (
+	.A(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.B(n_13378),
+	.Y(FE_RN_17_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_28_0 (
+	.A(FE_RN_18_0),
+	.Y(n_13277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_27_0 (
+	.A(FE_RN_17_0),
+	.B(FE_RN_16_0),
+	.Y(FE_RN_18_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_25_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_24_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107),
+	.Y(FE_RN_15_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3443_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
+	.X(FE_OCPN19184_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 FE_RC_22_0 (
+	.A(n_9782),
+	.B(n_8952),
+	.C(n_8951),
+	.Y(FE_RN_14_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_4 FE_RC_21_0 (
+	.A1(n_8955),
+	.A2(n_8947),
+	.B1_N(FE_RN_14_0),
+	.Y(n_10148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 FE_RC_20_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [29]),
+	.Y(FE_RN_12_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 FE_RC_19_0 (
+	.A_N(\u_soc_lsu_to_xbar[a_address] [26]),
+	.B(FE_RN_12_0),
+	.Y(FE_RN_13_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 FE_RC_18_0 (
+	.A(FE_RN_13_0),
+	.B(n_13283),
+	.Y(n_13284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_15_0 (
+	.A(n_82523_BAR),
+	.B(n_13273),
+	.Y(FE_RN_8_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_14_0 (
+	.A(n_13460),
+	.B(n_13274),
+	.Y(FE_RN_9_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 FE_RC_13_0 (
+	.A(FE_RN_8_0),
+	.B(FE_RN_9_0),
+	.Y(FE_RN_10_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_12_0 (
+	.A(FE_RN_10_0),
+	.B(n_13288),
+	.Y(n_13610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OCPC3442_n_10157 (
+	.A(n_10157),
+	.X(FE_OCPN19183_n_10157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 FE_RC_11_0 (
+	.A(n_13885),
+	.B(n_13332),
+	.X(FE_RN_6_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_RC_10_0 (
+	.A(FE_RN_7_0),
+	.Y(u_soc_u_top_u_core_id_stage_i_branch_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 FE_RC_9_0 (
+	.A_N(FE_RN_6_0),
+	.B(n_13610),
+	.Y(FE_RN_7_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_8_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [30]),
+	.Y(FE_RN_3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_RC_7_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.Y(FE_RN_4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 FE_RC_6_0 (
+	.A(FE_RN_4_0),
+	.B(FE_RN_3_0),
+	.Y(FE_RN_5_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 FE_RC_5_0 (
+	.A(n_13285),
+	.B(FE_RN_5_0),
+	.Y(n_13286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_4_0 (
+	.A(FE_OCPN19184_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
+	.Y(FE_RN_1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 FE_RC_1_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
+	.X(FE_RN_0_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 FE_RC_0_0 (
+	.A1(FE_PSN4637_n_8868),
+	.A2(n_13348),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
+	.C1(FE_RN_0_0),
+	.Y(n_10160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3434_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19175_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3430_FE_OFN1241_u_soc_data_wdata_24 (
+	.A(FE_OFN1241_u_soc_data_wdata_24),
+	.X(FE_OFN19171_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3424_u_soc_u_iccm_rdata4_22 (
+	.A(FE_OFN19695_u_soc_u_iccm_rdata4_22),
+	.X(FE_OFN19165_u_soc_u_iccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3423_u_soc_u_dccm_rdata4_6 (
+	.A(FE_OFN19697_u_soc_u_dccm_rdata4_6),
+	.X(FE_OFN19164_u_soc_u_dccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3422_u_soc_u_dccm_rdata4_7 (
+	.A(FE_OFN19694_u_soc_u_dccm_rdata4_7),
+	.X(FE_OFN19163_u_soc_u_dccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3419_u_soc_u_iccm_rdata4_10 (
+	.A(FE_OFN19637_u_soc_u_iccm_rdata4_10),
+	.X(FE_OFN19160_u_soc_u_iccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3418_u_soc_u_dccm_rdata4_13 (
+	.A(FE_OFN19685_u_soc_u_dccm_rdata4_13),
+	.X(FE_OFN19159_u_soc_u_dccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3417_u_soc_u_dccm_rdata4_15 (
+	.A(FE_PDN4059_u_soc_u_dccm_rdata4_15),
+	.X(FE_OFN19158_u_soc_u_dccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3416_FE_OFN1711_n (
+	.A(FE_OFN1339_u_soc_u_iccm_addr4_2),
+	.X(FE_OFN19157_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3389_u_soc_u_iccm_rdata4_6 (
+	.A(FE_PDN3990_u_soc_u_iccm_rdata4_6),
+	.X(FE_OFN19130_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3388_u_soc_u_iccm_rdata4_11 (
+	.A(FE_OFN19676_u_soc_u_iccm_rdata4_11),
+	.X(FE_OFN19129_u_soc_u_iccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3387_u_soc_u_iccm_rdata4_25 (
+	.A(FE_OFN19716_u_soc_u_iccm_rdata4_25),
+	.X(FE_OFN19128_u_soc_u_iccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3386_u_soc_u_dccm_rdata4_11 (
+	.A(FE_OFN19696_u_soc_u_dccm_rdata4_11),
+	.X(FE_OFN19127_u_soc_u_dccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3384_FE_OFN1233_u_soc_data_wdata_26 (
+	.A(FE_OFN1233_u_soc_data_wdata_26),
+	.X(FE_OFN19125_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3383_u_soc_u_dccm_rdata4_12 (
+	.A(u_soc_u_dccm_rdata4[12]),
+	.X(FE_OFN19124_u_soc_u_dccm_rdata4_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3381_u_soc_u_dccm_rdata4_19 (
+	.A(FE_OFN19677_u_soc_u_dccm_rdata4_19),
+	.X(FE_OFN19122_u_soc_u_dccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3380_u_soc_u_iccm_rdata4_9 (
+	.A(FE_OFN19672_u_soc_u_iccm_rdata4_9),
+	.X(FE_OFN19121_u_soc_u_iccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3376_u_soc_u_iccm_rdata4_7 (
+	.A(FE_OFN19674_u_soc_u_iccm_rdata4_7),
+	.X(FE_OFN19117_u_soc_u_iccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3374_u_soc_u_dccm_rdata4_23 (
+	.A(FE_OFN19622_u_soc_u_dccm_rdata4_23),
+	.X(FE_OFN19115_u_soc_u_dccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3372_u_soc_u_dccm_rdata4_20 (
+	.A(FE_OFN19661_u_soc_u_dccm_rdata4_20),
+	.X(FE_OFN19113_u_soc_u_dccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3370_u_soc_u_dccm_rdata4_24 (
+	.A(FE_OFN19668_u_soc_u_dccm_rdata4_24),
+	.X(FE_OFN19111_u_soc_u_dccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3369_u_soc_u_dccm_rdata4_29 (
+	.A(FE_PDN4237_u_soc_u_dccm_rdata4_29),
+	.X(FE_OFN19110_u_soc_u_dccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3368_u_soc_u_dccm_rdata4_30 (
+	.A(FE_PDN3979_u_soc_u_dccm_rdata4_30),
+	.X(FE_OFN19109_u_soc_u_dccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3367_u_soc_u_dccm_rdata4_26 (
+	.A(FE_OFN19662_u_soc_u_dccm_rdata4_26),
+	.X(FE_OFN19108_u_soc_u_dccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3365_u_soc_u_dccm_rdata4_28 (
+	.A(u_soc_u_dccm_rdata4[28]),
+	.X(FE_OFN19106_u_soc_u_dccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3364_u_soc_u_dccm_rdata4_31 (
+	.A(FE_PDN4038_u_soc_u_dccm_rdata4_31),
+	.X(FE_OFN19105_u_soc_u_dccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3339_io_out_6 (
+	.A(FE_OFN19080_io_out_6),
+	.X(FE_PDN19857_io_out_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3316_n (
+	.A(FE_OFN1322_u_soc_instr_wmask_0),
+	.X(FE_OFN19057_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3315_FE_OFN133_io_out_37 (
+	.A(FE_OFN133_io_out_37),
+	.X(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3314_FE_OFN126_io_out_37 (
+	.A(FE_OFN126_io_out_37),
+	.X(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3313_FE_OFN138_io_out_37 (
+	.A(FE_OFN138_io_out_37),
+	.X(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3312_FE_OFN132_io_out_37 (
+	.A(FE_OFN132_io_out_37),
+	.X(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3310_FE_OFN77_io_out_37 (
+	.A(FE_OFN77_io_out_37),
+	.X(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3309_FE_OFN961_n_5348 (
+	.A(FE_OFN961_n_5348),
+	.X(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3308_FE_OFN551_u_soc_instr_wdata_10 (
+	.A(FE_OFN551_u_soc_instr_wdata_10),
+	.X(FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3307_FE_OFN101_io_out_37 (
+	.A(FE_OFN101_io_out_37),
+	.X(FE_OFN19048_FE_OFN101_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3305_FE_OFN470_u_soc_instr_wdata_22 (
+	.A(FE_OFN469_u_soc_instr_wdata_22),
+	.X(FE_OFN19046_FE_OFN470_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3304_FE_OFN125_io_out_37 (
+	.A(FE_OFN125_io_out_37),
+	.X(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3302_FE_OFN105_io_out_37 (
+	.A(FE_OFN105_io_out_37),
+	.X(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3301_FE_OFN122_io_out_37 (
+	.A(FE_OFN122_io_out_37),
+	.X(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3300_FE_OFN66_io_out_37 (
+	.A(FE_OFN66_io_out_37),
+	.X(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3299_FE_OFN423_u_soc_instr_wdata_29 (
+	.A(u_soc_instr_wdata[29]),
+	.X(FE_OFN19040_FE_OFN423_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3298_FE_OFN47_io_out_37 (
+	.A(FE_OFN47_io_out_37),
+	.X(FE_OFN19039_FE_OFN47_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3297_FE_OFN102_io_out_37 (
+	.A(FE_OFN102_io_out_37),
+	.X(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3296_FE_OFN61_io_out_37 (
+	.A(FE_OFN61_io_out_37),
+	.X(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3295_FE_OFN153_io_out_37 (
+	.A(FE_OFN153_io_out_37),
+	.X(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3294_n_10718 (
+	.A(n_10718),
+	.X(FE_OFN19035_n_10718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3293_FE_OFN103_io_out_37 (
+	.A(FE_OFN103_io_out_37),
+	.X(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3290_FE_OFN79_io_out_37 (
+	.A(FE_OFN79_io_out_37),
+	.X(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3289_FE_OFN465_u_soc_instr_wdata_23 (
+	.A(FE_OFN465_u_soc_instr_wdata_23),
+	.X(FE_OFN19030_FE_OFN465_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3288_FE_OFN96_io_out_37 (
+	.A(FE_OFN96_io_out_37),
+	.X(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3287_FE_OFN123_io_out_37 (
+	.A(FE_OFN123_io_out_37),
+	.X(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3286_FE_OFN70_io_out_37 (
+	.A(FE_OFN70_io_out_37),
+	.X(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3284_io_oeb_35 (
+	.A(FE_PDN3955_FE_OFN19025_io_oeb_35),
+	.X(io_oeb[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3283_FE_OFN124_io_out_37 (
+	.A(FE_OFN124_io_out_37),
+	.X(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3281_u_soc_u_tcam_rdata_1 (
+	.A(u_soc_u_tcam_rdata[1]),
+	.X(FE_OFN19022_u_soc_u_tcam_rdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3280_u_soc_u_tcam_rdata_4 (
+	.A(u_soc_u_tcam_rdata[4]),
+	.X(FE_OFN19021_u_soc_u_tcam_rdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3279_FE_OFN233_u_soc_data_wdata_8 (
+	.A(FE_OFN233_u_soc_data_wdata_8),
+	.X(FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3278_u_soc_u_dccm_rdata1_12 (
+	.A(u_soc_u_dccm_rdata1[12]),
+	.X(FE_OFN19019_u_soc_u_dccm_rdata1_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3277_u_soc_u_iccm_rdata3_27 (
+	.A(FE_PDN4092_u_soc_u_iccm_rdata3_27),
+	.X(FE_OFN19018_u_soc_u_iccm_rdata3_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3275_FE_OFN829_logic_0_9_net (
+	.A(logic_0_9_net),
+	.X(FE_OFN19016_FE_OFN829_logic_0_9_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3274_u_soc_u_iccm_rdata3_19 (
+	.A(FE_PDN4006_u_soc_u_iccm_rdata3_19),
+	.X(FE_OFN19015_u_soc_u_iccm_rdata3_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3273_u_soc_data_addr_6 (
+	.A(u_soc_data_addr[6]),
+	.X(FE_OFN19014_u_soc_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3270_u_soc_u_iccm_rdata3_11 (
+	.A(FE_PDN3986_u_soc_u_iccm_rdata3_11),
+	.X(FE_OFN19011_u_soc_u_iccm_rdata3_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3269_u_soc_u_iccm_rdata3_20 (
+	.A(FE_PDN4007_u_soc_u_iccm_rdata3_20),
+	.X(FE_OFN19010_u_soc_u_iccm_rdata3_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3268_u_soc_instr_we (
+	.A(FE_OFN19008_u_soc_instr_we),
+	.X(FE_OFN19009_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3267_u_soc_instr_we (
+	.A(u_soc_instr_we),
+	.X(FE_OFN19008_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3264_FE_OFN823_logic_0_5_net (
+	.A(logic_0_5_net),
+	.X(FE_OFN19005_FE_OFN823_logic_0_5_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3262_FE_OFN188_u_soc_data_wdata_29 (
+	.A(FE_OFN19659_u_soc_data_wdata_29),
+	.X(FE_OFN19003_FE_OFN188_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3261_u_soc_u_iccm_rdata4_26 (
+	.A(FE_OFN19682_u_soc_u_iccm_rdata4_26),
+	.X(FE_OFN19002_u_soc_u_iccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3260_FE_OFN17991_n (
+	.A(logic_0_29_net),
+	.X(FE_OFN19001_FE_OFN17991_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3255_FE_OFN469_u_soc_instr_wdata_22 (
+	.A(FE_OFN469_u_soc_instr_wdata_22),
+	.X(FE_OFN18996_FE_OFN469_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3253_u_soc_u_tcam_rdata_2 (
+	.A(u_soc_u_tcam_rdata[2]),
+	.X(FE_OFN18994_u_soc_u_tcam_rdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3252_FE_OFN17989_n (
+	.A(logic_0_32_net),
+	.X(FE_OFN18993_FE_OFN17989_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3250_FE_OFN822_logic_0_4_net (
+	.A(logic_0_4_net),
+	.X(FE_OFN18991_FE_OFN822_logic_0_4_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3248_FE_OFN18002_n (
+	.A(logic_0_6_net),
+	.X(FE_OFN18989_FE_OFN18002_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3244_FE_OFN455_u_soc_instr_wdata_25 (
+	.A(FE_OFN451_u_soc_instr_wdata_25),
+	.X(FE_OFN18985_FE_OFN455_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3242_u_soc_u_iccm_rdata4_1 (
+	.A(u_soc_u_iccm_rdata4[1]),
+	.X(FE_OFN18983_u_soc_u_iccm_rdata4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3239_FE_OFN848_logic_0_22_net (
+	.A(logic_0_22_net),
+	.X(FE_OFN18980_FE_OFN848_logic_0_22_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3231_io_oeb_36 (
+	.A(FE_PDN3915_FE_OFN18972_io_oeb_36),
+	.X(io_oeb[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3229_u_soc_u_dccm_rdata4_0 (
+	.A(FE_OFN19692_u_soc_u_dccm_rdata4_0),
+	.X(FE_OFN18970_u_soc_u_dccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3228_n_5850 (
+	.A(n_5850),
+	.X(FE_OFN18969_n_5850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3227_n_2994 (
+	.A(n_2994),
+	.X(FE_OFN18968_n_2994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3226_u_soc_u_iccm_rdata4_5 (
+	.A(FE_OFN19675_u_soc_u_iccm_rdata4_5),
+	.X(FE_OFN18967_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3225_u_soc_u_iccm_rdata3_8 (
+	.A(FE_PDN4039_u_soc_u_iccm_rdata3_8),
+	.X(FE_OFN18966_u_soc_u_iccm_rdata3_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3222_u_soc_u_iccm_rdata4_2 (
+	.A(FE_PDN4215_u_soc_u_iccm_rdata4_2),
+	.X(FE_OFN18963_u_soc_u_iccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3219_FE_OFN1721_n (
+	.A(FE_OFN1320_u_soc_data_wmask_0),
+	.X(FE_OFN18960_FE_OFN1721_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3218_io_in_28 (
+	.A(io_in[28]),
+	.X(FE_OFN18959_io_in_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3217_u_soc_u_iccm_rdata3_31 (
+	.A(FE_PDN4533_u_soc_u_iccm_rdata3_31),
+	.X(FE_OFN18958_u_soc_u_iccm_rdata3_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3214_FE_OFN582_u_soc_instr_wdata_3 (
+	.A(u_soc_instr_wdata[3]),
+	.X(FE_OFN18955_FE_OFN582_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3211_io_in_5 (
+	.A(io_in[5]),
+	.X(FE_OFN18952_io_in_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3209_FE_OFN500_u_soc_instr_wdata_18 (
+	.A(FE_OFN501_u_soc_instr_wdata_18),
+	.Y(FE_OFN18950_FE_OFN500_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3202_FE_OFN820_logic_0_3_net (
+	.A(logic_0_3_net),
+	.X(FE_OFN18943_FE_OFN820_logic_0_3_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3200_FE_OFN858_logic_0_31_net (
+	.A(logic_0_31_net),
+	.X(FE_OFN18941_FE_OFN858_logic_0_31_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3198_u_soc_u_iccm_rdata3_6 (
+	.A(FE_PDN3997_u_soc_u_iccm_rdata3_6),
+	.X(FE_OFN18939_u_soc_u_iccm_rdata3_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3196_FE_OFN426_u_soc_instr_wdata_29 (
+	.A(FE_OFN426_u_soc_instr_wdata_29),
+	.X(FE_OFN18937_FE_OFN426_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3192_u_soc_u_iccm_rdata3_25 (
+	.A(u_soc_u_iccm_rdata3[25]),
+	.X(FE_OFN18933_u_soc_u_iccm_rdata3_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3186_FE_OFN846_logic_0_21_net (
+	.A(logic_0_21_net),
+	.X(FE_OFN18927_FE_OFN846_logic_0_21_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3177_FE_OFN17998_n (
+	.A(FE_OFN835_logic_0_14_net),
+	.X(FE_OFN18918_FE_OFN17998_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3174_FE_OFN17990_n (
+	.A(FE_OFN857_logic_0_30_net),
+	.X(FE_OFN18915_FE_OFN17990_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3166_FE_OFN17999_n (
+	.A(FE_OFN833_logic_0_13_net),
+	.X(FE_OFN18907_FE_OFN17999_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3162_u_soc_u_dccm_rdata2_27 (
+	.A(FE_OFN19606_u_soc_u_dccm_rdata2_27),
+	.X(FE_OFN18903_u_soc_u_dccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3159_u_soc_u_iccm_rdata4_15 (
+	.A(FE_OFN19679_u_soc_u_iccm_rdata4_15),
+	.X(FE_OFN18900_u_soc_u_iccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3157_u_soc_u_iccm_rdata3_4 (
+	.A(u_soc_u_iccm_rdata3[4]),
+	.X(FE_OFN18898_u_soc_u_iccm_rdata3_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3156_u_soc_u_dccm_rdata2_19 (
+	.A(FE_OFN19593_u_soc_u_dccm_rdata2_19),
+	.X(FE_OFN18897_u_soc_u_dccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3152_u_soc_u_iccm_rdata4_4 (
+	.A(u_soc_u_iccm_rdata4[4]),
+	.X(FE_OFN18893_u_soc_u_iccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3150_u_soc_u_iccm_rdata3_3 (
+	.A(FE_PDN4020_u_soc_u_iccm_rdata3_3),
+	.X(FE_OFN18891_u_soc_u_iccm_rdata3_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3147_FE_OFN17996_n (
+	.A(FE_OFN839_logic_0_16_net),
+	.X(FE_OFN18888_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3145_u_soc_u_iccm_rdata4_12 (
+	.A(u_soc_u_iccm_rdata4[12]),
+	.X(FE_OFN18886_u_soc_u_iccm_rdata4_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3143_u_soc_u_iccm_rdata4_3 (
+	.A(u_soc_u_iccm_rdata4[3]),
+	.X(FE_OFN18884_u_soc_u_iccm_rdata4_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3138_u_soc_u_dccm_rdata4_21 (
+	.A(FE_PDN4025_u_soc_u_dccm_rdata4_21),
+	.X(FE_OFN18879_u_soc_u_dccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_16 FE_OFC3132_FE_OFN1125_u_soc_data_we (
+	.A(FE_OFN18872_FE_OFN1125_u_soc_data_we),
+	.Y(FE_OFN18873_FE_OFN1125_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3131_FE_OFN1125_u_soc_data_we (
+	.A(FE_OFN1125_u_soc_data_we),
+	.Y(FE_OFN18872_FE_OFN1125_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3130_u_soc_u_dccm_rdata4_18 (
+	.A(FE_OFN19670_u_soc_u_dccm_rdata4_18),
+	.X(FE_OFN18871_u_soc_u_dccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3126_u_soc_u_iccm_rdata3_9 (
+	.A(FE_PDN3982_u_soc_u_iccm_rdata3_9),
+	.X(FE_OFN18867_u_soc_u_iccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3125_u_soc_u_dccm_rdata4_27 (
+	.A(FE_PDN19789_u_soc_u_dccm_rdata4_27),
+	.X(FE_OFN18866_u_soc_u_dccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3119_u_soc_u_iccm_rdata3_23 (
+	.A(FE_PDN3988_u_soc_u_iccm_rdata3_23),
+	.X(FE_OFN18860_u_soc_u_iccm_rdata3_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3116_u_soc_u_dccm_rdata2_18 (
+	.A(FE_OFN19584_u_soc_u_dccm_rdata2_18),
+	.X(FE_OFN18857_u_soc_u_dccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3105_FE_OFN17994_n (
+	.A(FE_OFN843_logic_0_18_net),
+	.X(FE_OFN18846_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3103_FE_OFN17995_n (
+	.A(FE_OFN841_logic_0_17_net),
+	.X(FE_OFN18844_FE_OFN17995_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3101_n_2041 (
+	.A(n_2041),
+	.X(FE_OFN18842_n_2041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3100_u_soc_u_dccm_rdata2_15 (
+	.A(FE_PDN3946_u_soc_u_dccm_rdata2_15),
+	.X(FE_OFN18841_u_soc_u_dccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3097_u_soc_u_dccm_rdata4_2 (
+	.A(FE_OFN19719_u_soc_u_dccm_rdata4_2),
+	.X(FE_OFN18838_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3095_u_soc_u_dccm_rdata2_20 (
+	.A(FE_PDN3924_u_soc_u_dccm_rdata2_20),
+	.X(FE_OFN18836_u_soc_u_dccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3089_FE_OFN18000_n (
+	.A(logic_0_12_net),
+	.X(FE_OFN18830_FE_OFN18000_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3081_u_soc_u_dccm_rdata2_2 (
+	.A(FE_PDN3940_u_soc_u_dccm_rdata2_2),
+	.X(FE_OFN18822_u_soc_u_dccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3080_u_soc_u_tcam_rdata_5 (
+	.A(u_soc_u_tcam_rdata[5]),
+	.X(FE_OFN18821_u_soc_u_tcam_rdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3075_u_soc_u_dccm_rdata2_21 (
+	.A(FE_PDN3949_u_soc_u_dccm_rdata2_21),
+	.X(FE_OFN18816_u_soc_u_dccm_rdata2_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3070_FE_OFN546_u_soc_instr_wdata_11 (
+	.A(FE_OFN546_u_soc_instr_wdata_11),
+	.X(FE_OFN18811_FE_OFN546_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3066_FE_OFN453_u_soc_instr_wdata_25 (
+	.A(FE_OFN453_u_soc_instr_wdata_25),
+	.X(FE_OFN18807_FE_OFN453_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3065_FE_OFN827_logic_0_8_net (
+	.A(logic_0_8_net),
+	.X(FE_OFN18806_FE_OFN827_logic_0_8_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3063_FE_OFN17997_n (
+	.A(FE_OFN837_logic_0_15_net),
+	.X(FE_OFN18804_FE_OFN17997_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3058_FE_OFN1731_n (
+	.A(FE_OFN1285_u_soc_instr_wdata_1),
+	.X(FE_OFN18799_FE_OFN1731_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3051_FE_OFN527_u_soc_instr_wdata_14 (
+	.A(FE_OFN524_u_soc_instr_wdata_14),
+	.X(FE_OFN18792_FE_OFN527_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3048_FE_OFN18004_n (
+	.A(u_soc_u_dccm_addr4[0]),
+	.X(FE_OFN18789_FE_OFN18004_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3044_FE_OFN260_u_soc_data_wdata_4 (
+	.A(FE_OFN260_u_soc_data_wdata_4),
+	.X(FE_OFN18785_FE_OFN260_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3039_n_8757 (
+	.A(n_8757),
+	.X(FE_OFN18780_n_8757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3038_FE_OFN868_logic_0_54_net (
+	.A(logic_0_54_net),
+	.X(FE_OFN18779_FE_OFN868_logic_0_54_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC3030_FE_OFN433_u_soc_instr_wdata_28 (
+	.A(FE_OFN433_u_soc_instr_wdata_28),
+	.X(FE_OFN18771_FE_OFN433_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3027_FE_OFN1126_u_soc_data_we (
+	.A(FE_OFN1126_u_soc_data_we),
+	.X(FE_OFN18768_FE_OFN1126_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3013_FE_OFN1702_n (
+	.A(u_soc_u_iccm_addr1[7]),
+	.X(FE_OFN18754_FE_OFN1702_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3007_FE_OFN244_u_soc_data_wdata_6 (
+	.A(FE_OFN18747_FE_OFN244_u_soc_data_wdata_6),
+	.Y(FE_OFN18748_FE_OFN244_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3006_FE_OFN244_u_soc_data_wdata_6 (
+	.A(FE_OFN244_u_soc_data_wdata_6),
+	.Y(FE_OFN18747_FE_OFN244_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2998_FE_OFN568_u_soc_instr_wdata_7 (
+	.A(u_soc_instr_wdata[7]),
+	.X(FE_OFN18739_FE_OFN568_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2997_FE_OFN1279_u_soc_instr_wdata_4 (
+	.A(FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4),
+	.Y(FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2996_FE_OFN1279_u_soc_instr_wdata_4 (
+	.A(FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4),
+	.Y(FE_OFN18737_FE_OFN1279_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2995_FE_OFN1279_u_soc_instr_wdata_4 (
+	.A(FE_OFN1279_u_soc_instr_wdata_4),
+	.Y(FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2994_FE_OFN1279_u_soc_instr_wdata_4 (
+	.A(FE_OFN1279_u_soc_instr_wdata_4),
+	.X(FE_OFN18735_FE_OFN1279_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2993_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN18733_FE_OFN1276_u_soc_instr_wdata_5),
+	.Y(FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2992_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5),
+	.Y(FE_OFN18733_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2991_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN18731_FE_OFN1276_u_soc_instr_wdata_5),
+	.Y(FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2990_FE_OFN1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5),
+	.Y(FE_OFN18731_FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2988_FE_OFN1233_u_soc_data_wdata_26 (
+	.A(FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26),
+	.Y(FE_OFN18729_FE_OFN1233_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2987_FE_OFN1233_u_soc_data_wdata_26 (
+	.A(FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26),
+	.Y(FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2984_FE_OFN1233_u_soc_data_wdata_26 (
+	.A(FE_OFN1233_u_soc_data_wdata_26),
+	.Y(FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2983_FE_OFN1241_u_soc_data_wdata_24 (
+	.A(FE_OFN18722_FE_OFN1241_u_soc_data_wdata_24),
+	.Y(FE_OFN18724_FE_OFN1241_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2981_FE_OFN1241_u_soc_data_wdata_24 (
+	.A(FE_OFN1241_u_soc_data_wdata_24),
+	.Y(FE_OFN18722_FE_OFN1241_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2980_FE_OFN1241_u_soc_data_wdata_24 (
+	.A(FE_OFN1241_u_soc_data_wdata_24),
+	.X(FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2979_FE_OFN1289_u_soc_instr_wdata_0 (
+	.A(FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0),
+	.Y(FE_OFN18720_FE_OFN1289_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2978_FE_OFN1289_u_soc_instr_wdata_0 (
+	.A(FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0),
+	.Y(FE_OFN18719_FE_OFN1289_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2977_FE_OFN1289_u_soc_instr_wdata_0 (
+	.A(FE_OFN1289_u_soc_instr_wdata_0),
+	.Y(FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2976_FE_OFN1289_u_soc_instr_wdata_0 (
+	.A(FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0),
+	.X(FE_OFN18717_FE_OFN1289_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2975_FE_OFN1230_u_soc_data_wdata_27 (
+	.A(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27),
+	.Y(FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2974_FE_OFN1230_u_soc_data_wdata_27 (
+	.A(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27),
+	.Y(FE_OFN18715_FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2973_FE_OFN1230_u_soc_data_wdata_27 (
+	.A(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27),
+	.Y(FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2971_FE_OFN1230_u_soc_data_wdata_27 (
+	.A(FE_OFN1230_u_soc_data_wdata_27),
+	.Y(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC2969_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25),
+	.Y(FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2968_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25),
+	.Y(FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2967_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25),
+	.Y(FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2966_FE_OFN1237_u_soc_data_wdata_25 (
+	.A(FE_OFN1237_u_soc_data_wdata_25),
+	.Y(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2963_FE_OFN1264_u_soc_data_wdata_9 (
+	.A(FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9),
+	.Y(FE_OFN18704_FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2962_FE_OFN1264_u_soc_data_wdata_9 (
+	.A(FE_OFN18700_FE_OFN1264_u_soc_data_wdata_9),
+	.Y(FE_OFN18703_FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2961_FE_OFN1264_u_soc_data_wdata_9 (
+	.A(FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9),
+	.Y(FE_OFN18702_FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2959_FE_OFN1264_u_soc_data_wdata_9 (
+	.A(FE_OFN1264_u_soc_data_wdata_9),
+	.Y(FE_OFN18700_FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2958_FE_OFN1264_u_soc_data_wdata_9 (
+	.A(FE_PDN4063_FE_OFN1264_u_soc_data_wdata_9),
+	.Y(FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2955_u_soc_data_wdata_13 (
+	.A(FE_OFN18695_u_soc_data_wdata_13),
+	.Y(FE_OFN18696_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2954_u_soc_data_wdata_13 (
+	.A(FE_OFN18691_u_soc_data_wdata_13),
+	.X(FE_OFN18695_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2953_u_soc_data_wdata_13 (
+	.A(u_soc_data_wdata[13]),
+	.X(FE_OFN18694_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2952_u_soc_data_wdata_13 (
+	.A(u_soc_data_wdata[13]),
+	.X(FE_OFN18693_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2951_u_soc_data_wdata_13 (
+	.A(u_soc_data_wdata[13]),
+	.X(FE_OFN18692_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2950_u_soc_data_wdata_13 (
+	.A(u_soc_data_wdata[13]),
+	.Y(FE_OFN18691_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2948_FE_OFN1317_u_soc_data_wmask_0 (
+	.A(FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0),
+	.X(FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2946_FE_OFN1317_u_soc_data_wmask_0 (
+	.A(FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0),
+	.Y(FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2944_FE_OFN1317_u_soc_data_wmask_0 (
+	.A(FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0),
+	.Y(FE_OFN18685_FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2942_FE_OFN1317_u_soc_data_wmask_0 (
+	.A(FE_OFN1317_u_soc_data_wmask_0),
+	.Y(FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2941_u_soc_data_wdata_12 (
+	.A(u_soc_data_wdata[12]),
+	.X(FE_OFN18682_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2940_u_soc_data_wdata_12 (
+	.A(FE_OFN18678_u_soc_data_wdata_12),
+	.Y(FE_OFN18681_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2939_u_soc_data_wdata_12 (
+	.A(FE_OFN18676_u_soc_data_wdata_12),
+	.X(FE_OFN18680_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2937_u_soc_data_wdata_12 (
+	.A(u_soc_data_wdata[12]),
+	.Y(FE_OFN18678_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2936_u_soc_data_wdata_12 (
+	.A(u_soc_data_wdata[12]),
+	.X(FE_OFN18677_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2935_u_soc_data_wdata_12 (
+	.A(u_soc_data_wdata[12]),
+	.X(FE_OFN18676_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2934_u_soc_data_wdata_11 (
+	.A(FE_PDN3904_FE_OFN18671_u_soc_data_wdata_11),
+	.Y(FE_OFN18675_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC2933_u_soc_data_wdata_11 (
+	.A(FE_OFN18671_u_soc_data_wdata_11),
+	.Y(FE_OFN18674_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2931_u_soc_data_wdata_11 (
+	.A(u_soc_data_wdata[11]),
+	.X(FE_OFN18672_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2930_u_soc_data_wdata_11 (
+	.A(u_soc_data_wdata[11]),
+	.Y(FE_OFN18671_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2929_u_soc_data_wdata_11 (
+	.A(u_soc_data_wdata[11]),
+	.X(FE_OFN18670_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2926_u_soc_data_wdata_10 (
+	.A(u_soc_data_wdata[10]),
+	.X(FE_OFN18667_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2925_u_soc_data_wdata_10 (
+	.A(FE_OFN18663_u_soc_data_wdata_10),
+	.Y(FE_OFN18666_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2924_u_soc_data_wdata_10 (
+	.A(FE_OFN18661_u_soc_data_wdata_10),
+	.X(FE_OFN18665_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2922_u_soc_data_wdata_10 (
+	.A(u_soc_data_wdata[10]),
+	.Y(FE_OFN18663_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2921_u_soc_data_wdata_10 (
+	.A(u_soc_data_wdata[10]),
+	.X(FE_OFN18662_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2920_u_soc_data_wdata_10 (
+	.A(u_soc_data_wdata[10]),
+	.X(FE_OFN18661_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2916_FE_OFN1312_u_soc_data_wmask_1 (
+	.A(FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1),
+	.Y(FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2915_FE_OFN1312_u_soc_data_wmask_1 (
+	.A(FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1),
+	.Y(FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2913_FE_OFN1312_u_soc_data_wmask_1 (
+	.A(FE_OFN1312_u_soc_data_wmask_1),
+	.Y(FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2911_u_soc_data_wmask_3 (
+	.A(FE_OFN18650_u_soc_data_wmask_3),
+	.Y(FE_OFN18652_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2909_u_soc_data_wmask_3 (
+	.A(FE_OFN18646_u_soc_data_wmask_3),
+	.X(FE_OFN18650_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2908_u_soc_data_wmask_3 (
+	.A(FE_OFN18646_u_soc_data_wmask_3),
+	.Y(FE_OFN18649_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2905_u_soc_data_wmask_3 (
+	.A(u_soc_data_wmask[3]),
+	.Y(FE_OFN18646_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2904_u_soc_u_top_u_core_instr_rdata_id_12 (
+	.A(FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2903_u_soc_u_top_u_core_instr_rdata_id_12 (
+	.A(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2901_u_soc_u_top_u_core_instr_rdata_id_12 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[12]),
+	.X(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2900_n_11516 (
+	.A(FE_PSN4179_n_11516),
+	.X(FE_OFN18642_n_11516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2898_n_11516 (
+	.A(FE_PSN4179_n_11516),
+	.X(FE_OFN1062_n_11516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2897_n_15868 (
+	.A(n_15868),
+	.X(FE_OFN18425_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2896_n_15868 (
+	.A(n_15868),
+	.X(FE_OFN1114_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2895_n_15867 (
+	.A(n_15867),
+	.X(FE_OFN18563_n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2894_n_15867 (
+	.A(n_15867),
+	.X(FE_OFN18562_n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2893_n_11563 (
+	.A(n_11563),
+	.X(FE_OFN18641_n_11563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2892_n_11563 (
+	.A(n_11563),
+	.X(FE_OFN18437_n_11563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2891_n_12256 (
+	.A(FE_PSN4783_n_12256),
+	.X(FE_OFN18549_n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC2890_n_12256 (
+	.A(n_12256),
+	.X(FE_OFN1079_n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2889_n_11756 (
+	.A(FE_COEN4298_n_11756),
+	.X(FE_OFN18475_n_11756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2888_n_11756 (
+	.A(FE_COEN4298_n_11756),
+	.X(FE_OFN1077_n_11756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2885_n_11513 (
+	.A(n_11513),
+	.X(FE_OFN18567_n_11513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2884_n_15999 (
+	.A(n_15999),
+	.X(FE_OFN18317_n_15999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2883_n_15999 (
+	.A(n_15999),
+	.X(FE_OFN18316_n_15999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2882_n_15996 (
+	.A(FE_OFN18440_n_11765),
+	.X(FE_OFN18640_n_15996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2881_n_15996 (
+	.A(FE_OFN18440_n_11765),
+	.Y(n_11765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2880_n_15996 (
+	.A(n_15996),
+	.Y(FE_OFN18440_n_11765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2879_n_12257 (
+	.A(n_12257),
+	.X(FE_OFN18639_n_12257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2877_n_15 (
+	.A(FE_COEN4872_n_15),
+	.X(FE_OFN18637_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2876_n_11393 (
+	.A(n_11393),
+	.X(FE_OFN18636_n_11393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2871_n_11561 (
+	.A(FE_OFN18592_n_11561),
+	.X(FE_OFN18631_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2867_n_495 (
+	.A(n_495),
+	.X(FE_OFN18627_n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2865_u_soc_lsu_to_xbar_a_address__19 (
+	.A(\u_soc_lsu_to_xbar[a_address] [19]),
+	.X(FE_OFN758_u_soc_lsu_to_xbar_a_address__19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2864_n_11552 (
+	.A(n_11552),
+	.X(FE_OFN18625_n_11552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2863_n_11552 (
+	.A(n_11552),
+	.X(FE_OFN18583_n_11552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2861_n_11748 (
+	.A(FE_OFN1074_n_11749),
+	.X(FE_OFN18623_n_11748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2860_n_11748 (
+	.A(n_11748),
+	.Y(n_11749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2859_n_11748 (
+	.A(n_11748),
+	.Y(FE_OFN1074_n_11749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2858_n_11474 (
+	.A(FE_COEN4311_n_11474),
+	.X(FE_OFN18622_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2857_n_11474 (
+	.A(FE_PSN4795_n_11474),
+	.X(FE_OFN18431_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2856_n_11480 (
+	.A(n_11480),
+	.X(FE_OFN1059_n_11480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2852_n_11762 (
+	.A(n_11762),
+	.X(FE_OFN18587_n_11762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2851_n_11762 (
+	.A(n_11762),
+	.X(FE_OFN18586_n_11762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2849_n_15882 (
+	.A(FE_PSN4643_n_15882),
+	.X(FE_OFN1589_n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2847_n_15995 (
+	.A(FE_PSN4473_n_15995),
+	.X(FE_OFN18552_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2846_n_15995 (
+	.A(FE_PSN4390_n_15995),
+	.X(FE_OFN1120_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2844_n_11754 (
+	.A(n_11754),
+	.X(FE_OFN1076_n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2839_n_11553 (
+	.A(FE_PSN4463_n_11553),
+	.X(FE_OFN18615_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2838_n_11761 (
+	.A(FE_PSN4813_n_11761),
+	.X(FE_OFN18614_n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2837_n_16005 (
+	.A(FE_COEN4681_n_16005),
+	.X(FE_OFN18613_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2835_n_11472 (
+	.A(n_11472),
+	.X(FE_OFN18611_n_11472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2832_n_130 (
+	.A(n_130),
+	.Y(n_13511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2830_n_625 (
+	.A(n_625),
+	.Y(n_13510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2827_n_556 (
+	.A(FE_OFN18250_n_183),
+	.Y(FE_OFN18249_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2826_n_556 (
+	.A(n_556),
+	.Y(FE_OFN18250_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2825_n_13339 (
+	.A(FE_OFN18277_n_13339),
+	.Y(FE_OFN18606_n_13339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2824_n_13339 (
+	.A(n_13339),
+	.Y(FE_OFN18277_n_13339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2818_n_11473 (
+	.A(FE_PSN4796_n_11473),
+	.X(FE_OFN18521_n_11473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2817_n_11473 (
+	.A(n_11473),
+	.X(FE_OFN18520_n_11473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2814_n_11479 (
+	.A(FE_PSN4454_n_11479),
+	.X(FE_OFN18604_n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2812_n_15870 (
+	.A(n_15870),
+	.X(FE_OFN18603_n_15870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2811_n_15870 (
+	.A(n_15870),
+	.X(FE_OFN1116_n_15870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2810_n_15870 (
+	.A(n_15870),
+	.X(FE_OFN18433_n_15870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2806_n_11564 (
+	.A(n_11564),
+	.X(FE_OFN18601_n_11564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2805_n_11564 (
+	.A(n_11564),
+	.X(FE_OFN1073_n_11564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2804_n_15998 (
+	.A(n_11753),
+	.X(FE_OFN18600_n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2803_n_15998 (
+	.A(n_15998),
+	.Y(FE_OFN18599_n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC2802_n_15998 (
+	.A(n_15998),
+	.Y(n_11753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2800_n_11754 (
+	.A(n_11754),
+	.X(FE_OFN18597_n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2797_n_13388 (
+	.A(FE_COEN4303_n_13388),
+	.X(FE_OFN18594_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2795_n_11561 (
+	.A(n_11561),
+	.X(FE_OFN18592_n_11561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2791_n_11757 (
+	.A(n_11757),
+	.X(FE_OFN18588_n_11757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2788_n_15872 (
+	.A(FE_PSN4362_n_15872),
+	.X(FE_OFN18585_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2787_n_15872 (
+	.A(n_15872),
+	.X(FE_OFN18584_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2782_u_soc_u_iccm_rdata1_17 (
+	.A(u_soc_u_iccm_rdata1[17]),
+	.X(FE_OFN600_u_soc_u_iccm_rdata1_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2780_n_638 (
+	.A(n_638),
+	.Y(n_13514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2779_u_soc_u_iccm_rdata1_0 (
+	.A(u_soc_u_iccm_rdata1[0]),
+	.X(FE_OFN618_u_soc_u_iccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2778_n_15859 (
+	.A(FE_PSN4423_n_15859),
+	.X(FE_OFN18579_n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2776_n_15859 (
+	.A(FE_COEN4687_n_15859),
+	.X(FE_OFN1111_n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2775_n_11763 (
+	.A(n_11763),
+	.X(FE_OFN1078_n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2774_n_11054 (
+	.A(FE_OFN1581_n_11054),
+	.X(FE_OFN18577_n_11054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2773_n_11054 (
+	.A(n_11054),
+	.X(FE_OFN1581_n_11054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2772_n_11054 (
+	.A(n_11054),
+	.Y(n_13452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2770_n_11478 (
+	.A(n_11478),
+	.X(FE_OFN1057_n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2769_n_11769 (
+	.A(n_11769),
+	.X(FE_OFN18381_n_11769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2765_n_15869 (
+	.A(n_15869),
+	.X(FE_OFN18575_n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2764_n_15869 (
+	.A(n_15869),
+	.X(FE_OFN18574_n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC2763_n_15869 (
+	.A(n_15869),
+	.X(FE_OFN1115_n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2762_n_15869 (
+	.A(n_15869),
+	.X(FE_OFN18283_n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2761_n_11770 (
+	.A(n_11770),
+	.Y(n_11771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2760_n_11213 (
+	.A(n_11213),
+	.Y(n_11214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2758_u_soc_u_top_u_core_rf_waddr_wb_0 (
+	.A(n_11043),
+	.Y(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2756_u_soc_u_top_u_core_rf_waddr_wb_0 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_11043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2755_n_15857 (
+	.A(FE_PSN4164_n_15857),
+	.X(FE_OFN18537_n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2754_n_15857 (
+	.A(n_15857),
+	.X(FE_OFN18292_n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2752_n_15858 (
+	.A(FE_PSBN19876_n_15858),
+	.X(FE_OFN18570_n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2751_n_15858 (
+	.A(FE_PSBN19875_n_15858),
+	.X(FE_OFN1110_n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2746_n_15866 (
+	.A(FE_PSBN19830_n_15866),
+	.X(FE_OFN18565_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2742_n_11517 (
+	.A(FE_PSN4831_n_11517),
+	.X(FE_OFN18561_n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2741_n_11517 (
+	.A(n_11517),
+	.X(FE_OFN18560_n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2740_n_15861 (
+	.A(FE_PSN4645_n_15861),
+	.X(FE_OFN18559_n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2739_n_15861 (
+	.A(FE_PSN4645_n_15861),
+	.X(FE_OFN18558_n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2738_u_soc_u_top_u_core_alu_operand_b_ex_0 (
+	.A(FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.X(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2735_n_116 (
+	.A(n_116),
+	.Y(n_13503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2734_n_11137 (
+	.A(n_11137),
+	.Y(n_13342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2733_n_15860 (
+	.A(FE_PSN4374_n_15860),
+	.X(FE_OFN18554_n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2732_n_15860 (
+	.A(FE_PSN4449_n_15860),
+	.X(FE_OFN18471_n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2731_n_15860 (
+	.A(n_15860),
+	.X(FE_OFN1588_n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2730_n_11559 (
+	.A(n_11559),
+	.X(FE_OFN18434_n_11559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2729_n_11559 (
+	.A(n_11559),
+	.X(FE_OFN1071_n_11559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2728_n_11208 (
+	.A(n_11207),
+	.Y(FE_OFN18298_n_11208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2727_n_11208 (
+	.A(n_11208),
+	.X(n_11207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2726_n_16001 (
+	.A(FE_PSBN19897_n_16001),
+	.X(FE_OFN18506_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2725_n_16001 (
+	.A(FE_PSN4377_n_16001),
+	.X(FE_OFN18505_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2722_n_15856 (
+	.A(n_15856),
+	.X(FE_OFN18553_n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2721_n_15856 (
+	.A(FE_PSN4633_n_15856),
+	.X(FE_OFN1108_n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2720_n_11123 (
+	.A(n_11123),
+	.Y(n_11122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2719_n_13085 (
+	.A(FE_PSN4418_n_13392),
+	.Y(FE_OFN18260_n_13085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2718_n_13085 (
+	.A(n_13085),
+	.Y(n_13392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2717_n_11415 (
+	.A(n_11415),
+	.X(FE_OFN18335_n_11415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2716_n_11415 (
+	.A(n_11415),
+	.X(FE_OFN1050_n_11415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2713_n_11518 (
+	.A(n_11518),
+	.X(FE_OFN18551_n_11518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2712_n_11518 (
+	.A(n_11518),
+	.X(FE_OFN1063_n_11518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2711_n_11418 (
+	.A(n_11418),
+	.X(FE_OFN18550_n_11418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2710_n_11418 (
+	.A(n_11418),
+	.X(FE_OFN1051_n_11418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2704_n_11593 (
+	.A(n_11593),
+	.X(FE_OFN18547_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2703_n_11593 (
+	.A(FE_PSN4146_n_11593),
+	.X(FE_OFN18366_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2702_u_soc_u_top_u_core_rf_waddr_wb_1 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2701_n_15866 (
+	.A(FE_PSBN19833_n_15866),
+	.X(FE_OFN18546_n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2699_u_soc_u_top_u_core_instr_rdata_id_14 (
+	.A(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
+	.X(FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2696_u_soc_u_top_u_core_instr_rdata_id_14 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[14]),
+	.X(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2691_n_11555 (
+	.A(FE_PSBN19877_FE_PSN4174_n_11555),
+	.X(FE_OFN18422_n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2690_n_11555 (
+	.A(FE_PSN4809_n_11555),
+	.X(FE_OFN1067_n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2689_n_15881 (
+	.A(FE_PSN4801_n_11443),
+	.X(FE_OFN18287_n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2688_n_15881 (
+	.A(FE_COEN4288_n_15881),
+	.Y(n_11443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2687_n_16003 (
+	.A(n_16003),
+	.X(FE_OFN18426_n_16003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2686_n_11550 (
+	.A(FE_PSBN19873_n_11550),
+	.X(FE_OFN18543_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2685_n_11550 (
+	.A(FE_PSBN19873_n_11550),
+	.X(FE_OFN18542_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2684_n_11550 (
+	.A(FE_COEN4306_n_11550),
+	.X(FE_OFN1064_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2677_n_15862 (
+	.A(n_15862),
+	.X(FE_OFN18536_n_15862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2676_n_11176 (
+	.A(FE_OFN18378_n_11176),
+	.X(FE_OFN18535_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2675_u_soc_u_top_u_core_instr_rdata_id_20 (
+	.A(FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20),
+	.X(FE_OFN18534_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2671_u_soc_u_top_u_core_instr_rdata_id_22 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.X(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC2667_n_11553 (
+	.A(n_11553),
+	.X(FE_OFN18526_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2665_n_11393 (
+	.A(FE_OFN18636_n_11393),
+	.X(FE_OFN18524_n_11393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2664_u_soc_u_top_u_core_instr_rdata_id_15 (
+	.A(FE_COEN4690_u_soc_u_top_u_core_instr_rdata_id_15),
+	.X(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2653_u_soc_u_top_u_core_alu_operand_b_ex_7 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[7]),
+	.X(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2652_n_11423 (
+	.A(n_11423),
+	.X(FE_OFN18511_n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2651_n_11423 (
+	.A(n_11423),
+	.X(FE_OFN18510_n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2649_u_soc_u_top_u_core_alu_operand_b_ex_10 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[10]),
+	.X(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2644_u_soc_u_top_u_core_alu_operand_b_ex_5 (
+	.A(FE_PSN4393_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.X(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2643_u_soc_u_top_u_core_alu_operand_b_ex_6 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[6]),
+	.X(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2642_n_15897 (
+	.A(FE_PSN4662_FE_OFN1590_n_15897),
+	.X(FE_OFN18501_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2641_u_soc_u_top_u_core_alu_operand_b_ex_2 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.X(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2640_u_soc_u_top_u_core_alu_operand_b_ex_9 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[9]),
+	.X(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2637_u_soc_lsu_to_xbar_a_address__31 (
+	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.X(FE_OFN18496_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2636_n_13389 (
+	.A(n_13389),
+	.X(FE_OFN18495_n_13389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2635_n_11476 (
+	.A(FE_PSN4361_n_11476),
+	.X(FE_OFN18494_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2634_n_11476 (
+	.A(FE_PSN4479_n_11476),
+	.X(FE_OFN18493_n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2633_n_13420 (
+	.A(n_13420),
+	.X(FE_OFN18492_n_13420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2631_n_11428 (
+	.A(n_11428),
+	.X(FE_OFN18490_n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2629_n_15943 (
+	.A(FE_PSN4395_n_15943),
+	.X(FE_OFN18488_n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2628_n_13386 (
+	.A(FE_PSN4448_n_13386),
+	.X(FE_OFN18487_n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2626_n_11130 (
+	.A(n_11130),
+	.X(FE_OFN18485_n_11130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2625_n_13416 (
+	.A(FE_PSN4371_n_13416),
+	.X(FE_OFN18484_n_13416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2624_n_11556 (
+	.A(n_11556),
+	.X(FE_OFN18483_n_11556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2623_n_11556 (
+	.A(n_11556),
+	.X(FE_OFN1068_n_11556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2617_n_11558 (
+	.A(n_11558),
+	.X(FE_OFN1070_n_11558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2615_u_soc_xbar_to_dccm_a_address__10 (
+	.A(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.X(FE_OFN18479_u_soc_xbar_to_dccm_a_address__10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2613_n_11154 (
+	.A(n_11154),
+	.Y(n_11153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2612_n_12260 (
+	.A(n_12259),
+	.X(FE_OFN18478_n_12260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2610_n_12260 (
+	.A(n_12259),
+	.Y(FE_OFN18476_n_12260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2609_n_12260 (
+	.A(n_12260),
+	.Y(n_12259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2607_n_13092 (
+	.A(n_13430),
+	.Y(FE_OFN1095_n_13092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2606_n_13092 (
+	.A(n_13092),
+	.Y(n_13430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2593_n_9159 (
+	.A(n_9159),
+	.X(FE_OFN1566_n_9159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2590_n_15994 (
+	.A(n_15994),
+	.Y(n_11769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2589_n_11259 (
+	.A(n_11258),
+	.Y(FE_OFN18470_n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2588_n_11259 (
+	.A(n_11258),
+	.Y(FE_OFN18469_n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2587_n_11259 (
+	.A(n_11258),
+	.Y(FE_OFN18243_n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2586_n_11259 (
+	.A(n_11259),
+	.Y(n_11258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2581_n_11471 (
+	.A(n_11471),
+	.X(FE_OFN18466_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2580_n_11471 (
+	.A(n_11471),
+	.X(FE_OFN1053_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2579_u_soc_u_top_u_core_instr_rdata_id_26 (
+	.A(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26),
+	.X(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2576_u_soc_xbar_to_dccm_a_address__4 (
+	.A(\u_soc_xbar_to_dccm[a_address] [4]),
+	.X(FE_OFN773_u_soc_xbar_to_dccm_a_address__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2575_n_13250 (
+	.A(n_13250),
+	.Y(n_13853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2574_n_10153 (
+	.A(FE_PSN4664_n_10153),
+	.Y(n_10152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2570_u_soc_u_top_u_core_alu_operator_ex_0 (
+	.A(FE_PSN4382_n_11039),
+	.Y(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2564_u_soc_u_top_u_core_alu_operator_ex_0 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_11039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2562_u_soc_u_top_u_core_rf_waddr_wb_2 (
+	.A(n_11035),
+	.Y(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2561_u_soc_u_top_u_core_rf_waddr_wb_2 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_11035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2559_n_16000 (
+	.A(FE_PSN4348_n_16000),
+	.X(FE_OFN18456_n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2556_n_15997 (
+	.A(FE_PSN4340_n_11761),
+	.X(FE_OFN18454_n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2555_n_15997 (
+	.A(n_15997),
+	.Y(n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2554_n_13248 (
+	.A(n_13248),
+	.Y(n_13851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2552_n_11554 (
+	.A(n_11554),
+	.X(FE_OFN1066_n_11554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2551_n_13251 (
+	.A(n_13251),
+	.Y(n_13854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2550_u_soc_u_top_u_core_alu_operator_ex_5 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
+	.Y(n_11045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2548_n_496 (
+	.A(FE_COEN4874_n_496),
+	.X(FE_OFN877_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2547_n_11425 (
+	.A(n_11425),
+	.X(FE_OFN18453_n_11425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2546_n_11425 (
+	.A(n_11425),
+	.X(FE_OFN1052_n_11425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2544_u_soc_xbar_to_dccm_a_address__8 (
+	.A(\u_soc_xbar_to_dccm[a_address] [8]),
+	.X(FE_OFN769_u_soc_xbar_to_dccm_a_address__8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2541_n_11746 (
+	.A(FE_PSN4426_n_11745),
+	.X(FE_OFN18268_n_11746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2540_n_11746 (
+	.A(n_11746),
+	.Y(n_11745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2539_n_13222 (
+	.A(n_13222),
+	.Y(n_13842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2536_u_soc_dccm_adapter_data_weD (
+	.A(n_10544),
+	.Y(FE_OFN18232_u_soc_dccm_adapter_data_weD), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2535_u_soc_dccm_adapter_data_weD (
+	.A(u_soc_dccm_adapter_data_weD),
+	.Y(n_10544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2534_n_11751 (
+	.A(n_11751),
+	.X(FE_OFN18450_n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2533_n_11751 (
+	.A(FE_PSN4481_n_11751),
+	.X(FE_OFN1075_n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2531_n_11557 (
+	.A(n_11557),
+	.X(FE_OFN18290_n_11557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2530_n_11557 (
+	.A(FE_PSN4388_n_11557),
+	.X(FE_OFN1069_n_11557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2529_n_15871 (
+	.A(n_15871),
+	.X(FE_OFN18407_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2528_n_15871 (
+	.A(n_15871),
+	.X(FE_OFN1117_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2527_n_13253 (
+	.A(n_13253),
+	.Y(n_13848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2524_u_soc_u_top_u_core_rf_waddr_wb_3 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.Y(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC2522_u_soc_u_top_u_core_rf_waddr_wb_4 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.Y(n_11033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2521_n_13215 (
+	.A(n_13215),
+	.Y(n_13840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2520_n_15945 (
+	.A(FE_PSN4452_n_15945),
+	.Y(FE_OFN18449_n_15945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2515_u_soc_u_top_u_core_pc_set (
+	.A(FE_PSN4130_u_soc_u_top_u_core_pc_set),
+	.X(FE_OFN18446_u_soc_u_top_u_core_pc_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2513_u_soc_lsu_to_xbar_a_address__31 (
+	.A(FE_PSN4103_u_soc_lsu_to_xbar_a_address_31),
+	.X(FE_OFN18195_u_soc_lsu_to_xbar_a_address__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2511_n_15897 (
+	.A(FE_PSN4665_FE_OFN1590_n_15897),
+	.Y(FE_OFN18445_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2509_n_15897 (
+	.A(FE_OFN1590_n_15897),
+	.Y(FE_OFN18200_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2508_n_15897 (
+	.A(FE_OFN1590_n_15897),
+	.Y(n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC2507_n_15897 (
+	.A(n_15897),
+	.Y(FE_OFN1590_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2506_u_soc_dccm_adapter_data_mem_error_internal (
+	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
+	.Y(n_10345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2505_u_soc_dccm_adapter_data_mem_error_internal (
+	.A(u_soc_dccm_adapter_data_mem_error_internal),
+	.Y(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2502_n_13363 (
+	.A(n_8868),
+	.Y(FE_OFN18443_n_13363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2501_n_13363 (
+	.A(n_13363),
+	.Y(n_8868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2500_n_112 (
+	.A(FE_PSN4352_n_13500),
+	.Y(FE_OFN18442_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2498_n_112 (
+	.A(n_112),
+	.Y(n_13500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC2496_u_soc_u_top_u_core_instr_rdata_id_3 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[3]),
+	.X(FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2486_u_soc_u_top_u_core_alu_operand_b_ex_8 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[8]),
+	.X(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2485_n_7770 (
+	.A(n_7770),
+	.X(FE_OFN18428_n_7770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2484_u_soc_u_top_u_core_alu_adder_result_ex_1 (
+	.A(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.X(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2481_n_7771 (
+	.A(n_7771),
+	.X(FE_OFN18424_n_7771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2478_u_soc_u_top_u_core_instr_rdata_id_13 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[13]),
+	.X(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2472_u_soc_u_top_u_core_instr_rdata_id_5 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[5]),
+	.X(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2471_u_soc_u_top_u_core_instr_rdata_id_25 (
+	.A(FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25),
+	.X(FE_OFN18414_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2469_n_13069 (
+	.A(FE_PSN4417_FE_OFN18238_n_13069),
+	.X(FE_OFN18412_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2458_u_soc_u_top_u_core_rf_wdata_fwd_wb_20 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.X(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2454_n_15865 (
+	.A(FE_PSBN19835_n_15865),
+	.X(FE_OFN18397_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2453_n_15865 (
+	.A(FE_PSN4785_n_15865),
+	.X(FE_OFN18396_n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2446_u_soc_u_top_u_core_multdiv_operator_ex_0 (
+	.A(u_soc_u_top_u_core_multdiv_operator_ex[0]),
+	.X(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2445_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.X(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2441_n_11514 (
+	.A(FE_PSN4834_FE_OFN18385_n_11514),
+	.X(FE_OFN18384_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2436_n_11258 (
+	.A(n_11258),
+	.X(FE_OFN18379_n_11258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2435_n_11176 (
+	.A(FE_PSN4428_n_11176),
+	.X(FE_OFN18378_n_11176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2434_n_16005 (
+	.A(FE_OFN18613_n),
+	.X(FE_OFN18377_n_16005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2428_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.X(FE_OFN18371_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2424_n_6709 (
+	.A(FE_OFN999_n_6709),
+	.X(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2418_u_soc_lsu_to_xbar_a_address__30 (
+	.A(FE_PSN4772_u_soc_lsu_to_xbar_a_address_30),
+	.X(FE_OFN18361_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2417_u_soc_lsu_to_xbar_a_address__17 (
+	.A(\u_soc_lsu_to_xbar[a_address] [17]),
+	.X(FE_OFN18360_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2416_u_soc_u_top_u_core_instr_rdata_id_18 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.X(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2413_n_11313 (
+	.A(n_11313),
+	.X(FE_OFN18356_n_11313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2407_n_9277 (
+	.A(n_9277),
+	.X(FE_OFN18350_n_9277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2390_u_soc_u_top_u_core_alu_operand_b_ex_0 (
+	.A(FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.X(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2385_n_7607 (
+	.A(n_7607),
+	.X(FE_OFN18328_n_7607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2383_n_13495 (
+	.A(n_13495),
+	.X(FE_OFN18326_n_13495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2381_n_3074 (
+	.A(n_3074),
+	.X(FE_OFN18324_n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2380_n_3074 (
+	.A(n_3074),
+	.X(FE_OFN18323_n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2379_u_soc_u_top_u_core_alu_operand_b_ex_11 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[11]),
+	.X(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2378_n_6708 (
+	.A(n_6708),
+	.X(FE_OFN18321_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2377_n_6708 (
+	.A(n_6708),
+	.X(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2376_n_13103 (
+	.A(n_13103),
+	.X(FE_OFN18319_n_13103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2375_n_15946 (
+	.A(FE_PSN4359_n_15946),
+	.X(FE_OFN18318_n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2370_n_1223 (
+	.A(n_1223),
+	.X(FE_OFN18313_n_1223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2369_n_13393 (
+	.A(FE_PSN4356_n_13393),
+	.X(FE_OFN18312_n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2367_n_11515 (
+	.A(n_11515),
+	.X(FE_OFN18310_n_11515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2363_n_11112 (
+	.A(n_11112),
+	.Y(n_11111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2361_n_15887 (
+	.A(FE_OFN1118_n_15887),
+	.X(FE_OFN18306_n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2360_n_15887 (
+	.A(n_15887),
+	.X(FE_OFN1118_n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2356_n_6869 (
+	.A(n_6868),
+	.Y(FE_OFN18302_n_6869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2355_n_6869 (
+	.A(n_6869),
+	.Y(n_6868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2354_u_soc_u_top_u_core_alu_operator_ex_1 (
+	.A(n_11041),
+	.Y(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2352_u_soc_u_top_u_core_alu_operator_ex_1 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[1]),
+	.Y(n_11041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2351_u_soc_u_top_u_core_instr_rdata_id_28 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[28]),
+	.X(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2349_n_15911 (
+	.A(n_7383),
+	.Y(FE_OFN18299_n_15911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2348_n_15911 (
+	.A(n_15911),
+	.Y(n_7383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2333_u_soc_lsu_to_xbar_a_address__14 (
+	.A(\u_soc_lsu_to_xbar[a_address] [14]),
+	.X(FE_OFN763_u_soc_lsu_to_xbar_a_address__14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2329_n_11093 (
+	.A(n_11093),
+	.Y(n_11092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2328_u_soc_lsu_to_xbar_a_address__18 (
+	.A(\u_soc_lsu_to_xbar[a_address] [18]),
+	.X(FE_OFN759_u_soc_lsu_to_xbar_a_address__18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2324_n_8862 (
+	.A(n_8862),
+	.Y(n_8861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2323_n_8862 (
+	.A(n_8862),
+	.X(FE_OFN1533_n_8862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2314_n_2984 (
+	.A(FE_OFN18285_n_2984),
+	.Y(FE_OFN18286_n_2984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2313_n_2984 (
+	.A(n_2984),
+	.Y(FE_OFN18285_n_2984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2312_n_2984 (
+	.A(n_2984),
+	.X(n_2983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2304_n_8333 (
+	.A(n_8332),
+	.Y(FE_OFN18282_n_8333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2302_n_8333 (
+	.A(n_8333),
+	.Y(n_8332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2299_n_16012 (
+	.A(n_16012),
+	.X(FE_OFN18279_n_16012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2297_u_soc_u_top_u_core_instr_rdata_id_24 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.X(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2293_u_soc_xbar_to_dccm_a_address__3 (
+	.A(\u_soc_xbar_to_dccm[a_address] [3]),
+	.X(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2290_u_soc_u_top_u_core_instr_rdata_id_21 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.X(FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2289_n_2418 (
+	.A(n_2417),
+	.Y(FE_OFN18274_n_2418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2288_n_2418 (
+	.A(n_2418),
+	.Y(n_2417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2287_u_soc_lsu_to_xbar_a_address__20 (
+	.A(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20),
+	.X(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2282_n_11152 (
+	.A(n_11151),
+	.Y(FE_OFN18271_n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2280_u_soc_xbar_to_dccm_a_address__2 (
+	.A(\u_soc_xbar_to_dccm[a_address] [2]),
+	.X(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2278_u_soc_u_top_u_core_instr_rdata_id_27 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[27]),
+	.X(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2274_u_soc_u_top_u_core_instr_rdata_id_23 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.X(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2262_n_7388 (
+	.A(n_7388),
+	.Y(FE_OFN18264_n_7388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2260_u_soc_u_iccm_rdata1_16 (
+	.A(u_soc_u_iccm_rdata1[16]),
+	.X(FE_OFN601_u_soc_u_iccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2258_u_soc_u_top_u_core_instr_rdata_id_19 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.X(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2254_u_soc_u_top_u_core_instr_rdata_id_4 (
+	.A(FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4),
+	.Y(FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2253_u_soc_u_top_u_core_instr_rdata_id_4 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[4]),
+	.Y(FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2252_n_669 (
+	.A(n_669),
+	.Y(n_13418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2251_u_soc_lsu_to_xbar_a_address__15 (
+	.A(\u_soc_lsu_to_xbar[a_address] [15]),
+	.X(FE_OFN762_u_soc_lsu_to_xbar_a_address__15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2250_u_soc_lsu_to_xbar_a_address__22 (
+	.A(\u_soc_lsu_to_xbar[a_address] [22]),
+	.X(FE_OFN755_u_soc_lsu_to_xbar_a_address__22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2248_n_10044 (
+	.A(n_10044),
+	.X(FE_OFN1622_n_10044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2241_n_496 (
+	.A(FE_COEN4874_n_496),
+	.Y(n_13361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2239_u_soc_u_iccm_rdata1_1 (
+	.A(u_soc_u_iccm_rdata1[1]),
+	.X(FE_OFN617_u_soc_u_iccm_rdata1_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2234_n_7971 (
+	.A(n_7971),
+	.Y(n_7970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2232_n_10155 (
+	.A(n_10155),
+	.X(FE_OFN1625_n_10155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2230_n_7382 (
+	.A(n_7382),
+	.Y(FE_OFN18258_n_7382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2227_n_10146 (
+	.A(n_10146),
+	.X(FE_OFN1624_n_10146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2226_n_619 (
+	.A(n_13515),
+	.Y(FE_OFN18257_n_619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2224_n_619 (
+	.A(n_619),
+	.Y(n_13515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2221_n_10145 (
+	.A(n_10145),
+	.X(FE_OFN1623_n_10145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2220_n_13252 (
+	.A(n_13252),
+	.Y(n_13855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2219_u_soc_u_top_u_core_rf_wdata_fwd_wb_18 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.X(FE_OFN783_u_soc_u_top_u_core_rf_wdata_fwd_wb_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2216_u_soc_lsu_to_xbar_a_address__26 (
+	.A(FE_PSN4369_u_soc_lsu_to_xbar_a_address_26),
+	.X(FE_OFN751_u_soc_lsu_to_xbar_a_address__26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2215_n_9954 (
+	.A(n_9954),
+	.Y(n_13347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2210_n_625 (
+	.A(FE_COEN4683_n_13510),
+	.Y(FE_OFN18252_n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2205_n_10864 (
+	.A(n_10864),
+	.X(FE_OFN1633_n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2204_u_soc_xbar_to_dccm_a_data__11 (
+	.A(\u_soc_xbar_to_dccm[a_data] [11]),
+	.X(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC2201_n_183 (
+	.A(u_soc_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2200_u_soc_u_top_u_core_instr_rdata_id_31 (
+	.A(FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31),
+	.Y(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2199_u_soc_u_top_u_core_instr_rdata_id_31 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[31]),
+	.Y(FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2198_u_soc_xbar_to_dccm_a_data__7 (
+	.A(\u_soc_xbar_to_dccm[a_data] [7]),
+	.X(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2197_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.Y(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2196_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(n_8791),
+	.Y(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2195_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_8791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2192_n_11475 (
+	.A(FE_COEN4317_n_11475),
+	.X(FE_OFN1056_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2189_u_soc_u_top_u_core_rf_wdata_fwd_wb_24 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.X(FE_OFN779_u_soc_u_top_u_core_rf_wdata_fwd_wb_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2188_n_7619 (
+	.A(n_7618),
+	.Y(FE_OFN18244_n_7619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2187_n_7619 (
+	.A(n_7619),
+	.Y(n_7618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2186_u_soc_u_top_u_core_rf_wdata_fwd_wb_17 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.X(FE_OFN784_u_soc_u_top_u_core_rf_wdata_fwd_wb_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2184_n_2683 (
+	.A(n_2683),
+	.Y(n_2682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2176_n_13134 (
+	.A(n_13134),
+	.Y(n_13506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2175_n_11626 (
+	.A(n_11625),
+	.Y(FE_OFN18242_n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2172_n_2285 (
+	.A(n_2285),
+	.Y(n_2284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2171_u_soc_xbar_to_dccm_a_address__12 (
+	.A(\u_soc_xbar_to_dccm[a_address] [12]),
+	.X(FE_OFN765_u_soc_xbar_to_dccm_a_address__12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2170_n_9296 (
+	.A(n_9296),
+	.X(FE_OFN1569_n_9296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2167_n_2420 (
+	.A(n_2419),
+	.Y(FE_OFN18240_n_2420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2166_n_2420 (
+	.A(n_2420),
+	.Y(n_2419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2164_u_soc_lsu_to_xbar_a_address__29 (
+	.A(\u_soc_lsu_to_xbar[a_address] [29]),
+	.X(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2160_n_11313 (
+	.A(n_11313),
+	.Y(n_11312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2159_n_15 (
+	.A(FE_COEN4872_n_15),
+	.Y(n_13360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2158_u_soc_lsu_to_xbar_a_address__21 (
+	.A(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.X(FE_OFN756_u_soc_lsu_to_xbar_a_address__21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2157_n_13069 (
+	.A(n_13419),
+	.Y(FE_OFN18238_n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2156_n_13069 (
+	.A(n_13069),
+	.X(n_13419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2155_n_2678 (
+	.A(n_2678),
+	.Y(FE_OFN18237_n_2678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2154_n_2678 (
+	.A(n_2678),
+	.X(FE_OFN18236_n_2678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2153_n_2678 (
+	.A(n_2678),
+	.X(n_2677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2152_n_130 (
+	.A(n_13511),
+	.Y(FE_OFN18235_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2150_n_8124 (
+	.A(n_8124),
+	.Y(n_8123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2148_n_113 (
+	.A(FE_PSN4379_n_13507),
+	.Y(FE_OFN18234_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2146_n_113 (
+	.A(n_113),
+	.Y(n_13507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2142_n_3080 (
+	.A(n_3080),
+	.Y(FE_OFN18230_n_3080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2137_n_1854 (
+	.A(n_1853),
+	.Y(FE_OFN18227_n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2136_n_1854 (
+	.A(n_1854),
+	.Y(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2135_n_639 (
+	.A(n_13524),
+	.Y(FE_OFN18226_n_639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2134_n_639 (
+	.A(n_639),
+	.Y(n_13524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2130_n_616 (
+	.A(n_13509),
+	.Y(FE_OFN18225_n_616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2128_n_616 (
+	.A(n_616),
+	.Y(n_13509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2125_n_109 (
+	.A(FE_PSN4142_n_13502),
+	.Y(FE_OFN18223_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2124_n_109 (
+	.A(n_109),
+	.Y(n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2123_u_soc_lsu_to_xbar_a_address__25 (
+	.A(\u_soc_lsu_to_xbar[a_address] [25]),
+	.X(FE_OFN752_u_soc_lsu_to_xbar_a_address__25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2121_u_soc_lsu_to_xbar_a_address__28 (
+	.A(\u_soc_lsu_to_xbar[a_address] [28]),
+	.X(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2119_n_2674 (
+	.A(n_2674),
+	.X(FE_OFN18221_n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2118_n_2674 (
+	.A(n_2674),
+	.Y(n_2673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2114_n_119 (
+	.A(n_13525),
+	.Y(FE_OFN18220_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2113_n_119 (
+	.A(n_119),
+	.Y(n_13525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2112_u_soc_u_iccm_rdata4_0 (
+	.A(FE_OFN19421_u_soc_u_iccm_rdata4_0),
+	.X(FE_OFN700_u_soc_u_iccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2111_n_6709 (
+	.A(FE_OFN998_n_6709),
+	.Y(FE_OFN18219_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2108_n_6709 (
+	.A(n_6708),
+	.X(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2107_n_6709 (
+	.A(n_6709),
+	.Y(FE_OFN998_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2106_n_6709 (
+	.A(n_6709),
+	.X(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2105_u_soc_xbar_to_dccm_a_address__6 (
+	.A(\u_soc_xbar_to_dccm[a_address] [6]),
+	.X(FE_OFN771_u_soc_xbar_to_dccm_a_address__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2103_n_13082 (
+	.A(FE_OFN1086_n_13082),
+	.Y(n_13403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2101_n_116 (
+	.A(FE_PSN4786_n_13503),
+	.Y(FE_OFN18215_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2098_n_135 (
+	.A(n_13508),
+	.Y(FE_OFN18214_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2096_n_135 (
+	.A(FE_PSN4420_n_135),
+	.Y(n_13508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2095_n_5364 (
+	.A(FE_OFN976_n_5364),
+	.Y(n_5363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2094_n_5364 (
+	.A(n_5364),
+	.X(FE_OFN976_n_5364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2092_n_142 (
+	.A(n_142),
+	.X(FE_OFN1399_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2091_n_142 (
+	.A(FE_PSN4626_n_142),
+	.Y(u_soc_u_top_u_core_alu_operand_b_ex[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2090_n_620 (
+	.A(n_13518),
+	.Y(FE_OFN18211_n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2088_n_620 (
+	.A(n_620),
+	.Y(n_13518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_OFC2086_u_soc_u_tcam_n_27 (
+	.A(u_soc_u_tcam_n_27),
+	.Y(n_10545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2085_n_7907 (
+	.A(n_7907),
+	.X(FE_OFN1509_n_7907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2082_n_6915 (
+	.A(n_6915),
+	.X(FE_OFN1503_n_6915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2080_n_2676 (
+	.A(n_2676),
+	.Y(FE_OFN18208_n_2676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2079_n_2676 (
+	.A(n_2676),
+	.X(n_2675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2077_n_11218 (
+	.A(n_11217),
+	.Y(FE_OFN18207_n_11218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2076_n_11218 (
+	.A(n_11218),
+	.Y(n_11217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2075_n_638 (
+	.A(n_13514),
+	.Y(FE_OFN18206_n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2072_n_13428 (
+	.A(n_13428),
+	.X(FE_OFN1587_n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2071_n_7965 (
+	.A(n_7965),
+	.X(FE_OFN1006_n_7965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2070_n_13083 (
+	.A(FE_OFN1087_n_13083),
+	.Y(n_13426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2069_n_13083 (
+	.A(n_13083),
+	.X(FE_OFN1087_n_13083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2065_u_soc_u_top_u_core_alu_operand_b_ex_16 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[16]),
+	.X(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2062_n_13087 (
+	.A(n_13087),
+	.X(FE_OFN1090_n_13087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2061_n_13070 (
+	.A(FE_OFN1080_n_13070),
+	.Y(n_13423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2059_n_2680 (
+	.A(n_2680),
+	.Y(FE_OFN18204_n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2058_n_2680 (
+	.A(n_2680),
+	.X(n_2679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2057_n_141 (
+	.A(FE_OFN1398_n_141),
+	.Y(n_13526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2056_n_141 (
+	.A(n_141),
+	.X(FE_OFN1398_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2053_n_13076 (
+	.A(FE_OFN1083_n_13076),
+	.Y(n_13398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2051_n_7253 (
+	.A(n_7253),
+	.Y(n_7252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2050_n_13094 (
+	.A(n_13094),
+	.X(FE_OFN1097_n_13094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2048_n_13097 (
+	.A(n_13097),
+	.X(FE_OFN1098_n_13097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2047_n_7607 (
+	.A(n_7607),
+	.Y(n_7606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2046_n_7609 (
+	.A(FE_OFN18202_n_7609),
+	.Y(FE_OFN18203_n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2045_n_7609 (
+	.A(n_7609),
+	.Y(FE_OFN18202_n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2040_n_1217 (
+	.A(n_1216),
+	.Y(FE_OFN1401_n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2039_n_1217 (
+	.A(n_1217),
+	.X(n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2038_n_13102 (
+	.A(n_13102),
+	.X(FE_OFN1103_n_13102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2037_n_13090 (
+	.A(FE_OFN1093_n_13090),
+	.Y(n_13413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2036_n_13090 (
+	.A(n_13090),
+	.Y(FE_OFN1093_n_13090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2035_n_2000 (
+	.A(n_2000),
+	.X(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2033_n_1992 (
+	.A(n_1992),
+	.X(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2028_n_1999 (
+	.A(n_1999),
+	.X(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2025_u_soc_xbar_to_dccm_a_address__9 (
+	.A(\u_soc_xbar_to_dccm[a_address] [9]),
+	.X(FE_OFN768_u_soc_xbar_to_dccm_a_address__9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2024_u_soc_lsu_to_xbar_a_address__23 (
+	.A(\u_soc_lsu_to_xbar[a_address] [23]),
+	.X(FE_OFN754_u_soc_lsu_to_xbar_a_address__23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2023_u_soc_xbar_to_dccm_a_address__11 (
+	.A(\u_soc_xbar_to_dccm[a_address] [11]),
+	.X(FE_OFN766_u_soc_xbar_to_dccm_a_address__11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2022_n_13075 (
+	.A(FE_PSN4777_FE_OFN1082_n_13075),
+	.Y(n_13396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2021_n_13075 (
+	.A(n_13075),
+	.Y(FE_OFN1082_n_13075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2019_n_13099 (
+	.A(n_13099),
+	.X(FE_OFN1100_n_13099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2018_n_13093 (
+	.A(n_13093),
+	.X(FE_OFN1096_n_13093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2017_n_13074 (
+	.A(n_13395),
+	.Y(FE_OFN1081_n_13074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2016_n_13074 (
+	.A(n_13074),
+	.Y(n_13395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2013_n_577 (
+	.A(FE_OFN19533_n_577),
+	.Y(u_soc_u_top_u_core_alu_operand_b_ex[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2010_n_13089 (
+	.A(FE_OFN1092_n_13089),
+	.Y(n_13448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2009_n_13089 (
+	.A(n_13089),
+	.X(FE_OFN1092_n_13089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2008_n_1843 (
+	.A(n_1843),
+	.X(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2007_n_1407 (
+	.A(n_1407),
+	.X(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2004_n_13098 (
+	.A(n_13098),
+	.X(FE_OFN1099_n_13098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2001_n_13086 (
+	.A(FE_OFN1089_n_13086),
+	.Y(n_13414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2000_n_13086 (
+	.A(n_13086),
+	.Y(FE_OFN1089_n_13086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1999_n_13091 (
+	.A(FE_OFN1094_n_13091),
+	.Y(n_13440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC1998_n_13091 (
+	.A(n_13091),
+	.Y(FE_OFN1094_n_13091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1997_n_2681 (
+	.A(n_2681),
+	.X(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1996_n_1842 (
+	.A(n_1842),
+	.X(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1994_n_13100 (
+	.A(n_13100),
+	.X(FE_OFN1101_n_13100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1993_n_13077 (
+	.A(n_13399),
+	.Y(FE_OFN1084_n_13077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC1992_n_13077 (
+	.A(n_13077),
+	.Y(n_13399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1991_u_soc_lsu_to_xbar_a_address__27 (
+	.A(\u_soc_lsu_to_xbar[a_address] [27]),
+	.X(FE_OFN750_u_soc_lsu_to_xbar_a_address__27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1990_n_3075 (
+	.A(n_3075),
+	.X(FE_OFN1681_n_3075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1989_n_3074 (
+	.A(n_3074),
+	.X(FE_OFN1680_n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1988_n_13380 (
+	.A(n_475),
+	.X(FE_OFN18196_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1987_n_13380 (
+	.A(n_13380),
+	.X(n_475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1985_u_soc_xbar_to_dccm_a_address__7 (
+	.A(\u_soc_xbar_to_dccm[a_address] [7]),
+	.X(FE_OFN770_u_soc_xbar_to_dccm_a_address__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1983_u_soc_lsu_to_xbar_a_address__24 (
+	.A(\u_soc_lsu_to_xbar[a_address] [24]),
+	.X(FE_OFN753_u_soc_lsu_to_xbar_a_address__24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1979_n_10953 (
+	.A(n_10953),
+	.X(FE_OFN1580_n_10953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1974_u_soc_instr_wdata_8 (
+	.A(FE_OFN567_u_soc_instr_wdata_8),
+	.X(FE_OFN18192_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1973_u_soc_data_wdata_23 (
+	.A(FE_OFN18188_n),
+	.Y(FE_OFN18191_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1972_u_soc_data_wdata_23 (
+	.A(FE_OFN18188_n),
+	.Y(FE_OFN18190_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1971_u_soc_data_wdata_23 (
+	.A(FE_OFN1245_u_soc_data_wdata_23),
+	.X(FE_OFN18189_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1970_u_soc_data_wdata_23 (
+	.A(FE_OFN1245_u_soc_data_wdata_23),
+	.Y(FE_OFN18188_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1967_u_soc_u_tcam_rdata_0 (
+	.A(FE_PDN19788_u_soc_u_tcam_rdata_0),
+	.X(FE_OFN18185_u_soc_u_tcam_rdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1965_u_soc_u_tcam_rdata_3 (
+	.A(u_soc_u_tcam_rdata[3]),
+	.X(FE_OFN18183_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1932_u_soc_u_iccm_rdata3_5 (
+	.A(u_soc_u_iccm_rdata3[5]),
+	.X(FE_OFN18150_u_soc_u_iccm_rdata3_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1930_u_soc_u_iccm_rdata3_7 (
+	.A(FE_PDN3994_u_soc_u_iccm_rdata3_7),
+	.X(FE_OFN18148_u_soc_u_iccm_rdata3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1915_u_soc_u_iccm_rdata3_22 (
+	.A(FE_PDN4246_u_soc_u_iccm_rdata3_22),
+	.X(FE_OFN18133_u_soc_u_iccm_rdata3_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1846_u_soc_u_dccm_rdata3_7 (
+	.A(FE_PDN4057_u_soc_u_dccm_rdata3_7),
+	.X(FE_OFN18064_u_soc_u_dccm_rdata3_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1844_u_soc_u_dccm_rdata3_9 (
+	.A(FE_PDN4239_u_soc_u_dccm_rdata3_9),
+	.X(FE_OFN18062_u_soc_u_dccm_rdata3_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1843_u_soc_u_dccm_rdata3_10 (
+	.A(FE_PDN4044_u_soc_u_dccm_rdata3_10),
+	.X(FE_OFN18061_u_soc_u_dccm_rdata3_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1842_u_soc_u_dccm_rdata3_11 (
+	.A(FE_PDN4017_u_soc_u_dccm_rdata3_11),
+	.X(FE_OFN18060_u_soc_u_dccm_rdata3_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1837_u_soc_u_dccm_rdata3_16 (
+	.A(FE_PDN4608_u_soc_u_dccm_rdata3_16),
+	.X(FE_OFN18055_u_soc_u_dccm_rdata3_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1836_u_soc_u_dccm_rdata3_17 (
+	.A(FE_PDN3991_u_soc_u_dccm_rdata3_17),
+	.X(FE_OFN18054_u_soc_u_dccm_rdata3_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1834_u_soc_u_dccm_rdata3_19 (
+	.A(FE_PDN3969_u_soc_u_dccm_rdata3_19),
+	.X(FE_OFN18052_u_soc_u_dccm_rdata3_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1833_u_soc_u_dccm_rdata3_20 (
+	.A(FE_PDN4523_u_soc_u_dccm_rdata3_20),
+	.X(FE_OFN18051_u_soc_u_dccm_rdata3_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1832_u_soc_u_dccm_rdata3_21 (
+	.A(FE_PDN4022_u_soc_u_dccm_rdata3_21),
+	.X(FE_OFN18050_u_soc_u_dccm_rdata3_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1831_u_soc_u_dccm_rdata3_22 (
+	.A(FE_PDN3993_u_soc_u_dccm_rdata3_22),
+	.X(FE_OFN18049_u_soc_u_dccm_rdata3_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1810_u_soc_data_wdata_31 (
+	.A(FE_OFN170_u_soc_data_wdata_31),
+	.X(FE_OFN18028_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1809_u_soc_data_wdata_21 (
+	.A(FE_OFN197_u_soc_data_wdata_21),
+	.X(FE_OFN18027_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1808_u_soc_data_wdata_20 (
+	.A(FE_OFN205_u_soc_data_wdata_20),
+	.X(FE_OFN18026_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1807_u_soc_instr_wdata_31 (
+	.A(u_soc_instr_wdata[31]),
+	.X(FE_OFN18025_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1801_u_soc_instr_wdata_7 (
+	.A(FE_OFN573_u_soc_instr_wdata_7),
+	.X(FE_OFN18019_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1785_logic_0_2_net (
+	.A(logic_0_2_net),
+	.X(FE_OFN18003_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1783_logic_0_7_net (
+	.A(logic_0_7_net),
+	.X(FE_OFN18001_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1763_u_soc_data_we (
+	.A(FE_PDN3942_FE_OFN18768_FE_OFN1126_u_soc_data_we),
+	.X(FE_OFN1763_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1758_u_soc_data_wdata_22 (
+	.A(FE_OFN1755_n),
+	.Y(FE_OFN1758_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1757_u_soc_data_wdata_22 (
+	.A(FE_OFN1755_n),
+	.Y(FE_OFN1757_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1755_u_soc_data_wdata_22 (
+	.A(FE_OFN1249_u_soc_data_wdata_22),
+	.Y(FE_OFN1755_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC1753_u_soc_data_wdata_19 (
+	.A(FE_OFN1749_n),
+	.Y(FE_OFN1753_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1752_u_soc_data_wdata_19 (
+	.A(FE_OFN1749_n),
+	.Y(FE_OFN1752_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1751_u_soc_data_wdata_19 (
+	.A(FE_PDN4021_FE_OFN1253_u_soc_data_wdata_19),
+	.X(FE_OFN1751_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1749_u_soc_data_wdata_19 (
+	.A(FE_OFN1253_u_soc_data_wdata_19),
+	.Y(FE_OFN1749_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1748_u_soc_data_wdata_18 (
+	.A(FE_OFN1745_n),
+	.Y(FE_OFN1748_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1747_u_soc_data_wdata_18 (
+	.A(FE_OFN1745_n),
+	.Y(FE_OFN1747_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1745_u_soc_data_wdata_18 (
+	.A(FE_OFN1256_u_soc_data_wdata_18),
+	.Y(FE_OFN1745_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1743_u_soc_data_wdata_16 (
+	.A(FE_OFN1740_n),
+	.Y(FE_OFN1743_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1742_u_soc_data_wdata_16 (
+	.A(FE_OFN1740_n),
+	.Y(FE_OFN1742_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1740_u_soc_data_wdata_16 (
+	.A(FE_OFN1260_u_soc_data_wdata_16),
+	.Y(FE_OFN1740_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1738_u_soc_instr_wdata_2 (
+	.A(FE_OFN1735_n),
+	.Y(FE_OFN1738_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1737_u_soc_instr_wdata_2 (
+	.A(FE_OFN1735_n),
+	.Y(FE_OFN1737_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1736_u_soc_instr_wdata_2 (
+	.A(FE_OFN19691_FE_OFN1281_u_soc_instr_wdata_2),
+	.X(FE_OFN1736_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1735_u_soc_instr_wdata_2 (
+	.A(FE_OFN1281_u_soc_instr_wdata_2),
+	.Y(FE_OFN1735_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1733_u_soc_instr_wdata_1 (
+	.A(FE_OFN1730_n),
+	.Y(FE_OFN1733_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1732_u_soc_instr_wdata_1 (
+	.A(FE_OFN1730_n),
+	.Y(FE_OFN1732_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1730_u_soc_instr_wdata_1 (
+	.A(FE_OFN1285_u_soc_instr_wdata_1),
+	.Y(FE_OFN1730_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1728_u_soc_data_wmask_2 (
+	.A(FE_OFN1725_n),
+	.Y(FE_OFN1728_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1725_u_soc_data_wmask_2 (
+	.A(FE_OFN1309_u_soc_data_wmask_2),
+	.Y(FE_OFN1725_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1722_u_soc_data_wmask_1 (
+	.A(FE_OFN1315_u_soc_data_wmask_1),
+	.X(FE_OFN1722_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1679_n_8544 (
+	.A(n_8544),
+	.X(FE_OFN1679_n_8544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1678_n_8447 (
+	.A(n_8447),
+	.X(FE_OFN1678_n_8447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1677_n_8287 (
+	.A(n_8287),
+	.X(FE_OFN1677_n_8287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1676_n_8285 (
+	.A(n_8285),
+	.X(FE_OFN1676_n_8285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1675_n_8283 (
+	.A(n_8283),
+	.X(FE_OFN1675_n_8283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1674_n_8085 (
+	.A(n_8085),
+	.X(FE_OFN1674_n_8085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1673_n_8083 (
+	.A(n_8083),
+	.X(FE_OFN1673_n_8083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1672_n_8081 (
+	.A(n_8081),
+	.X(FE_OFN1672_n_8081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1671_n_8079 (
+	.A(n_8079),
+	.X(FE_OFN1671_n_8079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1670_n_8077 (
+	.A(n_8077),
+	.X(FE_OFN1670_n_8077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1669_n_8075 (
+	.A(n_8075),
+	.X(FE_OFN1669_n_8075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1668_n_7913 (
+	.A(n_7913),
+	.X(FE_OFN1668_n_7913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1667_n_7911 (
+	.A(n_7911),
+	.X(FE_OFN1667_n_7911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1666_n_7909 (
+	.A(n_7909),
+	.X(FE_OFN1666_n_7909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1665_n_7795 (
+	.A(n_7795),
+	.X(FE_OFN1665_n_7795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1664_n_7793 (
+	.A(n_7793),
+	.X(FE_OFN1664_n_7793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1663_n_7791 (
+	.A(n_7791),
+	.X(FE_OFN1663_n_7791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1662_n_7789 (
+	.A(n_7789),
+	.X(FE_OFN1662_n_7789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1661_n_7787 (
+	.A(n_7787),
+	.X(FE_OFN1661_n_7787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1660_n_7785 (
+	.A(n_7785),
+	.X(FE_OFN1660_n_7785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1659_n_7783 (
+	.A(n_7783),
+	.X(FE_OFN1659_n_7783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1658_n_7781 (
+	.A(n_7781),
+	.X(FE_OFN1658_n_7781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1657_n_7779 (
+	.A(n_7779),
+	.X(FE_OFN1657_n_7779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1656_n_7777 (
+	.A(n_7777),
+	.X(FE_OFN1656_n_7777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1655_n_7775 (
+	.A(n_7775),
+	.X(FE_OFN1655_n_7775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1654_n_7773 (
+	.A(n_7773),
+	.X(FE_OFN1654_n_7773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1653_n_7617 (
+	.A(n_7617),
+	.X(FE_OFN1653_n_7617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1652_n_7615 (
+	.A(n_7615),
+	.X(FE_OFN1652_n_7615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1651_n_7613 (
+	.A(n_7613),
+	.X(FE_OFN1651_n_7613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1650_n_7611 (
+	.A(n_7611),
+	.X(FE_OFN1650_n_7611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1649_n_7557 (
+	.A(n_7557),
+	.X(FE_OFN1649_n_7557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1648_n_7555 (
+	.A(n_7555),
+	.X(FE_OFN1648_n_7555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1646_n_3077 (
+	.A(n_3077),
+	.X(FE_OFN1646_n_3077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1639_n_16011 (
+	.A(n_16011),
+	.X(FE_OFN1639_n_16011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1636_n_10944 (
+	.A(n_10944),
+	.X(FE_OFN1636_n_10944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1635_n_10943 (
+	.A(n_10943),
+	.X(FE_OFN1635_n_10943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1632_n_10762 (
+	.A(n_10762),
+	.X(FE_OFN1632_n_10762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1631_n_10756 (
+	.A(n_10756),
+	.X(FE_OFN1631_n_10756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1630_n_10754 (
+	.A(n_10754),
+	.X(FE_OFN1630_n_10754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1629_n_10748 (
+	.A(n_10748),
+	.X(FE_OFN1629_n_10748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1628_n_10746 (
+	.A(n_10746),
+	.X(FE_OFN1628_n_10746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1627_n_10744 (
+	.A(n_10744),
+	.X(FE_OFN1627_n_10744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1626_n_10736 (
+	.A(n_10736),
+	.X(FE_OFN1626_n_10736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1621_n_6733 (
+	.A(n_6733),
+	.X(FE_OFN1621_n_6733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1620_n_5856 (
+	.A(n_5856),
+	.X(FE_OFN1620_n_5856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1619_n_5852 (
+	.A(FE_COEN4701_n_5852),
+	.X(FE_OFN1619_n_5852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1618_n_5846 (
+	.A(n_5846),
+	.X(FE_OFN1618_n_5846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1617_n_5836 (
+	.A(n_5836),
+	.X(FE_OFN1617_n_5836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1616_n_5834 (
+	.A(n_5834),
+	.X(FE_OFN1616_n_5834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1615_n_5830 (
+	.A(n_5830),
+	.X(FE_OFN1615_n_5830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1614_n_5826 (
+	.A(FE_COEN4702_n_5826),
+	.X(FE_OFN1614_n_5826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1613_n_3011 (
+	.A(n_3011),
+	.X(FE_OFN1613_n_3011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1612_n_3007 (
+	.A(n_3007),
+	.X(FE_OFN1612_n_3007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1611_n_3001 (
+	.A(n_3001),
+	.X(FE_OFN1611_n_3001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1610_n_2991 (
+	.A(n_2991),
+	.X(FE_OFN1610_n_2991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1609_n_2989 (
+	.A(n_2989),
+	.X(FE_OFN1609_n_2989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1608_n_2244 (
+	.A(n_2244),
+	.X(FE_OFN1608_n_2244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1607_n_2241 (
+	.A(n_2241),
+	.X(FE_OFN1607_n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1606_n_1516 (
+	.A(n_1516),
+	.X(FE_OFN1606_n_1516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1605_n_1513 (
+	.A(n_1513),
+	.X(FE_OFN1605_n_1513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1594_u_soc_u_dccm_csb1 (
+	.A(FE_OFN1593_u_soc_u_dccm_csb1),
+	.Y(FE_OFN1594_u_soc_u_dccm_csb1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1593_u_soc_u_dccm_csb1 (
+	.A(u_soc_u_dccm_csb1),
+	.Y(FE_OFN1593_u_soc_u_dccm_csb1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1576_n_10256 (
+	.A(n_10256),
+	.X(FE_OFN1576_n_10256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1575_n_10253 (
+	.A(n_10253),
+	.X(FE_OFN1575_n_10253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1574_n_10248 (
+	.A(n_10248),
+	.X(FE_OFN1574_n_10248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1573_n_10229 (
+	.A(n_10229),
+	.X(FE_OFN1573_n_10229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1572_n_10228 (
+	.A(n_10228),
+	.X(FE_OFN1572_n_10228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1571_n_10225 (
+	.A(n_10225),
+	.X(FE_OFN1571_n_10225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1570_n_10220 (
+	.A(n_10220),
+	.X(FE_OFN1570_n_10220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1565_n_9006 (
+	.A(n_9006),
+	.X(FE_OFN1565_n_9006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1564_n_9005 (
+	.A(n_9005),
+	.X(FE_OFN1564_n_9005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1563_n_9004 (
+	.A(n_9004),
+	.X(FE_OFN1563_n_9004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1562_n_8982 (
+	.A(n_8982),
+	.X(FE_OFN1562_n_8982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1561_n_8981 (
+	.A(n_8981),
+	.X(FE_OFN1561_n_8981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1560_n_8980 (
+	.A(n_8980),
+	.X(FE_OFN1560_n_8980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1559_n_8979 (
+	.A(n_8979),
+	.X(FE_OFN1559_n_8979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1558_n_8978 (
+	.A(n_8978),
+	.X(FE_OFN1558_n_8978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1557_n_8977 (
+	.A(n_8977),
+	.X(FE_OFN1557_n_8977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1556_n_8976 (
+	.A(n_8976),
+	.X(FE_OFN1556_n_8976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1555_n_8975 (
+	.A(n_8975),
+	.X(FE_OFN1555_n_8975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1554_n_8974 (
+	.A(n_8974),
+	.X(FE_OFN1554_n_8974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1553_n_8973 (
+	.A(n_8973),
+	.X(FE_OFN1553_n_8973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1552_n_8972 (
+	.A(n_8972),
+	.X(FE_OFN1552_n_8972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1551_n_8971 (
+	.A(n_8971),
+	.X(FE_OFN1551_n_8971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1550_n_8970 (
+	.A(n_8970),
+	.X(FE_OFN1550_n_8970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1549_n_8968 (
+	.A(FE_OFN1548_n_8968),
+	.X(FE_OFN1549_n_8968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1548_n_8968 (
+	.A(n_8968),
+	.X(FE_OFN1548_n_8968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1547_n_8967 (
+	.A(n_8967),
+	.X(FE_OFN1547_n_8967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1546_n_8967 (
+	.A(n_8967),
+	.X(FE_OFN1546_n_8967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1545_n_8966 (
+	.A(n_8966),
+	.X(FE_OFN1545_n_8966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1544_n_8966 (
+	.A(n_8966),
+	.X(FE_OFN1544_n_8966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1543_n_8965 (
+	.A(n_8965),
+	.X(FE_OFN1543_n_8965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1542_n_8965 (
+	.A(n_8965),
+	.X(FE_OFN1542_n_8965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1541_n_8964 (
+	.A(n_8964),
+	.X(FE_OFN1541_n_8964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1540_n_8963 (
+	.A(FE_OFN1539_n_8963),
+	.X(FE_OFN1540_n_8963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1539_n_8963 (
+	.A(n_8963),
+	.X(FE_OFN1539_n_8963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1538_n_8962 (
+	.A(n_8962),
+	.X(FE_OFN1538_n_8962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1537_n_8962 (
+	.A(n_8962),
+	.X(FE_OFN1537_n_8962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1536_n_8961 (
+	.A(FE_OFN1535_n_8961),
+	.X(FE_OFN1536_n_8961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1535_n_8961 (
+	.A(n_8961),
+	.X(FE_OFN1535_n_8961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1534_n_8867 (
+	.A(n_8867),
+	.X(FE_OFN1534_n_8867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1532_n_8759 (
+	.A(n_8759),
+	.X(FE_OFN1532_n_8759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1531_n_8758 (
+	.A(n_8758),
+	.X(FE_OFN1531_n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1530_n_8756 (
+	.A(n_8756),
+	.X(FE_OFN1530_n_8756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1529_n_8755 (
+	.A(n_8755),
+	.X(FE_OFN1529_n_8755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1528_n_8754 (
+	.A(n_8754),
+	.X(FE_OFN1528_n_8754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1527_n_8753 (
+	.A(n_8753),
+	.X(FE_OFN1527_n_8753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1526_n_8752 (
+	.A(n_8752),
+	.X(FE_OFN1526_n_8752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1525_n_8751 (
+	.A(n_8751),
+	.X(FE_OFN1525_n_8751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1524_n_8750 (
+	.A(n_8750),
+	.X(FE_OFN1524_n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1523_n_8749 (
+	.A(n_8749),
+	.X(FE_OFN1523_n_8749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1522_n_8748 (
+	.A(n_8748),
+	.X(FE_OFN1522_n_8748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1521_n_8747 (
+	.A(n_8747),
+	.X(FE_OFN1521_n_8747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1520_n_8746 (
+	.A(n_8746),
+	.X(FE_OFN1520_n_8746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1519_n_8745 (
+	.A(n_8745),
+	.X(FE_OFN1519_n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1518_n_8737 (
+	.A(n_8737),
+	.X(FE_OFN1518_n_8737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1517_n_8736 (
+	.A(n_8736),
+	.X(FE_OFN1517_n_8736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1516_n_8735 (
+	.A(n_8735),
+	.X(FE_OFN1516_n_8735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1515_n_8734 (
+	.A(n_8734),
+	.X(FE_OFN1515_n_8734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1514_n_8733 (
+	.A(n_8733),
+	.X(FE_OFN1514_n_8733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1513_n_8732 (
+	.A(n_8732),
+	.X(FE_OFN1513_n_8732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1512_n_8730 (
+	.A(n_8730),
+	.X(FE_OFN1512_n_8730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1511_n_8612 (
+	.A(n_8612),
+	.X(FE_OFN1511_n_8612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1510_n_8611 (
+	.A(n_8611),
+	.X(FE_OFN1510_n_8611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1508_n_7251 (
+	.A(n_7251),
+	.X(FE_OFN1508_n_7251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1507_n_7250 (
+	.A(n_7250),
+	.X(FE_OFN1507_n_7250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1506_n_7249 (
+	.A(n_7249),
+	.X(FE_OFN1506_n_7249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1505_n_7248 (
+	.A(n_7248),
+	.X(FE_OFN1505_n_7248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1504_n_7247 (
+	.A(n_7247),
+	.X(FE_OFN1504_n_7247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1502_n_6724 (
+	.A(n_6724),
+	.X(FE_OFN1502_n_6724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1501_n_6722 (
+	.A(n_6722),
+	.X(FE_OFN1501_n_6722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1499_n_6718 (
+	.A(n_6718),
+	.X(FE_OFN1499_n_6718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1498_n_6714 (
+	.A(n_6714),
+	.X(FE_OFN1498_n_6714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1497_n_6713 (
+	.A(n_6713),
+	.X(FE_OFN1497_n_6713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1496_n_6712 (
+	.A(n_6712),
+	.X(FE_OFN1496_n_6712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1495_n_6711 (
+	.A(n_6711),
+	.X(FE_OFN1495_n_6711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1494_n_6710 (
+	.A(n_6710),
+	.X(FE_OFN1494_n_6710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1493_n_6490 (
+	.A(n_6490),
+	.X(FE_OFN1493_n_6490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1492_n_5662 (
+	.A(n_5662),
+	.X(FE_OFN1492_n_5662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1491_n_5661 (
+	.A(n_5661),
+	.X(FE_OFN1491_n_5661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1490_n_5660 (
+	.A(n_5660),
+	.X(FE_OFN1490_n_5660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1489_n_5659 (
+	.A(n_5659),
+	.X(FE_OFN1489_n_5659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1488_n_5658 (
+	.A(n_5658),
+	.X(FE_OFN1488_n_5658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1487_n_5657 (
+	.A(n_5657),
+	.X(FE_OFN1487_n_5657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1486_n_5656 (
+	.A(n_5656),
+	.X(FE_OFN1486_n_5656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1485_n_5655 (
+	.A(n_5655),
+	.X(FE_OFN1485_n_5655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1484_n_5654 (
+	.A(n_5654),
+	.X(FE_OFN1484_n_5654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1483_n_5653 (
+	.A(n_5653),
+	.X(FE_OFN1483_n_5653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1482_n_5651 (
+	.A(n_5651),
+	.X(FE_OFN1482_n_5651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1481_n_5649 (
+	.A(n_5649),
+	.X(FE_OFN1481_n_5649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1480_n_5647 (
+	.A(n_5647),
+	.X(FE_OFN1480_n_5647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1479_n_5376 (
+	.A(n_5376),
+	.X(FE_OFN1479_n_5376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1478_n_5374 (
+	.A(n_5374),
+	.X(FE_OFN1478_n_5374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1477_n_2980 (
+	.A(n_2980),
+	.X(FE_OFN1477_n_2980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1476_n_2542 (
+	.A(n_2542),
+	.X(FE_OFN1476_n_2542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1475_n_2412 (
+	.A(n_2412),
+	.X(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1474_n_2280 (
+	.A(n_2280),
+	.X(FE_OFN1474_n_2280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1473_n_2279 (
+	.A(n_2279),
+	.X(FE_OFN1473_n_2279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1472_n_2278 (
+	.A(n_2278),
+	.X(FE_OFN1472_n_2278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1471_n_2277 (
+	.A(n_2277),
+	.X(FE_OFN1471_n_2277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1470_n_2276 (
+	.A(n_2276),
+	.X(FE_OFN1470_n_2276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1469_n_2275 (
+	.A(n_2275),
+	.X(FE_OFN1469_n_2275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1468_n_2272 (
+	.A(n_2272),
+	.X(FE_OFN1468_n_2272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1467_n_2271 (
+	.A(n_2271),
+	.X(FE_OFN1467_n_2271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1466_n_2270 (
+	.A(n_2270),
+	.X(FE_OFN1466_n_2270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1465_n_2269 (
+	.A(n_2269),
+	.X(FE_OFN1465_n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1464_n_2268 (
+	.A(n_2268),
+	.X(FE_OFN1464_n_2268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1463_n_2267 (
+	.A(n_2267),
+	.X(FE_OFN1463_n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1462_n_2266 (
+	.A(n_2266),
+	.X(FE_OFN1462_n_2266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1461_n_2265 (
+	.A(n_2265),
+	.X(FE_OFN1461_n_2265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1460_n_2263 (
+	.A(n_2263),
+	.X(FE_OFN1460_n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1459_n_2262 (
+	.A(n_2262),
+	.X(FE_OFN1459_n_2262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1458_n_2260 (
+	.A(n_2260),
+	.X(FE_OFN1458_n_2260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1457_n_2259 (
+	.A(n_2259),
+	.X(FE_OFN1457_n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1456_n_2258 (
+	.A(n_2258),
+	.X(FE_OFN1456_n_2258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1455_n_2257 (
+	.A(n_2257),
+	.X(FE_OFN1455_n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1454_n_2145 (
+	.A(n_2145),
+	.X(FE_OFN1454_n_2145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1453_n_2144 (
+	.A(n_2144),
+	.X(FE_OFN1453_n_2144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1452_n_2143 (
+	.A(n_2143),
+	.X(FE_OFN1452_n_2143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1451_n_2142 (
+	.A(n_2142),
+	.X(FE_OFN1451_n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1450_n_2141 (
+	.A(n_2141),
+	.X(FE_OFN1450_n_2141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1449_n_2140 (
+	.A(n_2140),
+	.X(FE_OFN1449_n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1448_n_2139 (
+	.A(n_2139),
+	.X(FE_OFN1448_n_2139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1447_n_2138 (
+	.A(n_2138),
+	.X(FE_OFN1447_n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1446_n_2134 (
+	.A(n_2134),
+	.X(FE_OFN1446_n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1445_n_2132 (
+	.A(n_2132),
+	.X(FE_OFN1445_n_2132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1444_n_2128 (
+	.A(n_2128),
+	.X(FE_OFN1444_n_2128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1443_n_2124 (
+	.A(n_2124),
+	.X(FE_OFN1443_n_2124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1442_n_2122 (
+	.A(n_2122),
+	.X(FE_OFN1442_n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1441_n_2120 (
+	.A(n_2120),
+	.X(FE_OFN1441_n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1440_n_2112 (
+	.A(n_2112),
+	.X(FE_OFN1440_n_2112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1439_n_2110 (
+	.A(n_2110),
+	.X(FE_OFN1439_n_2110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1438_n_2107 (
+	.A(n_2107),
+	.X(FE_OFN1438_n_2107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1437_n_2104 (
+	.A(n_2104),
+	.X(FE_OFN1437_n_2104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1436_n_2102 (
+	.A(n_2102),
+	.X(FE_OFN1436_n_2102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1435_n_2100 (
+	.A(n_2100),
+	.X(FE_OFN1435_n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1434_n_2099 (
+	.A(n_2099),
+	.X(FE_OFN1434_n_2099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1433_n_2097 (
+	.A(n_2097),
+	.X(FE_OFN1433_n_2097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1432_n_2095 (
+	.A(n_2095),
+	.X(FE_OFN1432_n_2095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1431_n_2093 (
+	.A(n_2093),
+	.X(FE_OFN1431_n_2093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1430_n_2089 (
+	.A(n_2089),
+	.X(FE_OFN1430_n_2089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1429_n_2085 (
+	.A(n_2085),
+	.X(FE_OFN1429_n_2085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1428_n_2083 (
+	.A(n_2083),
+	.X(FE_OFN1428_n_2083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1427_n_2079 (
+	.A(n_2079),
+	.X(FE_OFN1427_n_2079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1426_n_2077 (
+	.A(n_2077),
+	.X(FE_OFN1426_n_2077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1425_n_2075 (
+	.A(n_2075),
+	.X(FE_OFN1425_n_2075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1424_n_2073 (
+	.A(n_2073),
+	.X(FE_OFN1424_n_2073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1423_n_2071 (
+	.A(n_2071),
+	.X(FE_OFN1423_n_2071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1422_n_2070 (
+	.A(n_2070),
+	.X(FE_OFN1422_n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1421_n_2069 (
+	.A(n_2069),
+	.X(FE_OFN1421_n_2069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1420_n_2067 (
+	.A(n_2067),
+	.X(FE_OFN1420_n_2067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1419_n_2065 (
+	.A(n_2065),
+	.X(FE_OFN1419_n_2065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1418_n_2063 (
+	.A(n_2063),
+	.X(FE_OFN1418_n_2063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1417_n_2061 (
+	.A(n_2061),
+	.X(FE_OFN1417_n_2061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1416_n_2057 (
+	.A(n_2057),
+	.X(FE_OFN1416_n_2057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1415_n_2055 (
+	.A(n_2055),
+	.X(FE_OFN1415_n_2055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1413_n_2049 (
+	.A(n_2049),
+	.X(FE_OFN1413_n_2049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1412_n_2045 (
+	.A(n_2045),
+	.X(FE_OFN1412_n_2045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1409_n_2039 (
+	.A(n_2039),
+	.X(FE_OFN1409_n_2039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1408_n_2037 (
+	.A(n_2037),
+	.X(FE_OFN1408_n_2037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1407_n_2017 (
+	.A(n_2017),
+	.X(FE_OFN1407_n_2017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1406_n_2016 (
+	.A(n_2016),
+	.X(FE_OFN1406_n_2016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1405_n_1850 (
+	.A(n_1850),
+	.X(FE_OFN1405_n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1404_n_1848 (
+	.A(n_1848),
+	.X(FE_OFN1404_n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1403_n_1412 (
+	.A(n_1412),
+	.X(FE_OFN1403_n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1402_n_1218 (
+	.A(n_1218),
+	.X(FE_OFN1402_n_1218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1340_u_soc_u_iccm_addr4_1 (
+	.A(u_soc_u_iccm_addr4[1]),
+	.X(FE_OFN1340_u_soc_u_iccm_addr4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1339_u_soc_u_iccm_addr4_2 (
+	.A(FE_OFN1338_u_soc_u_iccm_addr4_2),
+	.Y(FE_OFN1339_u_soc_u_iccm_addr4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1338_u_soc_u_iccm_addr4_2 (
+	.A(u_soc_u_iccm_addr4[2]),
+	.Y(FE_OFN1338_u_soc_u_iccm_addr4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1329_u_soc_u_iccm_addr4_6 (
+	.A(u_soc_u_iccm_addr4[6]),
+	.X(FE_OFN1329_u_soc_u_iccm_addr4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1322_u_soc_instr_wmask_0 (
+	.A(FE_OFN1321_u_soc_instr_wmask_0),
+	.Y(FE_OFN1322_u_soc_instr_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1321_u_soc_instr_wmask_0 (
+	.A(u_soc_instr_wmask[0]),
+	.Y(FE_OFN1321_u_soc_instr_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1320_u_soc_data_wmask_0 (
+	.A(FE_OFN1318_u_soc_data_wmask_0),
+	.Y(FE_OFN1320_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1318_u_soc_data_wmask_0 (
+	.A(FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0),
+	.Y(FE_OFN1318_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1317_u_soc_data_wmask_0 (
+	.A(FE_OFN1316_u_soc_data_wmask_0),
+	.Y(FE_OFN1317_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1316_u_soc_data_wmask_0 (
+	.A(u_soc_data_wmask[0]),
+	.Y(FE_OFN1316_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1315_u_soc_data_wmask_1 (
+	.A(FE_OFN1313_u_soc_data_wmask_1),
+	.Y(FE_OFN1315_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1313_u_soc_data_wmask_1 (
+	.A(FE_PDN3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1),
+	.Y(FE_OFN1313_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1312_u_soc_data_wmask_1 (
+	.A(FE_OFN1311_u_soc_data_wmask_1),
+	.Y(FE_OFN1312_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1311_u_soc_data_wmask_1 (
+	.A(u_soc_data_wmask[1]),
+	.Y(FE_OFN1311_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_OFC1309_u_soc_data_wmask_2 (
+	.A(FE_OFN1308_u_soc_data_wmask_2),
+	.Y(FE_OFN1309_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1308_u_soc_data_wmask_2 (
+	.A(u_soc_data_wmask[2]),
+	.Y(FE_OFN1308_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1291_u_soc_instr_wdata_0 (
+	.A(FE_OFN18717_FE_OFN1289_u_soc_instr_wdata_0),
+	.X(FE_OFN1291_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1289_u_soc_instr_wdata_0 (
+	.A(FE_OFN1288_u_soc_instr_wdata_0),
+	.Y(FE_OFN1289_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1288_u_soc_instr_wdata_0 (
+	.A(u_soc_instr_wdata[0]),
+	.Y(FE_OFN1288_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1287_u_soc_instr_wdata_1 (
+	.A(FE_PDN4068_FE_OFN1732_n),
+	.X(FE_OFN1287_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1285_u_soc_instr_wdata_1 (
+	.A(FE_OFN1284_u_soc_instr_wdata_1),
+	.Y(FE_OFN1285_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1284_u_soc_instr_wdata_1 (
+	.A(u_soc_instr_wdata[1]),
+	.Y(FE_OFN1284_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1283_u_soc_instr_wdata_2 (
+	.A(FE_OFN1737_n),
+	.X(FE_OFN1283_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1281_u_soc_instr_wdata_2 (
+	.A(FE_OFN1280_u_soc_instr_wdata_2),
+	.Y(FE_OFN1281_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1280_u_soc_instr_wdata_2 (
+	.A(u_soc_instr_wdata[2]),
+	.Y(FE_OFN1280_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1279_u_soc_instr_wdata_4 (
+	.A(FE_OFN1277_u_soc_instr_wdata_4),
+	.Y(FE_OFN1279_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1277_u_soc_instr_wdata_4 (
+	.A(u_soc_instr_wdata[4]),
+	.Y(FE_OFN1277_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1276_u_soc_instr_wdata_5 (
+	.A(FE_OFN1274_u_soc_instr_wdata_5),
+	.Y(FE_OFN1276_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1274_u_soc_instr_wdata_5 (
+	.A(u_soc_instr_wdata[5]),
+	.Y(FE_OFN1274_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1266_u_soc_data_wdata_9 (
+	.A(FE_OFN18702_FE_OFN1264_u_soc_data_wdata_9),
+	.X(FE_OFN1266_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1264_u_soc_data_wdata_9 (
+	.A(FE_OFN1263_u_soc_data_wdata_9),
+	.Y(FE_OFN1264_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1263_u_soc_data_wdata_9 (
+	.A(u_soc_data_wdata[9]),
+	.Y(FE_OFN1263_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1262_u_soc_data_wdata_16 (
+	.A(FE_OFN1743_n),
+	.X(FE_OFN1262_u_soc_data_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1260_u_soc_data_wdata_16 (
+	.A(FE_OFN1259_u_soc_data_wdata_16),
+	.Y(FE_OFN1260_u_soc_data_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1259_u_soc_data_wdata_16 (
+	.A(u_soc_data_wdata[16]),
+	.Y(FE_OFN1259_u_soc_data_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1258_u_soc_data_wdata_18 (
+	.A(FE_OFN1748_n),
+	.X(FE_OFN1258_u_soc_data_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1256_u_soc_data_wdata_18 (
+	.A(FE_OFN1255_u_soc_data_wdata_18),
+	.Y(FE_OFN1256_u_soc_data_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1255_u_soc_data_wdata_18 (
+	.A(u_soc_data_wdata[18]),
+	.Y(FE_OFN1255_u_soc_data_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1254_u_soc_data_wdata_19 (
+	.A(FE_OFN1752_n),
+	.X(FE_OFN1254_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1253_u_soc_data_wdata_19 (
+	.A(FE_OFN1252_u_soc_data_wdata_19),
+	.Y(FE_OFN1253_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1252_u_soc_data_wdata_19 (
+	.A(u_soc_data_wdata[19]),
+	.Y(FE_OFN1252_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1251_u_soc_data_wdata_22 (
+	.A(FE_OFN1757_n),
+	.X(FE_OFN1251_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1249_u_soc_data_wdata_22 (
+	.A(FE_OFN1248_u_soc_data_wdata_22),
+	.Y(FE_OFN1249_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1248_u_soc_data_wdata_22 (
+	.A(u_soc_data_wdata[22]),
+	.Y(FE_OFN1248_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1247_u_soc_data_wdata_23 (
+	.A(FE_OFN18190_n),
+	.X(FE_OFN1247_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1245_u_soc_data_wdata_23 (
+	.A(FE_OFN1244_u_soc_data_wdata_23),
+	.Y(FE_OFN1245_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1244_u_soc_data_wdata_23 (
+	.A(u_soc_data_wdata[23]),
+	.Y(FE_OFN1244_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1243_u_soc_data_wdata_24 (
+	.A(FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24),
+	.X(FE_OFN1243_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1241_u_soc_data_wdata_24 (
+	.A(FE_OFN1240_u_soc_data_wdata_24),
+	.Y(FE_OFN1241_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1240_u_soc_data_wdata_24 (
+	.A(u_soc_data_wdata[24]),
+	.Y(FE_OFN1240_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1239_u_soc_data_wdata_25 (
+	.A(FE_PDN4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25),
+	.X(FE_OFN1239_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1237_u_soc_data_wdata_25 (
+	.A(FE_OFN1236_u_soc_data_wdata_25),
+	.Y(FE_OFN1237_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1236_u_soc_data_wdata_25 (
+	.A(u_soc_data_wdata[25]),
+	.Y(FE_OFN1236_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1235_u_soc_data_wdata_26 (
+	.A(FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26),
+	.X(FE_OFN1235_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1233_u_soc_data_wdata_26 (
+	.A(FE_OFN1232_u_soc_data_wdata_26),
+	.Y(FE_OFN1233_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1232_u_soc_data_wdata_26 (
+	.A(u_soc_data_wdata[26]),
+	.Y(FE_OFN1232_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1231_u_soc_data_wdata_27 (
+	.A(FE_PDN4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27),
+	.X(FE_OFN1231_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1230_u_soc_data_wdata_27 (
+	.A(FE_OFN1229_u_soc_data_wdata_27),
+	.Y(FE_OFN1230_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1229_u_soc_data_wdata_27 (
+	.A(u_soc_data_wdata[27]),
+	.Y(FE_OFN1229_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1145_u_soc_u_uart_u_uart_core_tx_fifo_clear (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.X(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1144_u_soc_u_uart_u_uart_core_rx_fifo_clr (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.X(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1142_u_soc_u_top_data_we (
+	.A(u_soc_u_top_data_we),
+	.X(FE_OFN1142_u_soc_u_top_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1141_u_soc_u_iccm_csb4 (
+	.A(u_soc_u_iccm_csb4),
+	.X(FE_OFN1141_u_soc_u_iccm_csb4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1139_u_soc_u_iccm_csb2 (
+	.A(u_soc_u_iccm_csb2),
+	.X(FE_OFN1139_u_soc_u_iccm_csb2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1138_u_soc_u_iccm_csb1 (
+	.A(u_soc_u_iccm_csb1),
+	.X(FE_OFN1138_u_soc_u_iccm_csb1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1137_u_soc_u_dccm_csb4 (
+	.A(u_soc_u_dccm_csb4),
+	.X(FE_OFN1137_u_soc_u_dccm_csb4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1136_u_soc_u_dccm_csb3 (
+	.A(u_soc_u_dccm_csb3),
+	.X(FE_OFN1136_u_soc_u_dccm_csb3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1135_u_soc_u_dccm_csb2 (
+	.A(FE_OFN1134_u_soc_u_dccm_csb2),
+	.X(FE_OFN1135_u_soc_u_dccm_csb2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1134_u_soc_u_dccm_csb2 (
+	.A(u_soc_u_dccm_csb2),
+	.X(FE_OFN1134_u_soc_u_dccm_csb2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1133_u_soc_instr_we (
+	.A(FE_OFN1131_u_soc_instr_we),
+	.Y(FE_OFN1133_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1132_u_soc_instr_we (
+	.A(FE_OFN1131_u_soc_instr_we),
+	.Y(FE_OFN1132_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1131_u_soc_instr_we (
+	.A(FE_OFN19009_u_soc_instr_we),
+	.Y(FE_OFN1131_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.X(FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1127_u_soc_data_we (
+	.A(FE_OFN1124_u_soc_data_we),
+	.Y(FE_OFN1127_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1126_u_soc_data_we (
+	.A(FE_OFN1124_u_soc_data_we),
+	.Y(FE_OFN1126_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1125_u_soc_data_we (
+	.A(FE_OFN1124_u_soc_data_we),
+	.Y(FE_OFN1125_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1124_u_soc_data_we (
+	.A(u_soc_data_we),
+	.Y(FE_OFN1124_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1123_n_17982 (
+	.A(FE_OFN19729_n_17982),
+	.X(FE_OFN1123_n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1119_n_15930 (
+	.A(n_15930),
+	.X(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1107_n_13557 (
+	.A(n_13557),
+	.X(FE_OFN1107_n_13557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1106_n_13489 (
+	.A(n_13489),
+	.X(FE_OFN1106_n_13489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1088_n_13084 (
+	.A(n_13084),
+	.X(FE_OFN1088_n_13084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1049_n_9003 (
+	.A(n_9003),
+	.X(FE_OFN1049_n_9003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1048_n_9002 (
+	.A(n_9002),
+	.X(FE_OFN1048_n_9002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1047_n_9000 (
+	.A(n_9000),
+	.X(FE_OFN1047_n_9000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1046_n_8999 (
+	.A(n_8999),
+	.X(FE_OFN1046_n_8999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1045_n_8983 (
+	.A(n_8983),
+	.X(FE_OFN1045_n_8983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1044_n_8932 (
+	.A(n_8932),
+	.X(FE_OFN1044_n_8932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1043_n_8921 (
+	.A(FE_OFN1039_n_8921),
+	.X(FE_OFN1043_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1042_n_8921 (
+	.A(FE_OFN1038_n_8921),
+	.X(FE_OFN1042_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1041_n_8921 (
+	.A(FE_OFN1038_n_8921),
+	.X(FE_OFN1041_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1040_n_8921 (
+	.A(FE_OFN1038_n_8921),
+	.X(FE_OFN1040_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1039_n_8921 (
+	.A(FE_OFN1037_n_8921),
+	.X(FE_OFN1039_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1038_n_8921 (
+	.A(n_8921),
+	.X(FE_OFN1038_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1037_n_8921 (
+	.A(n_8921),
+	.X(FE_OFN1037_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1036_n_8911 (
+	.A(FE_OFN1033_n_8911),
+	.X(FE_OFN1036_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1035_n_8911 (
+	.A(FE_OFN1032_n_8911),
+	.X(FE_OFN1035_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1034_n_8911 (
+	.A(n_8911),
+	.X(FE_OFN1034_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1033_n_8911 (
+	.A(n_8911),
+	.X(FE_OFN1033_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1032_n_8911 (
+	.A(n_8911),
+	.X(FE_OFN1032_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1031_n_8910 (
+	.A(n_8910),
+	.X(FE_OFN1031_n_8910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1030_n_8905 (
+	.A(n_8905),
+	.X(FE_OFN1030_n_8905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1029_n_8904 (
+	.A(n_8904),
+	.X(FE_OFN1029_n_8904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1028_n_8899 (
+	.A(n_8899),
+	.X(FE_OFN1028_n_8899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1027_n_8894 (
+	.A(n_8894),
+	.X(FE_OFN1027_n_8894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1026_n_8886 (
+	.A(n_8886),
+	.X(FE_OFN1026_n_8886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1025_n_8860 (
+	.A(n_8860),
+	.X(FE_OFN1025_n_8860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1024_n_8857 (
+	.A(n_8857),
+	.X(FE_OFN1024_n_8857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1023_n_8856 (
+	.A(n_8856),
+	.X(FE_OFN1023_n_8856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1022_n_8855 (
+	.A(n_8855),
+	.X(FE_OFN1022_n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1021_n_8855 (
+	.A(n_8855),
+	.X(FE_OFN1021_n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1020_n_8853 (
+	.A(FE_OFN1019_n_8853),
+	.X(FE_OFN1020_n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1019_n_8853 (
+	.A(n_8853),
+	.X(FE_OFN1019_n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1018_n_8844 (
+	.A(FE_OFN1015_n_8844),
+	.X(FE_OFN1018_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1017_n_8844 (
+	.A(FE_OFN1014_n_8844),
+	.X(FE_OFN1017_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1016_n_8844 (
+	.A(n_8844),
+	.X(FE_OFN1016_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1015_n_8844 (
+	.A(n_8844),
+	.X(FE_OFN1015_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1014_n_8844 (
+	.A(n_8844),
+	.X(FE_OFN1014_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1013_n_8835 (
+	.A(FE_OFN1008_n_8835),
+	.X(FE_OFN1013_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1012_n_8835 (
+	.A(FE_OFN1008_n_8835),
+	.X(FE_OFN1012_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1011_n_8835 (
+	.A(FE_OFN1007_n_8835),
+	.X(FE_OFN1011_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1010_n_8835 (
+	.A(FE_OFN1007_n_8835),
+	.X(FE_OFN1010_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1009_n_8835 (
+	.A(n_8835),
+	.X(FE_OFN1009_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1008_n_8835 (
+	.A(n_8835),
+	.X(FE_OFN1008_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1007_n_8835 (
+	.A(n_8835),
+	.X(FE_OFN1007_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1005_n_6918 (
+	.A(n_6918),
+	.X(FE_OFN1005_n_6918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1004_n_6917 (
+	.A(n_6917),
+	.X(FE_OFN1004_n_6917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1003_n_6916 (
+	.A(n_6916),
+	.X(FE_OFN1003_n_6916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1002_n_6865 (
+	.A(n_6865),
+	.X(FE_OFN1002_n_6865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1001_n_6859 (
+	.A(n_6859),
+	.X(FE_OFN1001_n_6859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1000_n_6857 (
+	.A(n_6857),
+	.X(FE_OFN1000_n_6857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC997_n_6493 (
+	.A(n_6493),
+	.X(FE_OFN997_n_6493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC996_n_6492 (
+	.A(n_6492),
+	.X(FE_OFN996_n_6492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC995_n_6491 (
+	.A(n_6491),
+	.X(FE_OFN995_n_6491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC994_n_6488 (
+	.A(n_6488),
+	.X(FE_OFN994_n_6488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC993_n_6487 (
+	.A(n_6487),
+	.X(FE_OFN993_n_6487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC992_n_5974 (
+	.A(n_5974),
+	.X(FE_OFN992_n_5974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC991_n_5961 (
+	.A(n_5961),
+	.X(FE_OFN991_n_5961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC989_n_5950 (
+	.A(n_5950),
+	.X(FE_OFN989_n_5950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC988_n_5934 (
+	.A(n_5934),
+	.X(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC987_n_5663 (
+	.A(n_5663),
+	.X(FE_OFN987_n_5663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC986_n_5399 (
+	.A(n_5399),
+	.X(FE_OFN986_n_5399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC984_n_5382 (
+	.A(n_5382),
+	.X(FE_OFN984_n_5382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC983_n_5372 (
+	.A(n_5372),
+	.X(FE_OFN983_n_5372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC982_n_5371 (
+	.A(n_5371),
+	.X(FE_OFN982_n_5371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC981_n_5370 (
+	.A(n_5370),
+	.X(FE_OFN981_n_5370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC980_n_5369 (
+	.A(n_5369),
+	.X(FE_OFN980_n_5369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC979_n_5368 (
+	.A(n_5368),
+	.X(FE_OFN979_n_5368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC978_n_5367 (
+	.A(n_5367),
+	.X(FE_OFN978_n_5367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC977_n_5366 (
+	.A(n_5366),
+	.X(FE_OFN977_n_5366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC975_n_5362 (
+	.A(n_5362),
+	.X(FE_OFN975_n_5362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC974_n_5360 (
+	.A(n_5360),
+	.X(FE_OFN974_n_5360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC973_n_5358 (
+	.A(n_5358),
+	.X(FE_OFN973_n_5358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC972_n_5356 (
+	.A(FE_OFN971_n_5356),
+	.X(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC971_n_5356 (
+	.A(n_5356),
+	.X(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC970_n_5355 (
+	.A(n_5355),
+	.X(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC969_n_5354 (
+	.A(n_5354),
+	.X(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC968_n_5353 (
+	.A(n_5353),
+	.X(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC967_n_5352 (
+	.A(n_5352),
+	.X(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC966_n_5351 (
+	.A(n_5351),
+	.X(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC965_n_5350 (
+	.A(n_5350),
+	.X(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC964_n_5349 (
+	.A(FE_OFN963_n_5349),
+	.X(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC963_n_5349 (
+	.A(n_5349),
+	.X(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC962_n_5348 (
+	.A(FE_OFN961_n_5348),
+	.X(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC961_n_5348 (
+	.A(n_5348),
+	.X(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC960_n_5347 (
+	.A(n_5347),
+	.X(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC959_n_5346 (
+	.A(n_5346),
+	.X(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC958_n_5345 (
+	.A(n_5345),
+	.X(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC957_n_5344 (
+	.A(n_5344),
+	.X(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC956_n_5343 (
+	.A(n_5343),
+	.X(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC955_n_5342 (
+	.A(n_5342),
+	.X(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC954_n_5342 (
+	.A(n_5342),
+	.X(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC953_n_5341 (
+	.A(FE_OFN952_n_5341),
+	.X(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC952_n_5341 (
+	.A(n_5341),
+	.X(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC951_n_5340 (
+	.A(n_5340),
+	.X(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC950_n_5340 (
+	.A(n_5340),
+	.X(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC949_n_5339 (
+	.A(n_5339),
+	.X(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC948_n_5338 (
+	.A(FE_OFN947_n_5338),
+	.X(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC947_n_5338 (
+	.A(n_5338),
+	.X(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC946_n_5337 (
+	.A(n_5337),
+	.X(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC945_n_5336 (
+	.A(n_5336),
+	.X(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC944_n_5335 (
+	.A(FE_OFN943_n_5335),
+	.X(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC943_n_5335 (
+	.A(n_5335),
+	.X(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC942_n_5334 (
+	.A(FE_OFN941_n_5334),
+	.X(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC941_n_5334 (
+	.A(n_5334),
+	.X(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC940_n_5333 (
+	.A(n_5333),
+	.X(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC939_n_5331 (
+	.A(FE_OFN938_n_5331),
+	.X(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC938_n_5331 (
+	.A(n_5331),
+	.X(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC937_n_5330 (
+	.A(FE_OFN936_n_5330),
+	.X(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC936_n_5330 (
+	.A(n_5330),
+	.X(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC935_n_5329 (
+	.A(FE_OFN934_n_5329),
+	.X(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC934_n_5329 (
+	.A(n_5329),
+	.X(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC933_n_5328 (
+	.A(n_5328),
+	.X(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC932_n_5327 (
+	.A(n_5327),
+	.X(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC931_n_5326 (
+	.A(n_5326),
+	.X(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC930_n_2987 (
+	.A(n_2987),
+	.X(FE_OFN930_n_2987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC929_n_2985 (
+	.A(n_2985),
+	.X(FE_OFN929_n_2985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC927_n_2421 (
+	.A(n_2421),
+	.X(FE_OFN927_n_2421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC926_n_2274 (
+	.A(n_2274),
+	.X(FE_OFN926_n_2274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC925_n_2273 (
+	.A(n_2273),
+	.X(FE_OFN925_n_2273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC924_n_2261 (
+	.A(n_2261),
+	.X(FE_OFN924_n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC923_n_2252 (
+	.A(n_2252),
+	.X(FE_OFN923_n_2252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC922_n_2250 (
+	.A(n_2250),
+	.X(FE_OFN922_n_2250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC921_n_2243 (
+	.A(n_2243),
+	.X(FE_OFN921_n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC920_n_2242 (
+	.A(n_2242),
+	.X(FE_OFN920_n_2242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC919_n_2240 (
+	.A(FE_OFN918_n_2240),
+	.X(FE_OFN919_n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC918_n_2240 (
+	.A(n_2240),
+	.X(FE_OFN918_n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC917_n_2238 (
+	.A(n_2238),
+	.X(FE_OFN917_n_2238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC916_n_2237 (
+	.A(n_2237),
+	.X(FE_OFN916_n_2237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC915_n_2235 (
+	.A(FE_OFN914_n_2235),
+	.X(FE_OFN915_n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC914_n_2235 (
+	.A(n_2235),
+	.X(FE_OFN914_n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC913_n_2233 (
+	.A(n_2233),
+	.X(FE_OFN913_n_2233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC912_n_2231 (
+	.A(FE_OFN911_n_2231),
+	.X(FE_OFN912_n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC911_n_2231 (
+	.A(n_2231),
+	.X(FE_OFN911_n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC910_n_2230 (
+	.A(n_2230),
+	.X(FE_OFN910_n_2230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC909_n_2229 (
+	.A(n_2229),
+	.X(FE_OFN909_n_2229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC908_n_2227 (
+	.A(n_2227),
+	.X(FE_OFN908_n_2227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC907_n_2226 (
+	.A(n_2226),
+	.X(FE_OFN907_n_2226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC906_n_2224 (
+	.A(n_2224),
+	.X(FE_OFN906_n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC905_n_2223 (
+	.A(n_2223),
+	.X(FE_OFN905_n_2223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC904_n_2222 (
+	.A(n_2222),
+	.X(FE_OFN904_n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC903_n_2220 (
+	.A(n_2220),
+	.X(FE_OFN903_n_2220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC902_n_2219 (
+	.A(n_2219),
+	.X(FE_OFN902_n_2219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC901_n_2218 (
+	.A(n_2218),
+	.X(FE_OFN901_n_2218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC900_n_2217 (
+	.A(n_2217),
+	.X(FE_OFN900_n_2217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC899_n_2217 (
+	.A(n_2217),
+	.X(FE_OFN899_n_2217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC898_n_2216 (
+	.A(n_2216),
+	.X(FE_OFN898_n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC897_n_2216 (
+	.A(n_2216),
+	.X(FE_OFN897_n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC896_n_2215 (
+	.A(n_2215),
+	.X(FE_OFN896_n_2215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC895_n_2012 (
+	.A(n_2012),
+	.X(FE_OFN895_n_2012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC894_n_2011 (
+	.A(n_2011),
+	.X(FE_OFN894_n_2011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC893_n_2007 (
+	.A(n_2007),
+	.X(FE_OFN893_n_2007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC892_n_2004 (
+	.A(n_2004),
+	.X(FE_OFN892_n_2004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC891_n_1998 (
+	.A(n_1998),
+	.X(FE_OFN891_n_1998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC890_n_1996 (
+	.A(n_1996),
+	.X(FE_OFN890_n_1996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC889_n_1994 (
+	.A(n_1994),
+	.X(FE_OFN889_n_1994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC888_n_1852 (
+	.A(n_1852),
+	.X(FE_OFN888_n_1852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC887_n_1753 (
+	.A(n_1753),
+	.X(FE_OFN887_n_1753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC886_n_1742 (
+	.A(n_1742),
+	.X(FE_OFN886_n_1742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC885_n_1518 (
+	.A(n_1518),
+	.X(FE_OFN885_n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC884_n_1413 (
+	.A(n_1413),
+	.X(FE_OFN884_n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC883_n_1219 (
+	.A(n_1219),
+	.X(FE_OFN883_n_1219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC882_n_1214 (
+	.A(n_1214),
+	.X(FE_OFN882_n_1214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC881_n_831 (
+	.A(n_831),
+	.X(FE_OFN881_n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC880_n_831 (
+	.A(n_831),
+	.X(FE_OFN880_n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC879_n_690 (
+	.A(n_690),
+	.X(FE_OFN879_n_690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC878_n_641 (
+	.A(n_641),
+	.X(FE_OFN878_n_641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC876_n_471 (
+	.A(n_471),
+	.X(FE_OFN876_n_471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC875_n_470 (
+	.A(FE_OFN874_n_470),
+	.X(FE_OFN875_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC874_n_470 (
+	.A(FE_OFN873_n_470),
+	.X(FE_OFN874_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC873_n_470 (
+	.A(FE_OFN872_n_470),
+	.Y(FE_OFN873_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC872_n_470 (
+	.A(n_470),
+	.Y(FE_OFN872_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC867_logic_0_36_net (
+	.A(FE_OFN866_logic_0_36_net),
+	.Y(FE_OFN867_logic_0_36_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC866_logic_0_36_net (
+	.A(logic_0_36_net),
+	.Y(FE_OFN866_logic_0_36_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC865_logic_0_35_net (
+	.A(FE_OFN864_logic_0_35_net),
+	.Y(FE_OFN865_logic_0_35_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC864_logic_0_35_net (
+	.A(logic_0_35_net),
+	.Y(FE_OFN864_logic_0_35_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC863_logic_0_34_net (
+	.A(FE_OFN862_logic_0_34_net),
+	.Y(FE_OFN863_logic_0_34_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC862_logic_0_34_net (
+	.A(logic_0_34_net),
+	.Y(FE_OFN862_logic_0_34_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC861_logic_0_33_net (
+	.A(FE_OFN860_logic_0_33_net),
+	.Y(FE_OFN861_logic_0_33_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC860_logic_0_33_net (
+	.A(logic_0_33_net),
+	.Y(FE_OFN860_logic_0_33_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC857_logic_0_30_net (
+	.A(logic_0_30_net),
+	.X(FE_OFN857_logic_0_30_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC850_logic_0_23_net (
+	.A(logic_0_23_net),
+	.X(FE_OFN850_logic_0_23_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC843_logic_0_18_net (
+	.A(FE_OFN842_logic_0_18_net),
+	.Y(FE_OFN843_logic_0_18_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC842_logic_0_18_net (
+	.A(logic_0_18_net),
+	.Y(FE_OFN842_logic_0_18_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC841_logic_0_17_net (
+	.A(FE_OFN840_logic_0_17_net),
+	.Y(FE_OFN841_logic_0_17_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC840_logic_0_17_net (
+	.A(logic_0_17_net),
+	.Y(FE_OFN840_logic_0_17_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC839_logic_0_16_net (
+	.A(FE_OFN838_logic_0_16_net),
+	.Y(FE_OFN839_logic_0_16_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC838_logic_0_16_net (
+	.A(logic_0_16_net),
+	.Y(FE_OFN838_logic_0_16_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC837_logic_0_15_net (
+	.A(FE_OFN836_logic_0_15_net),
+	.Y(FE_OFN837_logic_0_15_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC836_logic_0_15_net (
+	.A(logic_0_15_net),
+	.Y(FE_OFN836_logic_0_15_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC835_logic_0_14_net (
+	.A(logic_0_14_net),
+	.X(FE_OFN835_logic_0_14_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC833_logic_0_13_net (
+	.A(logic_0_13_net),
+	.X(FE_OFN833_logic_0_13_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.X(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.X(FE_OFN780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27 (
+	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.X(FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0 (
+	.A(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.X(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC745_u_soc_u_uart_u_uart_core_rx_0 (
+	.A(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC744_u_soc_u_uart_u_uart_core_rx_0 (
+	.A(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC743_u_soc_u_uart_u_uart_core_rx_0 (
+	.A(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC742_u_soc_u_uart_u_uart_core_rx_1 (
+	.A(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC741_u_soc_u_uart_u_uart_core_rx_1 (
+	.A(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC740_u_soc_u_uart_u_uart_core_rx_2 (
+	.A(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC739_u_soc_u_uart_u_uart_core_rx_2 (
+	.A(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC737_u_soc_u_uart_u_uart_core_rx_3 (
+	.A(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC736_u_soc_u_uart_u_uart_core_rx_3 (
+	.A(u_soc_u_uart_u_uart_core_rx[3]),
+	.X(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC735_u_soc_u_uart_u_uart_core_rx_3 (
+	.A(u_soc_u_uart_u_uart_core_rx[3]),
+	.X(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC734_u_soc_u_uart_u_uart_core_rx_4 (
+	.A(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC733_u_soc_u_uart_u_uart_core_rx_4 (
+	.A(u_soc_u_uart_u_uart_core_rx[4]),
+	.X(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC731_u_soc_u_uart_u_uart_core_rx_5 (
+	.A(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC730_u_soc_u_uart_u_uart_core_rx_5 (
+	.A(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC729_u_soc_u_uart_u_uart_core_rx_6 (
+	.A(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC728_u_soc_u_uart_u_uart_core_rx_6 (
+	.A(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC727_u_soc_u_uart_u_uart_core_rx_6 (
+	.A(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC726_u_soc_u_uart_u_uart_core_rx_7 (
+	.A(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC725_u_soc_u_uart_u_uart_core_rx_7 (
+	.A(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC713_u_soc_u_top_u_core_instr_rdata_id_29 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[29]),
+	.X(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC711_u_soc_u_tcam_rdata_0 (
+	.A(FE_OFN710_u_soc_u_tcam_rdata_0),
+	.Y(FE_OFN711_u_soc_u_tcam_rdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC710_u_soc_u_tcam_rdata_0 (
+	.A(FE_OFN18185_u_soc_u_tcam_rdata_0),
+	.Y(FE_OFN710_u_soc_u_tcam_rdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC709_u_soc_u_tcam_rdata_1 (
+	.A(FE_OFN708_u_soc_u_tcam_rdata_1),
+	.Y(FE_OFN709_u_soc_u_tcam_rdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC708_u_soc_u_tcam_rdata_1 (
+	.A(FE_OFN19022_u_soc_u_tcam_rdata_1),
+	.Y(FE_OFN708_u_soc_u_tcam_rdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC707_u_soc_u_tcam_rdata_2 (
+	.A(FE_OFN706_u_soc_u_tcam_rdata_2),
+	.Y(FE_OFN707_u_soc_u_tcam_rdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC706_u_soc_u_tcam_rdata_2 (
+	.A(FE_OFN18994_u_soc_u_tcam_rdata_2),
+	.Y(FE_OFN706_u_soc_u_tcam_rdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC705_u_soc_u_tcam_rdata_3 (
+	.A(FE_OFN704_u_soc_u_tcam_rdata_3),
+	.Y(FE_OFN705_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC704_u_soc_u_tcam_rdata_3 (
+	.A(FE_OFN18183_u_soc_u_tcam_rdata_3),
+	.Y(FE_OFN704_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC703_u_soc_u_tcam_rdata_4 (
+	.A(FE_OFN702_u_soc_u_tcam_rdata_4),
+	.Y(FE_OFN703_u_soc_u_tcam_rdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC702_u_soc_u_tcam_rdata_4 (
+	.A(FE_OFN19021_u_soc_u_tcam_rdata_4),
+	.Y(FE_OFN702_u_soc_u_tcam_rdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC701_u_soc_u_tcam_rdata_5 (
+	.A(FE_OFN18821_u_soc_u_tcam_rdata_5),
+	.X(FE_OFN701_u_soc_u_tcam_rdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC667_u_soc_u_iccm_rdata3_2 (
+	.A(FE_PDN4016_u_soc_u_iccm_rdata3_2),
+	.X(FE_OFN667_u_soc_u_iccm_rdata3_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC616_u_soc_u_iccm_rdata1_2 (
+	.A(FE_OFN615_u_soc_u_iccm_rdata1_2),
+	.Y(FE_OFN616_u_soc_u_iccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC615_u_soc_u_iccm_rdata1_2 (
+	.A(u_soc_u_iccm_rdata1[2]),
+	.Y(FE_OFN615_u_soc_u_iccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC614_u_soc_u_iccm_rdata1_3 (
+	.A(u_soc_u_iccm_rdata1[3]),
+	.X(FE_OFN614_u_soc_u_iccm_rdata1_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC613_u_soc_u_iccm_rdata1_4 (
+	.A(u_soc_u_iccm_rdata1[4]),
+	.X(FE_OFN613_u_soc_u_iccm_rdata1_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC612_u_soc_u_iccm_rdata1_5 (
+	.A(u_soc_u_iccm_rdata1[5]),
+	.X(FE_OFN612_u_soc_u_iccm_rdata1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC611_u_soc_u_iccm_rdata1_6 (
+	.A(u_soc_u_iccm_rdata1[6]),
+	.X(FE_OFN611_u_soc_u_iccm_rdata1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC610_u_soc_u_iccm_rdata1_7 (
+	.A(u_soc_u_iccm_rdata1[7]),
+	.X(FE_OFN610_u_soc_u_iccm_rdata1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC609_u_soc_u_iccm_rdata1_8 (
+	.A(u_soc_u_iccm_rdata1[8]),
+	.X(FE_OFN609_u_soc_u_iccm_rdata1_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC608_u_soc_u_iccm_rdata1_9 (
+	.A(u_soc_u_iccm_rdata1[9]),
+	.X(FE_OFN608_u_soc_u_iccm_rdata1_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC607_u_soc_u_iccm_rdata1_10 (
+	.A(u_soc_u_iccm_rdata1[10]),
+	.X(FE_OFN607_u_soc_u_iccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC606_u_soc_u_iccm_rdata1_11 (
+	.A(u_soc_u_iccm_rdata1[11]),
+	.X(FE_OFN606_u_soc_u_iccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC605_u_soc_u_iccm_rdata1_12 (
+	.A(u_soc_u_iccm_rdata1[12]),
+	.X(FE_OFN605_u_soc_u_iccm_rdata1_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC604_u_soc_u_iccm_rdata1_13 (
+	.A(u_soc_u_iccm_rdata1[13]),
+	.X(FE_OFN604_u_soc_u_iccm_rdata1_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC603_u_soc_u_iccm_rdata1_14 (
+	.A(u_soc_u_iccm_rdata1[14]),
+	.X(FE_OFN603_u_soc_u_iccm_rdata1_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC602_u_soc_u_iccm_rdata1_15 (
+	.A(u_soc_u_iccm_rdata1[15]),
+	.X(FE_OFN602_u_soc_u_iccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC599_u_soc_u_iccm_rdata1_18 (
+	.A(u_soc_u_iccm_rdata1[18]),
+	.X(FE_OFN599_u_soc_u_iccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC598_u_soc_u_iccm_rdata1_19 (
+	.A(u_soc_u_iccm_rdata1[19]),
+	.X(FE_OFN598_u_soc_u_iccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC597_u_soc_u_iccm_rdata1_20 (
+	.A(u_soc_u_iccm_rdata1[20]),
+	.X(FE_OFN597_u_soc_u_iccm_rdata1_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC596_u_soc_u_iccm_rdata1_21 (
+	.A(u_soc_u_iccm_rdata1[21]),
+	.X(FE_OFN596_u_soc_u_iccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC595_u_soc_u_iccm_rdata1_22 (
+	.A(u_soc_u_iccm_rdata1[22]),
+	.X(FE_OFN595_u_soc_u_iccm_rdata1_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC594_u_soc_u_iccm_rdata1_23 (
+	.A(u_soc_u_iccm_rdata1[23]),
+	.X(FE_OFN594_u_soc_u_iccm_rdata1_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC593_u_soc_u_iccm_rdata1_24 (
+	.A(u_soc_u_iccm_rdata1[24]),
+	.X(FE_OFN593_u_soc_u_iccm_rdata1_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC592_u_soc_u_iccm_rdata1_25 (
+	.A(u_soc_u_iccm_rdata1[25]),
+	.X(FE_OFN592_u_soc_u_iccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC591_u_soc_u_iccm_rdata1_26 (
+	.A(u_soc_u_iccm_rdata1[26]),
+	.X(FE_OFN591_u_soc_u_iccm_rdata1_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC590_u_soc_u_iccm_rdata1_27 (
+	.A(u_soc_u_iccm_rdata1[27]),
+	.X(FE_OFN590_u_soc_u_iccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC589_u_soc_u_iccm_rdata1_28 (
+	.A(u_soc_u_iccm_rdata1[28]),
+	.X(FE_OFN589_u_soc_u_iccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC588_u_soc_u_iccm_rdata1_29 (
+	.A(u_soc_u_iccm_rdata1[29]),
+	.X(FE_OFN588_u_soc_u_iccm_rdata1_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC587_u_soc_u_iccm_rdata1_30 (
+	.A(u_soc_u_iccm_rdata1[30]),
+	.X(FE_OFN587_u_soc_u_iccm_rdata1_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC586_u_soc_u_iccm_rdata1_31 (
+	.A(u_soc_u_iccm_rdata1[31]),
+	.X(FE_OFN586_u_soc_u_iccm_rdata1_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC585_u_soc_instr_wdata_3 (
+	.A(FE_OFN584_u_soc_instr_wdata_3),
+	.Y(FE_OFN585_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC584_u_soc_instr_wdata_3 (
+	.A(u_soc_instr_wdata[3]),
+	.Y(FE_OFN584_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC583_u_soc_instr_wdata_3 (
+	.A(FE_PDN3933_FE_OFN580_u_soc_instr_wdata_3),
+	.Y(FE_OFN583_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC581_u_soc_instr_wdata_3 (
+	.A(u_soc_instr_wdata[3]),
+	.X(FE_OFN581_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC580_u_soc_instr_wdata_3 (
+	.A(u_soc_instr_wdata[3]),
+	.Y(FE_OFN580_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC579_u_soc_instr_wdata_6 (
+	.A(FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6),
+	.X(FE_OFN579_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC578_u_soc_instr_wdata_6 (
+	.A(FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6),
+	.X(FE_OFN578_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC577_u_soc_instr_wdata_6 (
+	.A(FE_OFN576_u_soc_instr_wdata_6),
+	.X(FE_OFN577_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC576_u_soc_instr_wdata_6 (
+	.A(FE_OFN575_u_soc_instr_wdata_6),
+	.Y(FE_OFN576_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC575_u_soc_instr_wdata_6 (
+	.A(FE_OFN574_u_soc_instr_wdata_6),
+	.Y(FE_OFN575_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC574_u_soc_instr_wdata_6 (
+	.A(u_soc_instr_wdata[6]),
+	.X(FE_OFN574_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC573_u_soc_instr_wdata_7 (
+	.A(FE_OFN571_u_soc_instr_wdata_7),
+	.Y(FE_OFN573_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC572_u_soc_instr_wdata_7 (
+	.A(FE_OFN571_u_soc_instr_wdata_7),
+	.Y(FE_OFN572_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC571_u_soc_instr_wdata_7 (
+	.A(FE_PDN3890_FE_OFN569_u_soc_instr_wdata_7),
+	.Y(FE_OFN571_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC570_u_soc_instr_wdata_7 (
+	.A(FE_OFN569_u_soc_instr_wdata_7),
+	.X(FE_OFN570_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC569_u_soc_instr_wdata_7 (
+	.A(u_soc_instr_wdata[7]),
+	.X(FE_OFN569_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC567_u_soc_instr_wdata_8 (
+	.A(FE_OFN565_u_soc_instr_wdata_8),
+	.Y(FE_OFN567_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC566_u_soc_instr_wdata_8 (
+	.A(FE_OFN565_u_soc_instr_wdata_8),
+	.Y(FE_OFN566_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC565_u_soc_instr_wdata_8 (
+	.A(FE_OFN564_u_soc_instr_wdata_8),
+	.Y(FE_OFN565_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC564_u_soc_instr_wdata_8 (
+	.A(FE_OFN563_u_soc_instr_wdata_8),
+	.X(FE_OFN564_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC563_u_soc_instr_wdata_8 (
+	.A(FE_OFN562_u_soc_instr_wdata_8),
+	.X(FE_OFN563_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC562_u_soc_instr_wdata_8 (
+	.A(u_soc_instr_wdata[8]),
+	.X(FE_OFN562_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC561_u_soc_instr_wdata_9 (
+	.A(FE_OFN559_u_soc_instr_wdata_9),
+	.Y(FE_OFN561_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC560_u_soc_instr_wdata_9 (
+	.A(FE_OFN559_u_soc_instr_wdata_9),
+	.Y(FE_OFN560_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC559_u_soc_instr_wdata_9 (
+	.A(FE_OFN558_u_soc_instr_wdata_9),
+	.Y(FE_OFN559_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC558_u_soc_instr_wdata_9 (
+	.A(FE_OFN557_u_soc_instr_wdata_9),
+	.X(FE_OFN558_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC557_u_soc_instr_wdata_9 (
+	.A(FE_OFN556_u_soc_instr_wdata_9),
+	.X(FE_OFN557_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC556_u_soc_instr_wdata_9 (
+	.A(u_soc_instr_wdata[9]),
+	.X(FE_OFN556_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC555_u_soc_instr_wdata_10 (
+	.A(FE_OFN553_u_soc_instr_wdata_10),
+	.Y(FE_OFN555_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC554_u_soc_instr_wdata_10 (
+	.A(FE_OFN552_u_soc_instr_wdata_10),
+	.Y(FE_OFN554_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC553_u_soc_instr_wdata_10 (
+	.A(FE_OFN551_u_soc_instr_wdata_10),
+	.Y(FE_OFN553_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC552_u_soc_instr_wdata_10 (
+	.A(FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10),
+	.Y(FE_OFN552_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC551_u_soc_instr_wdata_10 (
+	.A(u_soc_instr_wdata[10]),
+	.X(FE_OFN551_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC549_u_soc_instr_wdata_11 (
+	.A(FE_OFN18811_FE_OFN546_u_soc_instr_wdata_11),
+	.X(FE_OFN549_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC548_u_soc_instr_wdata_11 (
+	.A(FE_OFN544_u_soc_instr_wdata_11),
+	.Y(FE_OFN548_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC547_u_soc_instr_wdata_11 (
+	.A(FE_OFN544_u_soc_instr_wdata_11),
+	.Y(FE_OFN547_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC546_u_soc_instr_wdata_11 (
+	.A(FE_OFN544_u_soc_instr_wdata_11),
+	.Y(FE_OFN546_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC544_u_soc_instr_wdata_11 (
+	.A(u_soc_instr_wdata[11]),
+	.Y(FE_OFN544_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC543_u_soc_instr_wdata_12 (
+	.A(FE_OFN542_u_soc_instr_wdata_12),
+	.Y(FE_OFN543_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC542_u_soc_instr_wdata_12 (
+	.A(FE_OFN540_u_soc_instr_wdata_12),
+	.Y(FE_OFN542_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC541_u_soc_instr_wdata_12 (
+	.A(FE_PDN3910_FE_OFN539_u_soc_instr_wdata_12),
+	.Y(FE_OFN541_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC540_u_soc_instr_wdata_12 (
+	.A(FE_OFN539_u_soc_instr_wdata_12),
+	.Y(FE_OFN540_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC539_u_soc_instr_wdata_12 (
+	.A(FE_OFN537_u_soc_instr_wdata_12),
+	.Y(FE_OFN539_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC537_u_soc_instr_wdata_12 (
+	.A(u_soc_instr_wdata[12]),
+	.X(FE_OFN537_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC536_u_soc_instr_wdata_13 (
+	.A(FE_OFN535_u_soc_instr_wdata_13),
+	.Y(FE_OFN536_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC535_u_soc_instr_wdata_13 (
+	.A(FE_OFN533_u_soc_instr_wdata_13),
+	.Y(FE_OFN535_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC534_u_soc_instr_wdata_13 (
+	.A(FE_OFN532_u_soc_instr_wdata_13),
+	.Y(FE_OFN534_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC533_u_soc_instr_wdata_13 (
+	.A(FE_OFN531_u_soc_instr_wdata_13),
+	.X(FE_OFN533_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC532_u_soc_instr_wdata_13 (
+	.A(FE_OFN531_u_soc_instr_wdata_13),
+	.Y(FE_OFN532_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC531_u_soc_instr_wdata_13 (
+	.A(FE_OFN530_u_soc_instr_wdata_13),
+	.X(FE_OFN531_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC530_u_soc_instr_wdata_13 (
+	.A(u_soc_instr_wdata[13]),
+	.X(FE_OFN530_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC529_u_soc_instr_wdata_14 (
+	.A(FE_OFN528_u_soc_instr_wdata_14),
+	.Y(FE_OFN529_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC528_u_soc_instr_wdata_14 (
+	.A(FE_OFN526_u_soc_instr_wdata_14),
+	.Y(FE_OFN528_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC526_u_soc_instr_wdata_14 (
+	.A(FE_OFN524_u_soc_instr_wdata_14),
+	.X(FE_OFN526_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC525_u_soc_instr_wdata_14 (
+	.A(FE_OFN523_u_soc_instr_wdata_14),
+	.Y(FE_OFN525_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC524_u_soc_instr_wdata_14 (
+	.A(FE_OFN523_u_soc_instr_wdata_14),
+	.Y(FE_OFN524_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC523_u_soc_instr_wdata_14 (
+	.A(u_soc_instr_wdata[14]),
+	.Y(FE_OFN523_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC522_u_soc_instr_wdata_15 (
+	.A(FE_OFN518_u_soc_instr_wdata_15),
+	.X(FE_OFN522_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC521_u_soc_instr_wdata_15 (
+	.A(FE_OFN516_u_soc_instr_wdata_15),
+	.Y(FE_OFN521_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC520_u_soc_instr_wdata_15 (
+	.A(FE_OFN516_u_soc_instr_wdata_15),
+	.Y(FE_OFN520_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC519_u_soc_instr_wdata_15 (
+	.A(FE_OFN516_u_soc_instr_wdata_15),
+	.Y(FE_OFN519_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC518_u_soc_instr_wdata_15 (
+	.A(FE_OFN516_u_soc_instr_wdata_15),
+	.Y(FE_OFN518_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC516_u_soc_instr_wdata_15 (
+	.A(u_soc_instr_wdata[15]),
+	.Y(FE_OFN516_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC515_u_soc_instr_wdata_16 (
+	.A(FE_OFN514_u_soc_instr_wdata_16),
+	.Y(FE_OFN515_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC514_u_soc_instr_wdata_16 (
+	.A(FE_OFN512_u_soc_instr_wdata_16),
+	.Y(FE_OFN514_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC513_u_soc_instr_wdata_16 (
+	.A(u_soc_instr_wdata[16]),
+	.X(FE_OFN513_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC512_u_soc_instr_wdata_16 (
+	.A(FE_OFN509_u_soc_instr_wdata_16),
+	.Y(FE_OFN512_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC510_u_soc_instr_wdata_16 (
+	.A(u_soc_instr_wdata[16]),
+	.X(FE_OFN510_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC509_u_soc_instr_wdata_16 (
+	.A(u_soc_instr_wdata[16]),
+	.Y(FE_OFN509_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC508_u_soc_instr_wdata_17 (
+	.A(FE_OFN507_u_soc_instr_wdata_17),
+	.Y(FE_OFN508_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC507_u_soc_instr_wdata_17 (
+	.A(FE_OFN506_u_soc_instr_wdata_17),
+	.Y(FE_OFN507_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC506_u_soc_instr_wdata_17 (
+	.A(FE_OFN505_u_soc_instr_wdata_17),
+	.Y(FE_OFN506_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC505_u_soc_instr_wdata_17 (
+	.A(FE_OFN504_u_soc_instr_wdata_17),
+	.Y(FE_OFN505_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC504_u_soc_instr_wdata_17 (
+	.A(u_soc_instr_wdata[17]),
+	.X(FE_OFN504_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC503_u_soc_instr_wdata_17 (
+	.A(u_soc_instr_wdata[17]),
+	.X(FE_OFN503_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC502_u_soc_instr_wdata_18 (
+	.A(FE_OFN501_u_soc_instr_wdata_18),
+	.Y(FE_OFN502_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC501_u_soc_instr_wdata_18 (
+	.A(FE_OFN500_u_soc_instr_wdata_18),
+	.Y(FE_OFN501_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC500_u_soc_instr_wdata_18 (
+	.A(u_soc_instr_wdata[18]),
+	.X(FE_OFN500_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC499_u_soc_instr_wdata_18 (
+	.A(FE_OFN497_u_soc_instr_wdata_18),
+	.Y(FE_OFN499_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC497_u_soc_instr_wdata_18 (
+	.A(u_soc_instr_wdata[18]),
+	.Y(FE_OFN497_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC495_u_soc_instr_wdata_19 (
+	.A(FE_OFN494_u_soc_instr_wdata_19),
+	.Y(FE_OFN495_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC494_u_soc_instr_wdata_19 (
+	.A(FE_OFN493_u_soc_instr_wdata_19),
+	.Y(FE_OFN494_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC493_u_soc_instr_wdata_19 (
+	.A(FE_OFN492_u_soc_instr_wdata_19),
+	.Y(FE_OFN493_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC492_u_soc_instr_wdata_19 (
+	.A(FE_PDN4040_FE_OFN490_u_soc_instr_wdata_19),
+	.Y(FE_OFN492_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC491_u_soc_instr_wdata_19 (
+	.A(FE_OFN489_u_soc_instr_wdata_19),
+	.Y(FE_OFN491_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC490_u_soc_instr_wdata_19 (
+	.A(u_soc_instr_wdata[19]),
+	.X(FE_OFN490_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC489_u_soc_instr_wdata_19 (
+	.A(u_soc_instr_wdata[19]),
+	.Y(FE_OFN489_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC488_u_soc_instr_wdata_20 (
+	.A(FE_OFN486_u_soc_instr_wdata_20),
+	.Y(FE_OFN488_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC487_u_soc_instr_wdata_20 (
+	.A(FE_OFN485_u_soc_instr_wdata_20),
+	.Y(FE_OFN487_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC486_u_soc_instr_wdata_20 (
+	.A(FE_OFN484_u_soc_instr_wdata_20),
+	.Y(FE_OFN486_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC485_u_soc_instr_wdata_20 (
+	.A(FE_OFN483_u_soc_instr_wdata_20),
+	.Y(FE_OFN485_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC484_u_soc_instr_wdata_20 (
+	.A(FE_OFN482_u_soc_instr_wdata_20),
+	.Y(FE_OFN484_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC483_u_soc_instr_wdata_20 (
+	.A(FE_OFN482_u_soc_instr_wdata_20),
+	.Y(FE_OFN483_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC482_u_soc_instr_wdata_20 (
+	.A(u_soc_instr_wdata[20]),
+	.Y(FE_OFN482_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC481_u_soc_instr_wdata_21 (
+	.A(FE_OFN479_u_soc_instr_wdata_21),
+	.Y(FE_OFN481_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC480_u_soc_instr_wdata_21 (
+	.A(FE_OFN478_u_soc_instr_wdata_21),
+	.X(FE_OFN480_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC479_u_soc_instr_wdata_21 (
+	.A(FE_OFN476_u_soc_instr_wdata_21),
+	.Y(FE_OFN479_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC478_u_soc_instr_wdata_21 (
+	.A(FE_OFN475_u_soc_instr_wdata_21),
+	.Y(FE_OFN478_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC477_u_soc_instr_wdata_21 (
+	.A(FE_OFN475_u_soc_instr_wdata_21),
+	.Y(FE_OFN477_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC476_u_soc_instr_wdata_21 (
+	.A(u_soc_instr_wdata[21]),
+	.X(FE_OFN476_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC475_u_soc_instr_wdata_21 (
+	.A(u_soc_instr_wdata[21]),
+	.Y(FE_OFN475_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC474_u_soc_instr_wdata_22 (
+	.A(FE_OFN472_u_soc_instr_wdata_22),
+	.Y(FE_OFN474_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC473_u_soc_instr_wdata_22 (
+	.A(FE_OFN471_u_soc_instr_wdata_22),
+	.Y(FE_OFN473_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC472_u_soc_instr_wdata_22 (
+	.A(FE_OFN469_u_soc_instr_wdata_22),
+	.Y(FE_OFN472_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC471_u_soc_instr_wdata_22 (
+	.A(FE_OFN469_u_soc_instr_wdata_22),
+	.Y(FE_OFN471_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC469_u_soc_instr_wdata_22 (
+	.A(u_soc_instr_wdata[22]),
+	.X(FE_OFN469_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC468_u_soc_instr_wdata_23 (
+	.A(FE_OFN466_u_soc_instr_wdata_23),
+	.X(FE_OFN468_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC467_u_soc_instr_wdata_23 (
+	.A(FE_OFN463_u_soc_instr_wdata_23),
+	.Y(FE_OFN467_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC466_u_soc_instr_wdata_23 (
+	.A(FE_OFN463_u_soc_instr_wdata_23),
+	.Y(FE_OFN466_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC465_u_soc_instr_wdata_23 (
+	.A(FE_OFN463_u_soc_instr_wdata_23),
+	.Y(FE_OFN465_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC463_u_soc_instr_wdata_23 (
+	.A(FE_OFN462_u_soc_instr_wdata_23),
+	.Y(FE_OFN463_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC462_u_soc_instr_wdata_23 (
+	.A(u_soc_instr_wdata[23]),
+	.X(FE_OFN462_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC461_u_soc_instr_wdata_24 (
+	.A(FE_OFN460_u_soc_instr_wdata_24),
+	.Y(FE_OFN461_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC460_u_soc_instr_wdata_24 (
+	.A(FE_OFN459_u_soc_instr_wdata_24),
+	.Y(FE_OFN460_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC459_u_soc_instr_wdata_24 (
+	.A(FE_OFN458_u_soc_instr_wdata_24),
+	.Y(FE_OFN459_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC458_u_soc_instr_wdata_24 (
+	.A(FE_OFN19665_FE_OFN457_u_soc_instr_wdata_24),
+	.Y(FE_OFN458_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC457_u_soc_instr_wdata_24 (
+	.A(u_soc_instr_wdata[24]),
+	.X(FE_OFN457_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC456_u_soc_instr_wdata_24 (
+	.A(u_soc_instr_wdata[24]),
+	.X(FE_OFN456_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC454_u_soc_instr_wdata_25 (
+	.A(FE_OFN451_u_soc_instr_wdata_25),
+	.X(FE_OFN454_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC453_u_soc_instr_wdata_25 (
+	.A(FE_OFN450_u_soc_instr_wdata_25),
+	.Y(FE_OFN453_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC452_u_soc_instr_wdata_25 (
+	.A(FE_OFN450_u_soc_instr_wdata_25),
+	.Y(FE_OFN452_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC451_u_soc_instr_wdata_25 (
+	.A(FE_OFN450_u_soc_instr_wdata_25),
+	.Y(FE_OFN451_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC450_u_soc_instr_wdata_25 (
+	.A(u_soc_instr_wdata[25]),
+	.Y(FE_OFN450_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC449_u_soc_instr_wdata_26 (
+	.A(FE_OFN448_u_soc_instr_wdata_26),
+	.Y(FE_OFN449_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC448_u_soc_instr_wdata_26 (
+	.A(FE_OFN447_u_soc_instr_wdata_26),
+	.Y(FE_OFN448_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC447_u_soc_instr_wdata_26 (
+	.A(FE_OFN445_u_soc_instr_wdata_26),
+	.Y(FE_OFN447_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC446_u_soc_instr_wdata_26 (
+	.A(FE_OFN444_u_soc_instr_wdata_26),
+	.Y(FE_OFN446_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC445_u_soc_instr_wdata_26 (
+	.A(FE_OFN443_u_soc_instr_wdata_26),
+	.Y(FE_OFN445_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC444_u_soc_instr_wdata_26 (
+	.A(u_soc_instr_wdata[26]),
+	.Y(FE_OFN444_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC443_u_soc_instr_wdata_26 (
+	.A(u_soc_instr_wdata[26]),
+	.X(FE_OFN443_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC442_u_soc_instr_wdata_27 (
+	.A(FE_OFN441_u_soc_instr_wdata_27),
+	.Y(FE_OFN442_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC441_u_soc_instr_wdata_27 (
+	.A(FE_OFN440_u_soc_instr_wdata_27),
+	.Y(FE_OFN441_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC440_u_soc_instr_wdata_27 (
+	.A(FE_OFN439_u_soc_instr_wdata_27),
+	.X(FE_OFN440_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC439_u_soc_instr_wdata_27 (
+	.A(FE_OFN437_u_soc_instr_wdata_27),
+	.X(FE_OFN439_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC438_u_soc_instr_wdata_27 (
+	.A(FE_OFN436_u_soc_instr_wdata_27),
+	.Y(FE_OFN438_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC437_u_soc_instr_wdata_27 (
+	.A(FE_OFN436_u_soc_instr_wdata_27),
+	.Y(FE_OFN437_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC436_u_soc_instr_wdata_27 (
+	.A(u_soc_instr_wdata[27]),
+	.Y(FE_OFN436_u_soc_instr_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC435_u_soc_instr_wdata_28 (
+	.A(FE_OFN434_u_soc_instr_wdata_28),
+	.Y(FE_OFN435_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC434_u_soc_instr_wdata_28 (
+	.A(FE_OFN433_u_soc_instr_wdata_28),
+	.Y(FE_OFN434_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC433_u_soc_instr_wdata_28 (
+	.A(FE_OFN432_u_soc_instr_wdata_28),
+	.Y(FE_OFN433_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC432_u_soc_instr_wdata_28 (
+	.A(FE_OFN431_u_soc_instr_wdata_28),
+	.Y(FE_OFN432_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC431_u_soc_instr_wdata_28 (
+	.A(FE_OFN429_u_soc_instr_wdata_28),
+	.Y(FE_OFN431_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC430_u_soc_instr_wdata_28 (
+	.A(FE_OFN429_u_soc_instr_wdata_28),
+	.Y(FE_OFN430_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC429_u_soc_instr_wdata_28 (
+	.A(u_soc_instr_wdata[28]),
+	.Y(FE_OFN429_u_soc_instr_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC428_u_soc_instr_wdata_29 (
+	.A(FE_OFN427_u_soc_instr_wdata_29),
+	.Y(FE_OFN428_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC427_u_soc_instr_wdata_29 (
+	.A(FE_OFN426_u_soc_instr_wdata_29),
+	.Y(FE_OFN427_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC426_u_soc_instr_wdata_29 (
+	.A(FE_OFN424_u_soc_instr_wdata_29),
+	.Y(FE_OFN426_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC425_u_soc_instr_wdata_29 (
+	.A(u_soc_instr_wdata[29]),
+	.X(FE_OFN425_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC424_u_soc_instr_wdata_29 (
+	.A(u_soc_instr_wdata[29]),
+	.Y(FE_OFN424_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC422_u_soc_instr_wdata_30 (
+	.A(FE_OFN421_u_soc_instr_wdata_30),
+	.Y(FE_OFN422_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC421_u_soc_instr_wdata_30 (
+	.A(FE_OFN417_u_soc_instr_wdata_30),
+	.Y(FE_OFN421_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC420_u_soc_instr_wdata_30 (
+	.A(FE_OFN417_u_soc_instr_wdata_30),
+	.X(FE_OFN420_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC419_u_soc_instr_wdata_30 (
+	.A(FE_OFN416_u_soc_instr_wdata_30),
+	.Y(FE_OFN419_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC418_u_soc_instr_wdata_30 (
+	.A(FE_OFN416_u_soc_instr_wdata_30),
+	.Y(FE_OFN418_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC417_u_soc_instr_wdata_30 (
+	.A(FE_OFN416_u_soc_instr_wdata_30),
+	.Y(FE_OFN417_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC416_u_soc_instr_wdata_30 (
+	.A(u_soc_instr_wdata[30]),
+	.Y(FE_OFN416_u_soc_instr_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC415_u_soc_instr_wdata_31 (
+	.A(FE_OFN414_u_soc_instr_wdata_31),
+	.Y(FE_OFN415_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC414_u_soc_instr_wdata_31 (
+	.A(FE_OFN413_u_soc_instr_wdata_31),
+	.Y(FE_OFN414_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC413_u_soc_instr_wdata_31 (
+	.A(FE_OFN412_u_soc_instr_wdata_31),
+	.Y(FE_OFN413_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC412_u_soc_instr_wdata_31 (
+	.A(FE_OFN411_u_soc_instr_wdata_31),
+	.Y(FE_OFN412_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC411_u_soc_instr_wdata_31 (
+	.A(u_soc_instr_wdata[31]),
+	.X(FE_OFN411_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC355_u_soc_u_dccm_rdata3_23 (
+	.A(FE_PDN4043_u_soc_u_dccm_rdata3_23),
+	.X(FE_OFN355_u_soc_u_dccm_rdata3_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC330_u_soc_u_dccm_rdata2_22 (
+	.A(FE_PDN3929_u_soc_u_dccm_rdata2_22),
+	.X(FE_OFN330_u_soc_u_dccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC326_u_soc_u_dccm_rdata2_26 (
+	.A(FE_PDN3944_u_soc_u_dccm_rdata2_26),
+	.X(FE_OFN326_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC324_u_soc_u_dccm_rdata2_28 (
+	.A(FE_PDN19782_u_soc_u_dccm_rdata2_28),
+	.X(FE_OFN324_u_soc_u_dccm_rdata2_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC320_u_soc_u_dccm_rdata1_0 (
+	.A(u_soc_u_dccm_rdata1[0]),
+	.X(FE_OFN320_u_soc_u_dccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC319_u_soc_u_dccm_rdata1_1 (
+	.A(u_soc_u_dccm_rdata1[1]),
+	.X(FE_OFN319_u_soc_u_dccm_rdata1_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC318_u_soc_u_dccm_rdata1_2 (
+	.A(u_soc_u_dccm_rdata1[2]),
+	.X(FE_OFN318_u_soc_u_dccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC317_u_soc_u_dccm_rdata1_3 (
+	.A(u_soc_u_dccm_rdata1[3]),
+	.X(FE_OFN317_u_soc_u_dccm_rdata1_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC316_u_soc_u_dccm_rdata1_4 (
+	.A(u_soc_u_dccm_rdata1[4]),
+	.X(FE_OFN316_u_soc_u_dccm_rdata1_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC315_u_soc_u_dccm_rdata1_5 (
+	.A(u_soc_u_dccm_rdata1[5]),
+	.X(FE_OFN315_u_soc_u_dccm_rdata1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC314_u_soc_u_dccm_rdata1_6 (
+	.A(u_soc_u_dccm_rdata1[6]),
+	.X(FE_OFN314_u_soc_u_dccm_rdata1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC313_u_soc_u_dccm_rdata1_7 (
+	.A(u_soc_u_dccm_rdata1[7]),
+	.X(FE_OFN313_u_soc_u_dccm_rdata1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC312_u_soc_u_dccm_rdata1_8 (
+	.A(u_soc_u_dccm_rdata1[8]),
+	.X(FE_OFN312_u_soc_u_dccm_rdata1_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC311_u_soc_u_dccm_rdata1_9 (
+	.A(u_soc_u_dccm_rdata1[9]),
+	.X(FE_OFN311_u_soc_u_dccm_rdata1_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC310_u_soc_u_dccm_rdata1_10 (
+	.A(u_soc_u_dccm_rdata1[10]),
+	.X(FE_OFN310_u_soc_u_dccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC309_u_soc_u_dccm_rdata1_11 (
+	.A(u_soc_u_dccm_rdata1[11]),
+	.X(FE_OFN309_u_soc_u_dccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC308_u_soc_u_dccm_rdata1_12 (
+	.A(FE_OFN19019_u_soc_u_dccm_rdata1_12),
+	.X(FE_OFN308_u_soc_u_dccm_rdata1_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC307_u_soc_u_dccm_rdata1_13 (
+	.A(u_soc_u_dccm_rdata1[13]),
+	.X(FE_OFN307_u_soc_u_dccm_rdata1_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC306_u_soc_u_dccm_rdata1_14 (
+	.A(u_soc_u_dccm_rdata1[14]),
+	.X(FE_OFN306_u_soc_u_dccm_rdata1_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC305_u_soc_u_dccm_rdata1_15 (
+	.A(u_soc_u_dccm_rdata1[15]),
+	.X(FE_OFN305_u_soc_u_dccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC304_u_soc_u_dccm_rdata1_16 (
+	.A(u_soc_u_dccm_rdata1[16]),
+	.X(FE_OFN304_u_soc_u_dccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC303_u_soc_u_dccm_rdata1_17 (
+	.A(FE_OFN19441_u_soc_u_dccm_rdata1_17),
+	.X(FE_OFN303_u_soc_u_dccm_rdata1_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC302_u_soc_u_dccm_rdata1_18 (
+	.A(u_soc_u_dccm_rdata1[18]),
+	.X(FE_OFN302_u_soc_u_dccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC301_u_soc_u_dccm_rdata1_19 (
+	.A(u_soc_u_dccm_rdata1[19]),
+	.X(FE_OFN301_u_soc_u_dccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC300_u_soc_u_dccm_rdata1_20 (
+	.A(u_soc_u_dccm_rdata1[20]),
+	.X(FE_OFN300_u_soc_u_dccm_rdata1_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC299_u_soc_u_dccm_rdata1_21 (
+	.A(u_soc_u_dccm_rdata1[21]),
+	.X(FE_OFN299_u_soc_u_dccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC298_u_soc_u_dccm_rdata1_22 (
+	.A(u_soc_u_dccm_rdata1[22]),
+	.X(FE_OFN298_u_soc_u_dccm_rdata1_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC297_u_soc_u_dccm_rdata1_23 (
+	.A(u_soc_u_dccm_rdata1[23]),
+	.X(FE_OFN297_u_soc_u_dccm_rdata1_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC296_u_soc_u_dccm_rdata1_24 (
+	.A(u_soc_u_dccm_rdata1[24]),
+	.X(FE_OFN296_u_soc_u_dccm_rdata1_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC295_u_soc_u_dccm_rdata1_25 (
+	.A(u_soc_u_dccm_rdata1[25]),
+	.X(FE_OFN295_u_soc_u_dccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC294_u_soc_u_dccm_rdata1_26 (
+	.A(u_soc_u_dccm_rdata1[26]),
+	.X(FE_OFN294_u_soc_u_dccm_rdata1_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC293_u_soc_u_dccm_rdata1_27 (
+	.A(u_soc_u_dccm_rdata1[27]),
+	.X(FE_OFN293_u_soc_u_dccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC292_u_soc_u_dccm_rdata1_28 (
+	.A(u_soc_u_dccm_rdata1[28]),
+	.X(FE_OFN292_u_soc_u_dccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC291_u_soc_u_dccm_rdata1_29 (
+	.A(u_soc_u_dccm_rdata1[29]),
+	.X(FE_OFN291_u_soc_u_dccm_rdata1_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC290_u_soc_u_dccm_rdata1_30 (
+	.A(u_soc_u_dccm_rdata1[30]),
+	.X(FE_OFN290_u_soc_u_dccm_rdata1_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC289_u_soc_u_dccm_rdata1_31 (
+	.A(u_soc_u_dccm_rdata1[31]),
+	.X(FE_OFN289_u_soc_u_dccm_rdata1_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC288_u_soc_data_wdata_0 (
+	.A(FE_OFN286_u_soc_data_wdata_0),
+	.X(FE_OFN288_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC287_u_soc_data_wdata_0 (
+	.A(FE_OFN285_u_soc_data_wdata_0),
+	.Y(FE_OFN287_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC286_u_soc_data_wdata_0 (
+	.A(FE_OFN285_u_soc_data_wdata_0),
+	.Y(FE_OFN286_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC285_u_soc_data_wdata_0 (
+	.A(FE_OFN283_u_soc_data_wdata_0),
+	.Y(FE_OFN285_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC284_u_soc_data_wdata_0 (
+	.A(FE_OFN282_u_soc_data_wdata_0),
+	.Y(FE_OFN284_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC283_u_soc_data_wdata_0 (
+	.A(u_soc_data_wdata[0]),
+	.X(FE_OFN283_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC282_u_soc_data_wdata_0 (
+	.A(u_soc_data_wdata[0]),
+	.Y(FE_OFN282_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC281_u_soc_data_wdata_1 (
+	.A(FE_OFN279_u_soc_data_wdata_1),
+	.X(FE_OFN281_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC280_u_soc_data_wdata_1 (
+	.A(FE_OFN276_u_soc_data_wdata_1),
+	.Y(FE_OFN280_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC279_u_soc_data_wdata_1 (
+	.A(FE_OFN276_u_soc_data_wdata_1),
+	.Y(FE_OFN279_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC278_u_soc_data_wdata_1 (
+	.A(FE_OFN276_u_soc_data_wdata_1),
+	.Y(FE_OFN278_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC277_u_soc_data_wdata_1 (
+	.A(u_soc_data_wdata[1]),
+	.X(FE_OFN277_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC276_u_soc_data_wdata_1 (
+	.A(u_soc_data_wdata[1]),
+	.Y(FE_OFN276_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC275_u_soc_data_wdata_2 (
+	.A(FE_OFN273_u_soc_data_wdata_2),
+	.X(FE_OFN275_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC274_u_soc_data_wdata_2 (
+	.A(FE_OFN272_u_soc_data_wdata_2),
+	.Y(FE_OFN274_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC273_u_soc_data_wdata_2 (
+	.A(FE_OFN272_u_soc_data_wdata_2),
+	.Y(FE_OFN273_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC272_u_soc_data_wdata_2 (
+	.A(FE_OFN270_u_soc_data_wdata_2),
+	.Y(FE_OFN272_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC271_u_soc_data_wdata_2 (
+	.A(u_soc_data_wdata[2]),
+	.X(FE_OFN271_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC270_u_soc_data_wdata_2 (
+	.A(u_soc_data_wdata[2]),
+	.X(FE_OFN270_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC269_u_soc_data_wdata_3 (
+	.A(FE_OFN267_u_soc_data_wdata_3),
+	.X(FE_OFN269_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC268_u_soc_data_wdata_3 (
+	.A(FE_OFN266_u_soc_data_wdata_3),
+	.Y(FE_OFN268_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC267_u_soc_data_wdata_3 (
+	.A(FE_OFN266_u_soc_data_wdata_3),
+	.Y(FE_OFN267_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC266_u_soc_data_wdata_3 (
+	.A(FE_OFN264_u_soc_data_wdata_3),
+	.Y(FE_OFN266_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC265_u_soc_data_wdata_3 (
+	.A(FE_OFN263_u_soc_data_wdata_3),
+	.Y(FE_OFN265_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC264_u_soc_data_wdata_3 (
+	.A(u_soc_data_wdata[3]),
+	.X(FE_OFN264_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC263_u_soc_data_wdata_3 (
+	.A(u_soc_data_wdata[3]),
+	.Y(FE_OFN263_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC262_u_soc_data_wdata_4 (
+	.A(FE_OFN261_u_soc_data_wdata_4),
+	.Y(FE_OFN262_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC261_u_soc_data_wdata_4 (
+	.A(FE_OFN260_u_soc_data_wdata_4),
+	.Y(FE_OFN261_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC260_u_soc_data_wdata_4 (
+	.A(FE_OFN257_u_soc_data_wdata_4),
+	.Y(FE_OFN260_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC259_u_soc_data_wdata_4 (
+	.A(FE_OFN257_u_soc_data_wdata_4),
+	.Y(FE_OFN259_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC258_u_soc_data_wdata_4 (
+	.A(u_soc_data_wdata[4]),
+	.X(FE_OFN258_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC257_u_soc_data_wdata_4 (
+	.A(u_soc_data_wdata[4]),
+	.Y(FE_OFN257_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC255_u_soc_data_wdata_5 (
+	.A(FE_OFN254_u_soc_data_wdata_5),
+	.Y(FE_OFN255_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC254_u_soc_data_wdata_5 (
+	.A(FE_OFN253_u_soc_data_wdata_5),
+	.Y(FE_OFN254_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC253_u_soc_data_wdata_5 (
+	.A(FE_OFN250_u_soc_data_wdata_5),
+	.X(FE_OFN253_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC251_u_soc_data_wdata_5 (
+	.A(FE_OFN249_u_soc_data_wdata_5),
+	.Y(FE_OFN251_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC250_u_soc_data_wdata_5 (
+	.A(u_soc_data_wdata[5]),
+	.X(FE_OFN250_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC249_u_soc_data_wdata_5 (
+	.A(u_soc_data_wdata[5]),
+	.Y(FE_OFN249_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC248_u_soc_data_wdata_6 (
+	.A(FE_OFN247_u_soc_data_wdata_6),
+	.Y(FE_OFN248_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC247_u_soc_data_wdata_6 (
+	.A(FE_OFN18748_FE_OFN244_u_soc_data_wdata_6),
+	.Y(FE_OFN247_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC244_u_soc_data_wdata_6 (
+	.A(u_soc_data_wdata[6]),
+	.X(FE_OFN244_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC243_u_soc_data_wdata_6 (
+	.A(u_soc_data_wdata[6]),
+	.X(FE_OFN243_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC242_u_soc_data_wdata_7 (
+	.A(FE_PDN3960_FE_OFN241_u_soc_data_wdata_7),
+	.Y(FE_OFN242_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC241_u_soc_data_wdata_7 (
+	.A(FE_OFN19718_FE_OFN237_u_soc_data_wdata_7),
+	.Y(FE_OFN241_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC238_u_soc_data_wdata_7 (
+	.A(FE_OFN236_u_soc_data_wdata_7),
+	.Y(FE_OFN238_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC237_u_soc_data_wdata_7 (
+	.A(u_soc_data_wdata[7]),
+	.X(FE_OFN237_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC236_u_soc_data_wdata_7 (
+	.A(FE_PSBN19819_u_soc_data_wdata_7),
+	.Y(FE_OFN236_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC235_u_soc_data_wdata_8 (
+	.A(FE_OFN234_u_soc_data_wdata_8),
+	.Y(FE_OFN235_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC234_u_soc_data_wdata_8 (
+	.A(FE_OFN233_u_soc_data_wdata_8),
+	.Y(FE_OFN234_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC233_u_soc_data_wdata_8 (
+	.A(FE_OFN232_u_soc_data_wdata_8),
+	.Y(FE_OFN233_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC232_u_soc_data_wdata_8 (
+	.A(FE_OFN230_u_soc_data_wdata_8),
+	.Y(FE_OFN232_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC231_u_soc_data_wdata_8 (
+	.A(FE_PSN4676_u_soc_data_wdata_8),
+	.X(FE_OFN231_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC230_u_soc_data_wdata_8 (
+	.A(u_soc_data_wdata[8]),
+	.X(FE_OFN230_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC229_u_soc_data_wdata_14 (
+	.A(FE_OFN226_u_soc_data_wdata_14),
+	.Y(FE_OFN229_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC228_u_soc_data_wdata_14 (
+	.A(FE_OFN19350_u_soc_data_wdata_14),
+	.X(FE_OFN228_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC227_u_soc_data_wdata_14 (
+	.A(FE_OFN223_u_soc_data_wdata_14),
+	.Y(FE_OFN227_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC226_u_soc_data_wdata_14 (
+	.A(FE_OFN19350_u_soc_data_wdata_14),
+	.Y(FE_OFN226_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC225_u_soc_data_wdata_14 (
+	.A(u_soc_data_wdata[14]),
+	.X(FE_OFN225_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC223_u_soc_data_wdata_14 (
+	.A(u_soc_data_wdata[14]),
+	.Y(FE_OFN223_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC222_u_soc_data_wdata_15 (
+	.A(FE_OFN219_u_soc_data_wdata_15),
+	.Y(FE_OFN222_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC221_u_soc_data_wdata_15 (
+	.A(u_soc_data_wdata[15]),
+	.X(FE_OFN221_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC220_u_soc_data_wdata_15 (
+	.A(FE_OFN216_u_soc_data_wdata_15),
+	.Y(FE_OFN220_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC219_u_soc_data_wdata_15 (
+	.A(u_soc_data_wdata[15]),
+	.Y(FE_OFN219_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC218_u_soc_data_wdata_15 (
+	.A(u_soc_data_wdata[15]),
+	.X(FE_OFN218_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC216_u_soc_data_wdata_15 (
+	.A(u_soc_data_wdata[15]),
+	.Y(FE_OFN216_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC215_u_soc_data_wdata_17 (
+	.A(FE_OFN212_u_soc_data_wdata_17),
+	.Y(FE_OFN215_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC214_u_soc_data_wdata_17 (
+	.A(FE_OFN212_u_soc_data_wdata_17),
+	.Y(FE_OFN214_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC213_u_soc_data_wdata_17 (
+	.A(FE_OFN209_u_soc_data_wdata_17),
+	.Y(FE_OFN213_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC212_u_soc_data_wdata_17 (
+	.A(u_soc_data_wdata[17]),
+	.Y(FE_OFN212_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC211_u_soc_data_wdata_17 (
+	.A(u_soc_data_wdata[17]),
+	.X(FE_OFN211_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC209_u_soc_data_wdata_17 (
+	.A(u_soc_data_wdata[17]),
+	.Y(FE_OFN209_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC207_u_soc_data_wdata_20 (
+	.A(FE_OFN204_u_soc_data_wdata_20),
+	.X(FE_OFN207_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC206_u_soc_data_wdata_20 (
+	.A(FE_OFN202_u_soc_data_wdata_20),
+	.Y(FE_OFN206_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC205_u_soc_data_wdata_20 (
+	.A(FE_OFN202_u_soc_data_wdata_20),
+	.Y(FE_OFN205_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC204_u_soc_data_wdata_20 (
+	.A(FE_OFN202_u_soc_data_wdata_20),
+	.Y(FE_OFN204_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC203_u_soc_data_wdata_20 (
+	.A(FE_OFN202_u_soc_data_wdata_20),
+	.Y(FE_OFN203_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC202_u_soc_data_wdata_20 (
+	.A(u_soc_data_wdata[20]),
+	.Y(FE_OFN202_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC201_u_soc_data_wdata_21 (
+	.A(FE_OFN198_u_soc_data_wdata_21),
+	.X(FE_OFN201_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC199_u_soc_data_wdata_21 (
+	.A(FE_OFN195_u_soc_data_wdata_21),
+	.Y(FE_OFN199_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC198_u_soc_data_wdata_21 (
+	.A(FE_OFN195_u_soc_data_wdata_21),
+	.Y(FE_OFN198_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC197_u_soc_data_wdata_21 (
+	.A(FE_OFN195_u_soc_data_wdata_21),
+	.Y(FE_OFN197_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC196_u_soc_data_wdata_21 (
+	.A(FE_OFN195_u_soc_data_wdata_21),
+	.Y(FE_OFN196_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC195_u_soc_data_wdata_21 (
+	.A(u_soc_data_wdata[21]),
+	.Y(FE_OFN195_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC194_u_soc_data_wdata_28 (
+	.A(FE_OFN19713_u_soc_data_wdata_28),
+	.X(FE_OFN194_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC193_u_soc_data_wdata_28 (
+	.A(FE_OFN190_u_soc_data_wdata_28),
+	.Y(FE_OFN193_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC192_u_soc_data_wdata_28 (
+	.A(u_soc_data_wdata[28]),
+	.X(FE_OFN192_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC190_u_soc_data_wdata_28 (
+	.A(FE_OFN19713_u_soc_data_wdata_28),
+	.Y(FE_OFN190_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC189_u_soc_data_wdata_28 (
+	.A(u_soc_data_wdata[28]),
+	.X(FE_OFN189_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC187_u_soc_data_wdata_29 (
+	.A(FE_OFN183_u_soc_data_wdata_29),
+	.Y(FE_OFN187_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC186_u_soc_data_wdata_29 (
+	.A(FE_OFN182_u_soc_data_wdata_29),
+	.Y(FE_OFN186_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC184_u_soc_data_wdata_29 (
+	.A(u_soc_data_wdata[29]),
+	.X(FE_OFN184_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC183_u_soc_data_wdata_29 (
+	.A(FE_OFN19659_u_soc_data_wdata_29),
+	.Y(FE_OFN183_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC182_u_soc_data_wdata_29 (
+	.A(u_soc_data_wdata[29]),
+	.Y(FE_OFN182_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC180_u_soc_data_wdata_30 (
+	.A(FE_OFN176_u_soc_data_wdata_30),
+	.Y(FE_OFN180_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC179_u_soc_data_wdata_30 (
+	.A(FE_OFN175_u_soc_data_wdata_30),
+	.Y(FE_OFN179_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC177_u_soc_data_wdata_30 (
+	.A(u_soc_data_wdata[30]),
+	.X(FE_OFN177_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC176_u_soc_data_wdata_30 (
+	.A(u_soc_data_wdata[30]),
+	.Y(FE_OFN176_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC175_u_soc_data_wdata_30 (
+	.A(u_soc_data_wdata[30]),
+	.Y(FE_OFN175_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC174_u_soc_data_wdata_31 (
+	.A(FE_OFN171_u_soc_data_wdata_31),
+	.X(FE_OFN174_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC172_u_soc_data_wdata_31 (
+	.A(FE_OFN168_u_soc_data_wdata_31),
+	.Y(FE_OFN172_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC171_u_soc_data_wdata_31 (
+	.A(FE_OFN168_u_soc_data_wdata_31),
+	.Y(FE_OFN171_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC170_u_soc_data_wdata_31 (
+	.A(FE_OFN168_u_soc_data_wdata_31),
+	.Y(FE_OFN170_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC169_u_soc_data_wdata_31 (
+	.A(FE_OFN168_u_soc_data_wdata_31),
+	.Y(FE_OFN169_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC168_u_soc_data_wdata_31 (
+	.A(u_soc_data_wdata[31]),
+	.Y(FE_OFN168_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC167_io_oeb_24 (
+	.A(FE_OFN167_io_oeb_24),
+	.Y(FE_PDN3906_io_oeb_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC166_io_oeb_24 (
+	.A(FE_OFN166_io_oeb_24),
+	.Y(FE_OFN167_io_oeb_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC165_io_out_34 (
+	.A(FE_OFN164_io_out_34),
+	.Y(FE_OFN19080_io_out_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC164_io_out_34 (
+	.A(FE_OFN164_io_out_34),
+	.Y(io_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC163_io_out_34 (
+	.A(FE_OFN163_io_out_34),
+	.Y(FE_OFN164_io_out_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC162_io_in_5 (
+	.A(FE_OFN18952_io_in_5),
+	.X(FE_OFN162_io_in_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC160_io_in_24 (
+	.A(FE_OFN159_io_in_24),
+	.Y(FE_OFN160_io_in_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC159_io_in_24 (
+	.A(io_in[24]),
+	.Y(FE_OFN159_io_in_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC158_io_in_25 (
+	.A(io_in[25]),
+	.X(FE_OFN158_io_in_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC157_io_in_26 (
+	.A(FE_PDN3887_io_in_26),
+	.X(FE_OFN157_io_in_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC156_io_in_27 (
+	.A(FE_PDN3902_io_in_27),
+	.X(FE_OFN156_io_in_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC154_io_in_29 (
+	.A(FE_PDN3901_io_in_29),
+	.X(FE_OFN154_io_in_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC153_io_out_37 (
+	.A(FE_OFN119_io_out_37),
+	.X(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC152_io_out_37 (
+	.A(FE_OFN119_io_out_37),
+	.X(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC151_io_out_37 (
+	.A(FE_OFN119_io_out_37),
+	.X(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC150_io_out_37 (
+	.A(FE_OFN119_io_out_37),
+	.X(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC149_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC148_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC147_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC146_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC145_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC144_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC143_io_out_37 (
+	.A(FE_OFN117_io_out_37),
+	.X(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC142_io_out_37 (
+	.A(FE_OFN117_io_out_37),
+	.X(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC141_io_out_37 (
+	.A(FE_OFN117_io_out_37),
+	.X(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC140_io_out_37 (
+	.A(FE_OFN116_io_out_37),
+	.X(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC139_io_out_37 (
+	.A(FE_OFN115_io_out_37),
+	.X(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC138_io_out_37 (
+	.A(FE_OFN115_io_out_37),
+	.X(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC137_io_out_37 (
+	.A(FE_OFN114_io_out_37),
+	.X(FE_OFN137_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC136_io_out_37 (
+	.A(FE_OFN114_io_out_37),
+	.X(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC135_io_out_37 (
+	.A(FE_OFN114_io_out_37),
+	.X(FE_OFN135_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC134_io_out_37 (
+	.A(FE_OFN111_io_out_37),
+	.X(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC133_io_out_37 (
+	.A(FE_OFN110_io_out_37),
+	.X(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC132_io_out_37 (
+	.A(FE_OFN109_io_out_37),
+	.X(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC131_io_out_37 (
+	.A(FE_OFN108_io_out_37),
+	.X(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC130_io_out_37 (
+	.A(FE_OFN107_io_out_37),
+	.X(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC129_io_out_37 (
+	.A(FE_OFN104_io_out_37),
+	.X(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC128_io_out_37 (
+	.A(FE_OFN74_io_out_37),
+	.X(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC127_io_out_37 (
+	.A(FE_OFN72_io_out_37),
+	.X(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC126_io_out_37 (
+	.A(FE_OFN71_io_out_37),
+	.X(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC125_io_out_37 (
+	.A(FE_OFN68_io_out_37),
+	.X(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC124_io_out_37 (
+	.A(FE_OFN64_io_out_37),
+	.X(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC123_io_out_37 (
+	.A(FE_OFN64_io_out_37),
+	.X(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC122_io_out_37 (
+	.A(FE_OFN64_io_out_37),
+	.X(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC121_io_out_37 (
+	.A(FE_OFN56_io_out_37),
+	.Y(FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC120_io_out_37 (
+	.A(FE_OFN56_io_out_37),
+	.Y(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC119_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC118_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC117_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC116_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC115_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC114_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN114_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC113_io_out_37 (
+	.A(FE_OFN55_io_out_37),
+	.X(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC112_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC111_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC110_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC109_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC108_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC107_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC106_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC105_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC104_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC103_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC102_io_out_37 (
+	.A(FE_OFN53_io_out_37),
+	.X(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC101_io_out_37 (
+	.A(FE_OFN51_io_out_37),
+	.X(FE_OFN101_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC100_io_out_37 (
+	.A(FE_OFN51_io_out_37),
+	.X(FE_OFN100_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC99_io_out_37 (
+	.A(FE_OFN51_io_out_37),
+	.X(FE_OFN99_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC98_io_out_37 (
+	.A(FE_OFN51_io_out_37),
+	.X(FE_OFN98_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC97_io_out_37 (
+	.A(FE_OFN51_io_out_37),
+	.X(FE_OFN97_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC96_io_out_37 (
+	.A(FE_OFN51_io_out_37),
+	.X(FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC95_io_out_37 (
+	.A(FE_OFN50_io_out_37),
+	.X(FE_OFN95_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC94_io_out_37 (
+	.A(FE_OFN49_io_out_37),
+	.Y(FE_OFN94_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC93_io_out_37 (
+	.A(FE_OFN48_io_out_37),
+	.X(FE_OFN93_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC92_io_out_37 (
+	.A(FE_OFN46_io_out_37),
+	.Y(FE_OFN92_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC91_io_out_37 (
+	.A(FE_OFN46_io_out_37),
+	.Y(FE_OFN91_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC90_io_out_37 (
+	.A(FE_OFN45_io_out_37),
+	.X(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC89_io_out_37 (
+	.A(FE_OFN44_io_out_37),
+	.Y(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC88_io_out_37 (
+	.A(FE_OFN44_io_out_37),
+	.Y(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC87_io_out_37 (
+	.A(FE_OFN43_io_out_37),
+	.X(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC85_io_out_37 (
+	.A(FE_OFN44_io_out_37),
+	.Y(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC84_io_out_37 (
+	.A(FE_OFN41_io_out_37),
+	.X(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC83_io_out_37 (
+	.A(FE_OFN40_io_out_37),
+	.X(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC82_io_out_37 (
+	.A(FE_OFN39_io_out_37),
+	.X(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC81_io_out_37 (
+	.A(FE_OFN38_io_out_37),
+	.X(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC80_io_out_37 (
+	.A(FE_OFN37_io_out_37),
+	.X(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC79_io_out_37 (
+	.A(FE_OFN40_io_out_37),
+	.X(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC78_io_out_37 (
+	.A(FE_OFN32_io_out_37),
+	.X(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC77_io_out_37 (
+	.A(FE_OFN30_io_out_37),
+	.X(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC76_io_out_37 (
+	.A(FE_OFN29_io_out_37),
+	.X(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC75_io_out_37 (
+	.A(FE_OFN29_io_out_37),
+	.X(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC74_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(io_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC73_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC72_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC71_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN72_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC70_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC69_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC68_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC67_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC65_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC63_io_out_37 (
+	.A(FE_OFN28_io_out_37),
+	.Y(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC61_io_out_37 (
+	.A(FE_OFN26_io_out_37),
+	.X(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC60_io_out_37 (
+	.A(FE_OFN22_io_out_37),
+	.X(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC59_io_out_37 (
+	.A(FE_OFN23_io_out_37),
+	.X(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC58_io_out_37 (
+	.A(FE_OFN20_io_out_37),
+	.X(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC57_io_out_37 (
+	.A(FE_OFN20_io_out_37),
+	.X(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC56_io_out_37 (
+	.A(FE_OFN18_io_out_37),
+	.X(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC55_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.Y(FE_OFN56_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC54_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN55_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC53_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN54_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC52_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN53_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC51_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN52_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC50_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN51_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC49_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN50_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC48_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.Y(FE_OFN49_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC47_io_out_37 (
+	.A(FE_OFN15_io_out_37),
+	.X(FE_OFN48_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC46_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN47_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC45_io_out_37 (
+	.A(FE_OFN13_io_out_37),
+	.Y(FE_OFN46_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC44_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN45_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC43_io_out_37 (
+	.A(FE_OFN11_io_out_37),
+	.Y(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC42_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN43_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC40_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC39_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC38_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC37_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC36_io_out_37 (
+	.A(FE_OFN12_io_out_37),
+	.Y(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC34_io_out_37 (
+	.A(FE_OFN6_io_out_37),
+	.Y(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC33_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC32_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC31_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC30_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC29_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC28_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC27_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.X(FE_OFN28_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC25_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC24_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC22_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC21_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC20_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC19_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC18_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC17_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC15_io_out_37 (
+	.A(FE_OFN5_io_out_37),
+	.Y(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC14_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.X(FE_OFN15_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC12_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.X(FE_OFN13_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC11_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.Y(FE_OFN12_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC10_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.X(FE_OFN11_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC6_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.X(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC5_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.Y(FE_OFN6_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC4_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.Y(FE_OFN5_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC0_io_out_37 (
+	.A(FE_OFN0_io_out_37),
+	.X(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   iccm_controller u_soc_u_dut (
+	.rst_ni(FE_OFN874_n_470),
+	.prog_i(io_in[8]),
+	.rx_dv_i(u_soc_rx_dv_i),
+	.rx_byte_i(u_soc_rx_byte_i),
+	.we_o(u_soc_iccm_ctrl_we),
+	.addr_o(u_soc_iccm_ctrl_addr_o),
+	.wdata_o(u_soc_iccm_ctrl_data),
+	.reset_o(u_soc_prog_rst_ni),
+	.FE_OFN21_n_470(FE_OFN875_n_470),
+	.clk_i_clone2(CTS_23),
+	.clk_i_clone1(CTS_20),
+	.clk_i(CTS_21), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   tcam_top u_soc_u_tcam_u_tcam_top (
+	.rst_ni(FE_OFN33_io_out_37),
+	.csb_i(u_soc_u_tcam_n_26),
+	.web_i(u_soc_u_tcam_n_27),
+	.wmask_i({ \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30],
+		\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22],
+		\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14],
+		\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6] }),
+	.addr_i({ FE_COEN4560_u_soc_u_tcam_data_addr_27,
+		u_soc_u_tcam_data_addr[26],
+		FE_COEN4324_u_soc_u_tcam_data_addr_25,
+		u_soc_u_tcam_data_addr[24],
+		FE_COEN4562_u_soc_u_tcam_data_addr_23,
+		u_soc_u_tcam_data_addr[22],
+		u_soc_u_tcam_data_addr[21],
+		u_soc_u_tcam_data_addr[20],
+		u_soc_u_tcam_data_addr[19],
+		u_soc_u_tcam_data_addr[18],
+		u_soc_u_tcam_data_addr[17],
+		u_soc_u_tcam_data_addr[16],
+		u_soc_u_tcam_data_addr[15],
+		u_soc_u_tcam_data_addr[14],
+		u_soc_u_tcam_data_addr[13],
+		u_soc_u_tcam_data_addr[12],
+		u_soc_u_tcam_data_addr[11],
+		u_soc_u_tcam_data_addr[10],
+		FE_COEN4696_u_soc_u_tcam_data_addr_9,
+		u_soc_u_tcam_data_addr[8],
+		FE_COEN4570_u_soc_u_tcam_data_addr_7,
+		FE_COEN4698_u_soc_u_tcam_data_addr_6,
+		u_soc_u_tcam_data_addr[5],
+		u_soc_u_tcam_data_addr[4],
+		u_soc_u_tcam_data_addr[3],
+		u_soc_u_tcam_data_addr[2],
+		u_soc_u_tcam_data_addr[1],
+		u_soc_u_tcam_data_addr[0] }),
+	.wdata_i({ u_soc_u_tcam_data_wdata[31],
+		FE_COEN4567_u_soc_u_tcam_data_wdata_30,
+		FE_COEN4699_u_soc_u_tcam_data_wdata_29,
+		u_soc_u_tcam_data_wdata[28],
+		u_soc_u_tcam_data_wdata[27],
+		u_soc_u_tcam_data_wdata[26],
+		u_soc_u_tcam_data_wdata[25],
+		u_soc_u_tcam_data_wdata[24],
+		u_soc_u_tcam_data_wdata[23],
+		u_soc_u_tcam_data_wdata[22],
+		u_soc_u_tcam_data_wdata[21],
+		FE_COEN4691_u_soc_u_tcam_data_wdata_20,
+		FE_COEN4689_u_soc_u_tcam_data_wdata_19,
+		FE_COEN4695_u_soc_u_tcam_data_wdata_18,
+		FE_COEN4694_u_soc_u_tcam_data_wdata_17,
+		u_soc_u_tcam_data_wdata[16],
+		u_soc_u_tcam_data_wdata[15],
+		u_soc_u_tcam_data_wdata[14],
+		u_soc_u_tcam_data_wdata[13],
+		u_soc_u_tcam_data_wdata[12],
+		u_soc_u_tcam_data_wdata[11],
+		u_soc_u_tcam_data_wdata[10],
+		u_soc_u_tcam_data_wdata[9],
+		u_soc_u_tcam_data_wdata[8],
+		u_soc_u_tcam_data_wdata[7],
+		u_soc_u_tcam_data_wdata[6],
+		u_soc_u_tcam_data_wdata[5],
+		u_soc_u_tcam_data_wdata[4],
+		u_soc_u_tcam_data_wdata[3],
+		u_soc_u_tcam_data_wdata[2],
+		u_soc_u_tcam_data_wdata[1],
+		u_soc_u_tcam_data_wdata[0] }),
+	.rdata_o({ UNCONNECTED282,
+		UNCONNECTED281,
+		UNCONNECTED280,
+		UNCONNECTED279,
+		UNCONNECTED278,
+		UNCONNECTED277,
+		UNCONNECTED276,
+		UNCONNECTED275,
+		UNCONNECTED274,
+		UNCONNECTED273,
+		UNCONNECTED272,
+		UNCONNECTED271,
+		UNCONNECTED270,
+		UNCONNECTED269,
+		UNCONNECTED268,
+		UNCONNECTED267,
+		UNCONNECTED266,
+		UNCONNECTED265,
+		UNCONNECTED264,
+		UNCONNECTED263,
+		UNCONNECTED262,
+		UNCONNECTED261,
+		UNCONNECTED260,
+		UNCONNECTED259,
+		UNCONNECTED258,
+		UNCONNECTED257,
+		u_soc_u_tcam_rdata[5],
+		u_soc_u_tcam_rdata[4],
+		u_soc_u_tcam_rdata[3],
+		u_soc_u_tcam_rdata[2],
+		u_soc_u_tcam_rdata[1],
+		u_soc_u_tcam_rdata[0] }),
+	.FE_OFN10_io_out_37(FE_OFN146_io_out_37),
+	.FE_OFN9_io_out_37(FE_OFN145_io_out_37),
+	.FE_OFN8_io_out_37(FE_OFN143_io_out_37),
+	.FE_OFN4_io_out_37(FE_OFN81_io_out_37),
+	.FE_OFN3_io_out_37(FE_OFN78_io_out_37),
+	.FE_OFN2_io_out_37(FE_OFN41_io_out_37),
+	.clk_i_clone6(CTS_17),
+	.clk_i_clone5(CTS_58),
+	.clk_i_clone4(CTS_49),
+	.clk_i_clone3(CTS_51),
+	.clk_i_clone2(CTS_52),
+	.clk_i_clone1(CTS_53),
+	.clk_i(wb_clk_i), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   ibex_controller_WritebackStage1_BranchPredictor0 u_soc_u_top_u_core_id_stage_i_controller_i (
+	.rst_ni(FE_OFN7_io_out_37),
+	.ctrl_busy_o(u_soc_u_top_u_core_ctrl_busy),
+	.illegal_insn_i(u_soc_u_top_u_core_id_stage_i_n_932),
+	.ecall_insn_i(u_soc_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.mret_insn_i(u_soc_u_top_u_core_id_stage_i_mret_insn_dec),
+	.dret_insn_i(u_soc_u_top_u_core_id_stage_i_dret_insn_dec),
+	.wfi_insn_i(u_soc_u_top_u_core_id_stage_i_wfi_insn_dec),
+	.ebrk_insn_i(u_soc_u_top_u_core_id_stage_i_ebrk_insn),
+	.csr_pipe_flush_i(u_soc_u_top_u_core_id_stage_i_csr_pipe_flush),
+	.instr_valid_i(u_soc_u_top_u_core_instr_valid_id),
+	.instr_i({ FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31,
+		u_soc_u_top_u_core_instr_rdata_id[30],
+		FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29,
+		FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28,
+		u_soc_u_top_u_core_instr_rdata_id[27],
+		u_soc_u_top_u_core_instr_rdata_id[26],
+		FE_OFN18414_n,
+		FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24,
+		FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23,
+		FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22,
+		FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21,
+		u_soc_u_top_u_core_instr_rdata_id[20],
+		FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19,
+		FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18,
+		FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17,
+		FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16,
+		FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15,
+		FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14,
+		FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13,
+		FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12,
+		u_soc_u_top_u_core_instr_rdata_id[11],
+		u_soc_u_top_u_core_instr_rdata_id[10],
+		u_soc_u_top_u_core_instr_rdata_id[9],
+		u_soc_u_top_u_core_instr_rdata_id[8],
+		u_soc_u_top_u_core_instr_rdata_id[7],
+		u_soc_u_top_u_core_instr_rdata_id[6],
+		FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5,
+		FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4,
+		FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3,
+		u_soc_u_top_u_core_instr_rdata_id[2],
+		u_soc_u_top_u_core_instr_rdata_id[1],
+		u_soc_u_top_u_core_instr_rdata_id[0] }),
+	.instr_compressed_i(u_soc_u_top_u_core_instr_rdata_c_id),
+	.instr_is_compressed_i(u_soc_u_top_u_core_instr_is_compressed_id),
+	.instr_valid_clear_o(u_soc_u_top_u_core_instr_valid_clear),
+	.id_in_ready_o(u_soc_u_top_u_core_id_in_ready),
+	.controller_run_o(u_soc_u_top_u_core_id_stage_i_controller_run),
+	.instr_req_o(u_soc_u_top_u_core_instr_req_int),
+	.pc_set_o(u_soc_u_top_u_core_pc_set),
+	.pc_mux_o(u_soc_u_top_u_core_pc_mux_id),
+	.exc_pc_mux_o({ u_soc_u_top_u_core_exc_pc_mux_id[1],
+		u_soc_u_top_u_core_id_stage_i_n_1065 }),
+	.exc_cause_o({ u_soc_u_top_u_core_exc_cause[5],
+		UNCONNECTED286,
+		u_soc_u_top_u_core_exc_cause[3],
+		UNCONNECTED285,
+		u_soc_u_top_u_core_exc_cause[1],
+		u_soc_u_top_u_core_exc_cause[0] }),
+	.branch_set_spec_i(u_soc_u_top_u_core_id_stage_i_branch_set),
+	.jump_set_i(u_soc_u_top_u_core_id_stage_i_jump_set),
+	.csr_mstatus_mie_i(u_soc_u_top_u_core_csr_mstatus_mie),
+	.\irqs_i[irq_external] (\u_soc_u_top_u_core_irqs[irq_external] ),
+	.debug_cause_o(u_soc_u_top_u_core_debug_cause),
+	.debug_csr_save_o(u_soc_u_top_u_core_debug_csr_save),
+	.debug_mode_o(u_soc_u_top_u_core_debug_mode),
+	.debug_single_step_i(u_soc_u_top_u_core_debug_single_step),
+	.debug_ebreakm_i(u_soc_u_top_u_core_debug_ebreakm),
+	.debug_ebreaku_i(u_soc_u_top_u_core_debug_ebreaku),
+	.trigger_match_i(u_soc_u_top_u_core_trigger_match),
+	.csr_save_if_o(u_soc_u_top_u_core_csr_save_if),
+	.csr_save_id_o(u_soc_u_top_u_core_csr_save_id),
+	.csr_restore_mret_id_o(u_soc_u_top_u_core_csr_restore_mret_id),
+	.csr_save_cause_o(u_soc_u_top_u_core_csr_save_cause),
+	.csr_mtval_o(u_soc_u_top_u_core_csr_mtval),
+	.priv_mode_i(u_soc_u_top_u_core_priv_mode_id),
+	.csr_mstatus_tw_i(u_soc_u_top_u_core_csr_mstatus_tw),
+	.stall_id_i(u_soc_u_top_u_core_id_stage_i_stall_id),
+	.stall_wb_i(u_soc_u_top_u_core_id_stage_i_stall_wb),
+	.flush_id_o(u_soc_u_top_u_core_id_stage_i_flush_id),
+	.ready_wb_i(u_soc_u_top_u_core_ready_wb),
+	.FE_OFN6_io_out_37(FE_OFN89_io_out_37),
+	.FE_OFN5_io_out_37(FE_OFN85_io_out_37),
+	.FE_OFN0_io_out_37(FE_OFN11_io_out_37),
+	.FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
+	.FE_OFN18534_n(FE_OFN18534_n),
+	.clk_i_clone1(CTS_92),
+	.clk_i(CTS_81), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1 u_soc_u_top_u_core_id_stage_i_decoder_i (
+	.illegal_insn_o(u_soc_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.ebrk_insn_o(u_soc_u_top_u_core_id_stage_i_ebrk_insn),
+	.mret_insn_o(u_soc_u_top_u_core_id_stage_i_mret_insn_dec),
+	.dret_insn_o(u_soc_u_top_u_core_id_stage_i_dret_insn_dec),
+	.ecall_insn_o(u_soc_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.wfi_insn_o(u_soc_u_top_u_core_id_stage_i_wfi_insn_dec),
+	.jump_set_o(u_soc_u_top_u_core_id_stage_i_jump_set_dec),
+	.instr_first_cycle_i(u_soc_u_top_u_core_instr_first_cycle_id),
+	.instr_rdata_i({ UNCONNECTED_HIER_Z109,
+		UNCONNECTED_HIER_Z108,
+		UNCONNECTED_HIER_Z107,
+		UNCONNECTED_HIER_Z106,
+		UNCONNECTED_HIER_Z105,
+		UNCONNECTED_HIER_Z104,
+		UNCONNECTED_HIER_Z103,
+		u_soc_u_top_u_core_instr_rdata_id[24],
+		FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23,
+		FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22,
+		FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21,
+		FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20,
+		FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19,
+		FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18,
+		FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17,
+		u_soc_u_top_u_core_instr_rdata_id[16],
+		FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15,
+		UNCONNECTED_HIER_Z102,
+		UNCONNECTED_HIER_Z101,
+		UNCONNECTED_HIER_Z100,
+		u_soc_u_top_u_core_instr_rdata_id[11],
+		u_soc_u_top_u_core_instr_rdata_id[10],
+		u_soc_u_top_u_core_instr_rdata_id[9],
+		u_soc_u_top_u_core_instr_rdata_id[8],
+		u_soc_u_top_u_core_instr_rdata_id[7],
+		UNCONNECTED_HIER_Z99,
+		UNCONNECTED_HIER_Z98,
+		UNCONNECTED_HIER_Z97,
+		UNCONNECTED_HIER_Z96,
+		UNCONNECTED_HIER_Z95,
+		UNCONNECTED_HIER_Z94,
+		UNCONNECTED_HIER_Z93 }),
+	.instr_rdata_alu_i({ FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31,
+		u_soc_u_top_u_core_instr_rdata_id[30],
+		u_soc_u_top_u_core_instr_rdata_id[29],
+		u_soc_u_top_u_core_instr_rdata_id[28],
+		u_soc_u_top_u_core_instr_rdata_id[27],
+		FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26,
+		FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25,
+		UNCONNECTED_HIER_Z124,
+		UNCONNECTED_HIER_Z123,
+		UNCONNECTED_HIER_Z122,
+		UNCONNECTED_HIER_Z121,
+		UNCONNECTED_HIER_Z120,
+		UNCONNECTED_HIER_Z119,
+		UNCONNECTED_HIER_Z118,
+		UNCONNECTED_HIER_Z117,
+		UNCONNECTED_HIER_Z116,
+		UNCONNECTED_HIER_Z115,
+		FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14,
+		FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13,
+		u_soc_u_top_u_core_instr_rdata_id[12],
+		UNCONNECTED_HIER_Z114,
+		UNCONNECTED_HIER_Z113,
+		UNCONNECTED_HIER_Z112,
+		UNCONNECTED_HIER_Z111,
+		UNCONNECTED_HIER_Z110,
+		u_soc_u_top_u_core_instr_rdata_id[6],
+		FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5,
+		FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4,
+		FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3,
+		u_soc_u_top_u_core_instr_rdata_id[2],
+		u_soc_u_top_u_core_instr_rdata_id[1],
+		u_soc_u_top_u_core_instr_rdata_id[0] }),
+	.illegal_c_insn_i(u_soc_u_top_u_core_illegal_c_insn_id),
+	.imm_a_mux_sel_o(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.imm_b_mux_sel_o(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec),
+	.bt_a_mux_sel_o({ u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1],
+		UNCONNECTED291 }),
+	.bt_b_mux_sel_o(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel),
+	.rf_we_o(u_soc_u_top_u_core_id_stage_i_rf_we_dec),
+	.rf_ren_a_o(u_soc_u_top_u_core_id_stage_i_rf_ren_a),
+	.rf_ren_b_o(u_soc_u_top_u_core_id_stage_i_rf_ren_b),
+	.alu_operator_o(u_soc_u_top_u_core_alu_operator_ex),
+	.alu_op_a_mux_sel_o(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec),
+	.alu_op_b_mux_sel_o(u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
+	.mult_en_o(u_soc_u_top_u_core_id_stage_i_mult_en_dec),
+	.div_en_o(u_soc_u_top_u_core_id_stage_i_div_en_dec),
+	.mult_sel_o(u_soc_u_top_u_core_mult_sel_ex),
+	.div_sel_o(u_soc_u_top_u_core_multdiv_operator_ex[1]),
+	.multdiv_operator_o({ UNCONNECTED501,
+		u_soc_u_top_u_core_multdiv_operator_ex[0] }),
+	.multdiv_signed_mode_o(u_soc_u_top_u_core_multdiv_signed_mode_ex),
+	.csr_access_o(u_soc_u_top_u_core_csr_access),
+	.csr_op_o(u_soc_u_top_u_core_csr_op),
+	.data_req_o(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.data_we_o(u_soc_u_top_data_we),
+	.data_type_o(u_soc_u_top_u_core_lsu_type),
+	.data_sign_extension_o(u_soc_u_top_u_core_lsu_sign_ext),
+	.jump_in_dec_o(u_soc_u_top_u_core_id_stage_i_jump_in_dec),
+	.branch_in_dec_o(u_soc_u_top_u_core_id_stage_i_branch_in_dec),
+	.FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+	.FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.FE_OFN16_u_soc_u_top_u_core_instr_rdata_id_14(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
+	.FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
+	.FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.FE_OFN25_n(FE_OFN18534_n),
+	.FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14(FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14),
+	.FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25(FE_OFN18414_n),
+	.FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12(FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12),
+	.FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12(FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12),
+	.FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   uart_rx_prog u_soc_u_uart_rx_prog (
+	.rst_ni(n_470),
+	.i_Rx_Serial(rx),
+	.CLKS_PER_BIT(u_soc_baud_reg),
+	.o_Rx_DV(u_soc_rx_dv_i),
+	.o_Rx_Byte(u_soc_rx_byte_i),
+	.FE_OFN20_n_470(FE_OFN875_n_470),
+	.FE_OFN19_n_470(FE_OFN873_n_470),
+	.clk_i_clone1(CTS_28),
+	.clk_i_clone2(CTS_20),
+	.clk_i(CTS_77), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   uart_rx u_soc_u_uart_u_uart_core_u_rx (
+	.rst_ni(FE_OFN5_io_out_37),
+	.i_Rx_Serial(u_soc_u_uart_u_uart_core_n_188),
+	.CLKS_PER_BIT(u_soc_u_uart_u_uart_core_control),
+	.sbit_o(u_soc_u_uart_u_uart_core_rx_sbit),
+	.o_Rx_DV(u_soc_u_uart_u_uart_core_rx_done),
+	.o_Rx_Byte(u_soc_u_uart_u_uart_core_rx),
+	.FE_OFN7_io_out_37(FE_OFN139_io_out_37),
+	.FE_OFN1_io_out_37(FE_OFN16_io_out_37),
+	.FE_OFN18_u_soc_u_uart_u_uart_core_rx_4(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.FE_OFN32_io_out_37(FE_OFN32_io_out_37),
+	.clk_i_clone2(CTS_46),
+	.clk_i_clone1(CTS_25),
+	.clk_i(CTS_44), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   uart_tx u_soc_u_uart_u_uart_core_u_tx (
+	.rst_ni(FE_OFN31_io_out_37),
+	.tx_en(u_soc_u_uart_u_uart_core_n_186),
+	.i_TX_Byte({ u_soc_u_uart_u_uart_core_tx_fifo_data[8],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[6],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[5],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[4],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[3],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[2],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[1],
+		u_soc_u_uart_u_uart_core_tx_fifo_data[0] }),
+	.CLKS_PER_BIT(u_soc_u_uart_u_uart_core_control),
+	.o_TX_Serial(FE_OFN163_io_out_34),
+	.o_TX_Done(u_soc_u_uart_u_uart_core_tx_done),
+	.FE_OFN11_io_out_37(FE_OFN153_io_out_37),
+	.clk_i_clone2(CTS_46),
+	.clk_i_clone1(CTS_36),
+	.clk_i(CTS_71), 
+	.vccd1(vccd1), 
+	.vssd1(vssd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram1 (
+	.din0({ FE_OFN18028_n,
+		FE_OFN180_u_soc_data_wdata_30,
+		FE_OFN187_u_soc_data_wdata_29,
+		FE_OFN193_u_soc_data_wdata_28,
+		FE_OFN1231_u_soc_data_wdata_27,
+		FE_OFN1235_u_soc_data_wdata_26,
+		FE_OFN1239_u_soc_data_wdata_25,
+		FE_OFN1243_u_soc_data_wdata_24,
+		FE_OFN1247_u_soc_data_wdata_23,
+		FE_OFN1251_u_soc_data_wdata_22,
+		FE_OFN18027_n,
+		FE_OFN18026_n,
+		FE_OFN1254_u_soc_data_wdata_19,
+		FE_OFN1258_u_soc_data_wdata_18,
+		FE_OFN215_u_soc_data_wdata_17,
+		FE_OFN1262_u_soc_data_wdata_16,
+		FE_OFN222_u_soc_data_wdata_15,
+		FE_OFN229_u_soc_data_wdata_14,
+		FE_OFN19727_FE_OFN18696_u_soc_data_wdata_13,
+		FE_OFN18681_u_soc_data_wdata_12,
+		FE_OFN18675_u_soc_data_wdata_11,
+		FE_OFN18666_u_soc_data_wdata_10,
+		FE_OFN1266_u_soc_data_wdata_9,
+		FE_OFN235_u_soc_data_wdata_8,
+		FE_OFN242_u_soc_data_wdata_7,
+		FE_OFN248_u_soc_data_wdata_6,
+		FE_OFN255_u_soc_data_wdata_5,
+		FE_OFN262_u_soc_data_wdata_4,
+		FE_OFN269_u_soc_data_wdata_3,
+		FE_OFN275_u_soc_data_wdata_2,
+		FE_OFN281_u_soc_data_wdata_1,
+		FE_OFN288_u_soc_data_wdata_0 }),
+	.dout0(u_soc_u_dccm_rdata1),
+	.addr0({ FE_OFN19601_FE_OFN19202_FE_OFN18777_FE_OFN1689_n,
+		FE_PDN4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n,
+		FE_PDN4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n,
+		FE_PDN4521_FE_OFN19600_FE_OFN19523_n,
+		FE_PDN4003_FE_OFN19373_FE_OFN19261_n,
+		FE_PDN19783_FE_OFN19520_n,
+		FE_PDN19785_FE_OFN19518_n,
+		FE_OFN19580_FE_OFN19194_FE_OFN18757_FE_OFN1682_n }),
+	.wmask0({ FE_OFN19681_FE_OFN19242_FE_OFN19168_n,
+		FE_OFN19647_FE_OFN19209_FE_OFN18796_FE_OFN18186_n,
+		FE_OFN1722_n,
+		FE_OFN18960_FE_OFN1721_n }),
+	.csb0(FE_OFN1594_u_soc_u_dccm_csb1),
+	.web0(FE_OFN1763_n),
+	.clk0(CTS_76),
+	.addr1({ FE_PDN4731_FE_OFN18003_n,
+		FE_OFN18943_FE_OFN820_logic_0_3_net,
+		FE_OFN18991_FE_OFN822_logic_0_4_net,
+		FE_OFN19005_FE_OFN823_logic_0_5_net,
+		FE_OFN18989_FE_OFN18002_n,
+		FE_OFN18001_n,
+		FE_OFN18806_FE_OFN827_logic_0_8_net,
+		FE_OFN19016_FE_OFN829_logic_0_9_net }),
+	.csb1(n_17979),
+	.clk1(logic_0_10_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram2 (
+	.din0({ FE_OFN174_u_soc_data_wdata_31,
+		FE_OFN19456_FE_OFN181_u_soc_data_wdata_30,
+		FE_OFN19003_FE_OFN188_u_soc_data_wdata_29,
+		FE_OFN194_u_soc_data_wdata_28,
+		FE_PDN3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27,
+		FE_OFN18729_FE_OFN1233_u_soc_data_wdata_26,
+		FE_PDN4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25,
+		FE_OFN18724_FE_OFN1241_u_soc_data_wdata_24,
+		FE_OFN18189_n,
+		FE_OFN19432_FE_OFN1756_n,
+		FE_PDN4002_FE_OFN201_u_soc_data_wdata_21,
+		FE_OFN207_u_soc_data_wdata_20,
+		FE_OFN19463_FE_OFN1751_n,
+		FE_OFN19465_FE_OFN1746_n,
+		FE_PDN3903_FE_OFN214_u_soc_data_wdata_17,
+		FE_OFN19296_FE_OFN1741_n,
+		FE_OFN221_u_soc_data_wdata_15,
+		FE_OFN228_u_soc_data_wdata_14,
+		FE_PDN3914_FE_OFN18694_u_soc_data_wdata_13,
+		FE_OFN18680_u_soc_data_wdata_12,
+		FE_OFN18674_u_soc_data_wdata_11,
+		FE_PDN3983_FE_OFN18665_u_soc_data_wdata_10,
+		FE_OFN18704_FE_OFN1264_u_soc_data_wdata_9,
+		FE_PSN4859_FE_PDN4759_FE_COEN4678,
+		FE_PDN4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7,
+		FE_OFN19414_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6,
+		FE_PDN3930_FE_OFN253_u_soc_data_wdata_5,
+		FE_OFN18785_FE_OFN260_u_soc_data_wdata_4,
+		FE_OFN268_u_soc_data_wdata_3,
+		FE_OFN274_u_soc_data_wdata_2,
+		FE_OFN280_u_soc_data_wdata_1,
+		FE_OFN287_u_soc_data_wdata_0 }),
+	.dout0(u_soc_u_dccm_rdata2),
+	.addr0({ FE_PDN4540_FE_OFN19516_n,
+		FE_PDN4501_FE_OFN19280_FE_OFN19064_n,
+		FE_PDN19852_n,
+		FE_PDN19881_n,
+		FE_PDN3895_FE_OFN19510_n,
+		FE_PDN4735_FE_OFN3865_n,
+		FE_PDN19854_n,
+		FE_PDN4578_n }),
+	.wmask0({ FE_PDN4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n,
+		FE_OFN19609_FE_OFN19216_FE_OFN19067_n,
+		FE_PDN4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1,
+		FE_PDN3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0 }),
+	.csb0(FE_OFN1135_u_soc_u_dccm_csb2),
+	.web0(FE_PDN3905_FE_OFN18873_FE_OFN1125_u_soc_data_we),
+	.clk0(CTS_76),
+	.addr1({ logic_0_11_net,
+		FE_OFN19410_FE_OFN18831_FE_OFN18000_n,
+		FE_OFN19722_FE_OFN19430_FE_OFN18907_FE_OFN17999_n,
+		FE_OFN19460_FE_OFN18918_FE_OFN17998_n,
+		FE_PDN3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n,
+		FE_PDN4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n,
+		FE_PDN4724_n,
+		FE_PDN4720_n }),
+	.csb1(n_17980),
+	.clk1(logic_0_19_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram3 (
+	.din0({ FE_OFN169_u_soc_data_wdata_31,
+		FE_OFN177_u_soc_data_wdata_30,
+		FE_PDN3932_FE_OFN184_u_soc_data_wdata_29,
+		FE_OFN189_u_soc_data_wdata_28,
+		FE_OFN1230_u_soc_data_wdata_27,
+		FE_OFN1233_u_soc_data_wdata_26,
+		FE_PDN3920_FE_OFN1237_u_soc_data_wdata_25,
+		FE_OFN1241_u_soc_data_wdata_24,
+		FE_OFN1245_u_soc_data_wdata_23,
+		FE_PDN3872_FE_OFN1249_u_soc_data_wdata_22,
+		FE_OFN196_u_soc_data_wdata_21,
+		FE_OFN203_u_soc_data_wdata_20,
+		FE_OFN1253_u_soc_data_wdata_19,
+		FE_OFN1256_u_soc_data_wdata_18,
+		FE_OFN211_u_soc_data_wdata_17,
+		FE_OFN1260_u_soc_data_wdata_16,
+		FE_OFN218_u_soc_data_wdata_15,
+		FE_OFN225_u_soc_data_wdata_14,
+		FE_OFN18692_u_soc_data_wdata_13,
+		FE_OFN18677_u_soc_data_wdata_12,
+		FE_OFN18670_u_soc_data_wdata_11,
+		FE_OFN18662_u_soc_data_wdata_10,
+		FE_OFN1264_u_soc_data_wdata_9,
+		FE_OFN230_u_soc_data_wdata_8,
+		FE_PSBN19820_FE_OFN237_u_soc_data_wdata_7,
+		FE_OFN244_u_soc_data_wdata_6,
+		FE_OFN250_u_soc_data_wdata_5,
+		FE_OFN258_u_soc_data_wdata_4,
+		FE_OFN264_u_soc_data_wdata_3,
+		FE_OFN270_u_soc_data_wdata_2,
+		FE_PDN4012_FE_OFN278_u_soc_data_wdata_1,
+		FE_OFN283_u_soc_data_wdata_0 }),
+	.dout0(u_soc_u_dccm_rdata3),
+	.addr0({ FE_PDN4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7,
+		FE_PDN4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n,
+		FE_PDN3975_FE_OFN19603_FE_OFN19512_n,
+		FE_PDN4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n,
+		FE_PDN4734_FE_OFN19605_FE_OFN19509_n,
+		FE_OFN19613_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2,
+		FE_PDN4513_FE_OFN19515_n,
+		FE_PDN4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 }),
+	.wmask0({ FE_PDN3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n,
+		FE_PDN4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2,
+		FE_PDN19847_n,
+		FE_PDN4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n }),
+	.csb0(FE_OFN1136_u_soc_u_dccm_csb3),
+	.web0(FE_OFN1125_u_soc_data_we),
+	.clk0(CTS_33),
+	.addr1({ FE_PDN4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n,
+		FE_OFN18927_FE_OFN846_logic_0_21_net,
+		FE_OFN18980_FE_OFN848_logic_0_22_net,
+		FE_OFN19391_FE_OFN18925_FE_OFN850_logic_0_23_net,
+		FE_PDN4755_n,
+		FE_PDN4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net,
+		FE_PDN3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net,
+		FE_PDN4730_n }),
+	.csb1(n_17981),
+	.clk1(logic_0_28_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram4 (
+	.din0({ FE_PDN3899_FE_OFN172_u_soc_data_wdata_31,
+		FE_OFN179_u_soc_data_wdata_30,
+		FE_OFN186_u_soc_data_wdata_29,
+		FE_OFN192_u_soc_data_wdata_28,
+		FE_OFN18715_FE_OFN1230_u_soc_data_wdata_27,
+		FE_OFN19125_n,
+		FE_PDN4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25,
+		FE_OFN19171_n,
+		FE_OFN18191_n,
+		FE_OFN1758_n,
+		FE_OFN199_u_soc_data_wdata_21,
+		FE_OFN206_u_soc_data_wdata_20,
+		FE_OFN1753_n,
+		FE_OFN1747_n,
+		FE_OFN213_u_soc_data_wdata_17,
+		FE_OFN1742_n,
+		FE_OFN220_u_soc_data_wdata_15,
+		FE_OFN227_u_soc_data_wdata_14,
+		FE_OFN18693_u_soc_data_wdata_13,
+		FE_OFN18682_u_soc_data_wdata_12,
+		FE_OFN18672_u_soc_data_wdata_11,
+		FE_OFN18667_u_soc_data_wdata_10,
+		FE_OFN18703_FE_OFN1264_u_soc_data_wdata_9,
+		FE_OFN231_u_soc_data_wdata_8,
+		FE_OFN238_u_soc_data_wdata_7,
+		FE_PDN4024_FE_OFN243_u_soc_data_wdata_6,
+		FE_OFN251_u_soc_data_wdata_5,
+		FE_OFN259_u_soc_data_wdata_4,
+		FE_OFN265_u_soc_data_wdata_3,
+		FE_OFN271_u_soc_data_wdata_2,
+		FE_OFN277_u_soc_data_wdata_1,
+		FE_OFN284_u_soc_data_wdata_0 }),
+	.dout0(u_soc_u_dccm_rdata4),
+	.addr0({ FE_PDN4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7,
+		FE_PDN3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n,
+		FE_PDN4212_FE_OFN19521_n,
+		FE_PDN4498_FE_OFN19612_FE_OFN19522_n,
+		FE_PDN3889_FE_OFN19604_FE_OFN19513_n,
+		FE_PDN4597_FE_OFN19610_FE_OFN19514_n,
+		FE_PDN4200_FE_OFN19594_FE_OFN19517_n,
+		FE_PDN19887_n }),
+	.wmask0({ FE_OFN19587_FE_OFN19210_FE_OFN19104_n,
+		FE_PDN4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n,
+		FE_PDN4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n,
+		FE_PDN4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n }),
+	.csb0(FE_OFN1137_u_soc_u_dccm_csb4),
+	.web0(FE_PDN3881_FE_OFN1127_u_soc_data_we),
+	.clk0(CTS_55),
+	.addr1({ FE_PDN4746_FE_OFN19001_FE_OFN17991_n,
+		FE_OFN18915_FE_OFN17990_n,
+		FE_OFN18941_FE_OFN858_logic_0_31_net,
+		FE_OFN18993_FE_OFN17989_n,
+		FE_PDN4733_n,
+		FE_PDN4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n,
+		FE_OFN19724_FE_OFN19436_FE_OFN18910_FE_OFN17986_n,
+		FE_PDN4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n }),
+	.csb1(FE_OFN1123_n_17982),
+	.clk1(logic_0_37_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram1 (
+	.din0({ FE_OFN415_u_soc_instr_wdata_31,
+		FE_OFN422_u_soc_instr_wdata_30,
+		FE_OFN428_u_soc_instr_wdata_29,
+		FE_OFN435_u_soc_instr_wdata_28,
+		FE_OFN442_u_soc_instr_wdata_27,
+		FE_OFN449_u_soc_instr_wdata_26,
+		FE_OFN18985_FE_OFN455_u_soc_instr_wdata_25,
+		FE_OFN461_u_soc_instr_wdata_24,
+		FE_OFN468_u_soc_instr_wdata_23,
+		FE_OFN474_u_soc_instr_wdata_22,
+		FE_OFN480_u_soc_instr_wdata_21,
+		FE_OFN488_u_soc_instr_wdata_20,
+		FE_OFN495_u_soc_instr_wdata_19,
+		FE_OFN502_u_soc_instr_wdata_18,
+		FE_OFN508_u_soc_instr_wdata_17,
+		FE_OFN515_u_soc_instr_wdata_16,
+		FE_OFN522_u_soc_instr_wdata_15,
+		FE_OFN18792_FE_OFN527_u_soc_instr_wdata_14,
+		FE_OFN534_u_soc_instr_wdata_13,
+		FE_OFN541_u_soc_instr_wdata_12,
+		FE_OFN549_u_soc_instr_wdata_11,
+		FE_OFN555_u_soc_instr_wdata_10,
+		FE_OFN556_u_soc_instr_wdata_9,
+		FE_OFN562_u_soc_instr_wdata_8,
+		FE_OFN18739_FE_OFN568_u_soc_instr_wdata_7,
+		FE_OFN574_u_soc_instr_wdata_6,
+		FE_OFN1276_u_soc_instr_wdata_5,
+		FE_OFN1279_u_soc_instr_wdata_4,
+		FE_OFN583_u_soc_instr_wdata_3,
+		FE_OFN1283_u_soc_instr_wdata_2,
+		FE_OFN1287_u_soc_instr_wdata_1,
+		FE_OFN1291_u_soc_instr_wdata_0 }),
+	.dout0(u_soc_u_iccm_rdata1),
+	.addr0({ FE_OFN19731_n,
+		FE_OFN19778_n,
+		FE_OFN19773_n,
+		FE_OFN19777_n,
+		FE_OFN19776_n,
+		FE_OFN19775_n,
+		FE_OFN19769_n,
+		FE_OFN19772_n }),
+	.wmask0({ FE_OFN19620_FE_OFN19566_FE_OFN19260_n,
+		FE_OFN19667_FE_OFN19439_FE_OFN19258_n,
+		FE_OFN19764_n,
+		FE_OFN19581_FE_OFN19190_FE_OFN19180_n }),
+	.csb0(FE_OFN1138_u_soc_u_iccm_csb1),
+	.web0(u_soc_instr_we),
+	.clk0(CTS_18),
+	.addr1({ logic_0_38_net,
+		logic_0_39_net,
+		logic_0_40_net,
+		logic_0_41_net,
+		logic_0_42_net,
+		logic_0_43_net,
+		logic_0_44_net,
+		logic_0_45_net }),
+	.csb1(n_17983),
+	.clk1(logic_0_46_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram2 (
+	.din0({ FE_OFN413_u_soc_instr_wdata_31,
+		FE_OFN420_u_soc_instr_wdata_30,
+		FE_OFN18937_FE_OFN426_u_soc_instr_wdata_29,
+		FE_OFN18771_FE_OFN433_u_soc_instr_wdata_28,
+		FE_OFN440_u_soc_instr_wdata_27,
+		FE_OFN447_u_soc_instr_wdata_26,
+		FE_OFN454_u_soc_instr_wdata_25,
+		FE_OFN459_u_soc_instr_wdata_24,
+		FE_OFN467_u_soc_instr_wdata_23,
+		FE_OFN19046_FE_OFN470_u_soc_instr_wdata_22,
+		FE_OFN477_u_soc_instr_wdata_21,
+		FE_OFN484_u_soc_instr_wdata_20,
+		FE_OFN493_u_soc_instr_wdata_19,
+		FE_OFN18950_FE_OFN500_u_soc_instr_wdata_18,
+		FE_OFN506_u_soc_instr_wdata_17,
+		FE_PDN3938_FE_OFN512_u_soc_instr_wdata_16,
+		FE_OFN519_u_soc_instr_wdata_15,
+		FE_OFN525_u_soc_instr_wdata_14,
+		FE_PDN3978_FE_OFN530_u_soc_instr_wdata_13,
+		FE_OFN19730_FE_OFN537_u_soc_instr_wdata_12,
+		FE_OFN546_u_soc_instr_wdata_11,
+		FE_OFN551_u_soc_instr_wdata_10,
+		FE_OFN558_u_soc_instr_wdata_9,
+		FE_OFN564_u_soc_instr_wdata_8,
+		FE_OFN570_u_soc_instr_wdata_7,
+		FE_OFN577_u_soc_instr_wdata_6,
+		FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5,
+		FE_OFN18735_FE_OFN1279_u_soc_instr_wdata_4,
+		FE_OFN581_u_soc_instr_wdata_3,
+		FE_OFN1738_n,
+		FE_OFN1733_n,
+		FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0 }),
+	.dout0(u_soc_u_iccm_rdata2),
+	.addr0({ FE_PDN4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n,
+		FE_OFN19698_FE_OFN19528_n,
+		FE_OFN19754_n,
+		FE_OFN19751_n,
+		FE_OFN19755_n,
+		FE_OFN19752_n,
+		FE_OFN19686_FE_OFN19532_n,
+		FE_OFN19750_n }),
+	.wmask0({ FE_OFN19582_FE_OFN19357_FE_OFN19215_FE_OFN19173_n,
+		FE_PDN3961_FE_OFN19762_n,
+		FE_PDN3971_FE_OFN19763_n,
+		FE_OFN19761_n }),
+	.csb0(FE_OFN1139_u_soc_u_iccm_csb2),
+	.web0(FE_OFN19009_u_soc_instr_we),
+	.clk0(CTS_18),
+	.addr1({ logic_0_47_net,
+		FE_PDN4718_n,
+		FE_PDN4715_n,
+		FE_PDN19794_n,
+		logic_0_51_net,
+		FE_PDN19797_n,
+		logic_0_53_net,
+		FE_PDN3892_FE_OFN18779_FE_OFN868_logic_0_54_net }),
+	.csb1(FE_PDN3884_n_17984),
+	.clk1(logic_0_55_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram3 (
+	.din0({ FE_OFN411_u_soc_instr_wdata_31,
+		FE_OFN419_u_soc_instr_wdata_30,
+		FE_OFN19040_FE_OFN423_u_soc_instr_wdata_29,
+		FE_OFN431_u_soc_instr_wdata_28,
+		FE_PDN4030_FE_OFN437_u_soc_instr_wdata_27,
+		FE_OFN443_u_soc_instr_wdata_26,
+		FE_OFN452_u_soc_instr_wdata_25,
+		FE_OFN457_u_soc_instr_wdata_24,
+		FE_OFN462_u_soc_instr_wdata_23,
+		FE_OFN18996_FE_OFN469_u_soc_instr_wdata_22,
+		FE_OFN476_u_soc_instr_wdata_21,
+		FE_OFN483_u_soc_instr_wdata_20,
+		FE_OFN490_u_soc_instr_wdata_19,
+		FE_OFN19500_FE_OFN496_u_soc_instr_wdata_18,
+		FE_OFN504_u_soc_instr_wdata_17,
+		FE_OFN510_u_soc_instr_wdata_16,
+		FE_OFN520_u_soc_instr_wdata_15,
+		FE_OFN526_u_soc_instr_wdata_14,
+		FE_OFN533_u_soc_instr_wdata_13,
+		FE_OFN540_u_soc_instr_wdata_12,
+		FE_OFN548_u_soc_instr_wdata_11,
+		FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10,
+		FE_OFN560_u_soc_instr_wdata_9,
+		FE_OFN566_u_soc_instr_wdata_8,
+		FE_OFN572_u_soc_instr_wdata_7,
+		FE_OFN578_u_soc_instr_wdata_6,
+		FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5,
+		FE_OFN18737_FE_OFN1279_u_soc_instr_wdata_4,
+		FE_OFN18955_FE_OFN582_u_soc_instr_wdata_3,
+		FE_OFN1281_u_soc_instr_wdata_2,
+		FE_PDN3925_FE_OFN1285_u_soc_instr_wdata_1,
+		FE_OFN18719_FE_OFN1289_u_soc_instr_wdata_0 }),
+	.dout0(u_soc_u_iccm_rdata3),
+	.addr0({ FE_PDN4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7,
+		FE_OFN19707_FE_OFN19244_FE_OFN19155_n,
+		FE_OFN19758_n,
+		FE_OFN19757_n,
+		FE_OFN19759_n,
+		FE_OFN19756_n,
+		FE_OFN19711_FE_OFN19245_FE_OFN19152_n,
+		FE_OFN19753_n }),
+	.wmask0({ FE_PDN4234_FE_OFN19760_n,
+		FE_PDN4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n,
+		FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n,
+		FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n }),
+	.csb0(FE_OFN19657_u_soc_u_iccm_csb3),
+	.web0(FE_OFN1132_u_soc_instr_we),
+	.clk0(CTS_22),
+	.addr1({ FE_PDN19846_n,
+		FE_PDN4719_n,
+		FE_PDN19888_n,
+		logic_0_59_net,
+		logic_0_60_net,
+		FE_PDN19841_n,
+		logic_0_62_net,
+		FE_PDN4201_logic_0_63_net }),
+	.csb1(FE_PDN3882_n_17985),
+	.clk1(logic_0_64_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram4 (
+	.din0({ FE_OFN18025_n,
+		FE_OFN418_u_soc_instr_wdata_30,
+		FE_OFN425_u_soc_instr_wdata_29,
+		FE_OFN430_u_soc_instr_wdata_28,
+		FE_OFN438_u_soc_instr_wdata_27,
+		FE_OFN446_u_soc_instr_wdata_26,
+		FE_OFN18807_FE_OFN453_u_soc_instr_wdata_25,
+		FE_OFN456_u_soc_instr_wdata_24,
+		FE_OFN19030_FE_OFN465_u_soc_instr_wdata_23,
+		FE_OFN473_u_soc_instr_wdata_22,
+		FE_OFN481_u_soc_instr_wdata_21,
+		FE_OFN487_u_soc_instr_wdata_20,
+		FE_OFN491_u_soc_instr_wdata_19,
+		FE_OFN499_u_soc_instr_wdata_18,
+		FE_OFN503_u_soc_instr_wdata_17,
+		FE_OFN513_u_soc_instr_wdata_16,
+		FE_OFN521_u_soc_instr_wdata_15,
+		FE_OFN529_u_soc_instr_wdata_14,
+		FE_OFN536_u_soc_instr_wdata_13,
+		FE_OFN543_u_soc_instr_wdata_12,
+		FE_PDN3998_FE_OFN547_u_soc_instr_wdata_11,
+		FE_OFN554_u_soc_instr_wdata_10,
+		FE_PDN4037_FE_OFN561_u_soc_instr_wdata_9,
+		FE_OFN18192_n,
+		FE_OFN18019_n,
+		FE_PDN4067_FE_OFN579_u_soc_instr_wdata_6,
+		FE_PDN3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5,
+		FE_PDN3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4,
+		FE_OFN585_u_soc_instr_wdata_3,
+		FE_OFN1736_n,
+		FE_OFN18799_FE_OFN1731_n,
+		FE_OFN18720_FE_OFN1289_u_soc_instr_wdata_0 }),
+	.dout0(u_soc_u_iccm_rdata4),
+	.addr0({ FE_PDN4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n,
+		FE_OFN19774_n,
+		FE_OFN19766_n,
+		FE_OFN19767_n,
+		FE_OFN19771_n,
+		FE_OFN19157_n,
+		FE_OFN19768_n,
+		FE_OFN19770_n }),
+	.wmask0({ FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n,
+		FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n,
+		FE_OFN19578_FE_OFN19288_FE_OFN19191_FE_OFN19096_n,
+		FE_OFN19577_FE_OFN19288_FE_OFN19191_FE_OFN19096_n }),
+	.csb0(FE_OFN1141_u_soc_u_iccm_csb4),
+	.web0(FE_OFN1133_u_soc_instr_we),
+	.clk0(CTS_17),
+	.addr1({ logic_0_65_net,
+		FE_PDN19810_n,
+		logic_0_67_net,
+		logic_0_68_net,
+		logic_0_69_net,
+		logic_0_70_net,
+		FE_PDN19818_n,
+		logic_0_72_net }),
+	.csb1(n_17986),
+	.clk1(logic_0_73_net), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_fd_sc_hd__inv_2 g276479 (
+	.A(n_13490),
+	.Y(n_13303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g276482 (
+	.A(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.Y(n_13741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g276475__5122 (
+	.A(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.B_N(u_soc_u_top_u_core_instr_valid_id),
+	.Y(u_soc_u_top_u_core_instr_first_cycle_id), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g277250__6131 (
+	.A1(n_13286),
+	.A2(n_13487),
+	.B1(n_13287),
+	.Y(n_13288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g277251__1881 (
+	.A(n_15890),
+	.B(n_13286),
+	.Y(n_13287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g277252 (
+	.A(n_13286),
+	.Y(n_13376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g277254__7482 (
+	.A(n_13284),
+	.B(n_15938),
+	.Y(n_13285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g277257__9315 (
+	.A(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.B(n_15939),
+	.C(\u_soc_lsu_to_xbar[a_address] [15]),
+	.D(\u_soc_lsu_to_xbar[a_address] [17]),
+	.X(n_13282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g277263__2883 (
+	.A(n_13331),
+	.B(n_13885),
+	.Y(u_soc_u_top_u_core_id_stage_i_jump_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g277264__2346 (
+	.A(n_13453),
+	.B(n_16009),
+	.C(u_soc_u_top_u_core_instr_valid_id),
+	.D(u_soc_u_top_u_core_id_stage_i_controller_run),
+	.Y(n_13885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g277266__1666 (
+	.A(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.B(\u_soc_xbar_to_dccm[a_address] [2]),
+	.C(\u_soc_xbar_to_dccm[a_address] [3]),
+	.D(\u_soc_lsu_to_xbar[a_address] [16]),
+	.X(n_13275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g277267__7410 (
+	.A(n_13270),
+	.B(n_13269),
+	.Y(n_13378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g277268 (
+	.A(n_13273),
+	.Y(n_13274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g277269__6417 (
+	.A(n_16012),
+	.B(\u_soc_lsu_to_xbar[a_address] [21]),
+	.C(\u_soc_lsu_to_xbar[a_address] [20]),
+	.Y(n_13272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g277270__5477 (
+	.A1(n_13611),
+	.A2(\u_soc_lsu_to_xbar[a_address] [31]),
+	.B1(n_13374),
+	.Y(n_13273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g277272 (
+	.A(n_13270),
+	.Y(n_13373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g277273__2398 (
+	.A(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.Y(n_13269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g277274__5107 (
+	.A(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.B(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.Y(n_13270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323786__6260 (
+	.A1(FE_COEN4316_FE_OFN18547_n_11593),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[5]),
+	.B1(n_13125),
+	.C1(n_13224),
+	.D1(n_13178),
+	.Y(n_13812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323788__4319 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[27]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13211),
+	.C1(n_11567),
+	.D1(n_13186),
+	.Y(n_13834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g323790__5526 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[10]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13213),
+	.C1(n_11581),
+	.D1(n_13183),
+	.Y(n_13817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_4 g323791__6783 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[7]),
+	.A2(FE_COEN4316_FE_OFN18547_n_11593),
+	.B1(n_13190),
+	.C1(n_13225),
+	.D1(n_13180),
+	.Y(n_13814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323792__3680 (
+	.A1(n_11151),
+	.A2(n_13446),
+	.B1(n_13155),
+	.C1(n_13266),
+	.D1(n_13240),
+	.Y(n_13836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323793__1617 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[22]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13214),
+	.C1(n_11572),
+	.D1(n_13169),
+	.Y(n_13829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323795__2802 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[21]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13212),
+	.C1(n_11568),
+	.D1(n_13188),
+	.Y(n_13828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323796__1705 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[18]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13205),
+	.C1(n_11573),
+	.D1(n_13172),
+	.Y(n_13825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323797__5122 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[24]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13201),
+	.C1(n_11566),
+	.D1(n_13171),
+	.Y(n_13831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323798__8246 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[23]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13197),
+	.C1(n_11469),
+	.D1(n_13170),
+	.Y(n_13830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323799__7098 (
+	.A1(n_11151),
+	.A2(FE_COEN4285_n_13437),
+	.B1(n_13162),
+	.C1(n_13254),
+	.D1(n_13243),
+	.Y(n_13827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323800__6131 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[28]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13149),
+	.C1(n_11571),
+	.D1(n_13185),
+	.Y(n_13835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323801__1881 (
+	.A1(n_11151),
+	.A2(FE_COEN4573_n_13436),
+	.B1(n_13116),
+	.C1(n_13231),
+	.D1(n_13209),
+	.Y(n_13826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g323802__5115 (
+	.A1(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.A2(n_13526),
+	.B1(FE_PSN4382_n_11039),
+	.B2(FE_PSN4149_FE_OFN1398_n_141),
+	.C1(n_13611),
+	.Y(n_13374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323803__7482 (
+	.A1(n_11151),
+	.A2(n_13447),
+	.B1(n_13128),
+	.C1(n_13233),
+	.D1(n_13210),
+	.Y(n_13837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323804__4733 (
+	.A1(n_11151),
+	.A2(FE_COEN4310_n_13431),
+	.B1(n_13122),
+	.C1(n_13228),
+	.D1(n_13200),
+	.Y(n_13821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_4 g323805__6161 (
+	.A1(FE_OFN1399_n_142),
+	.A2(FE_PSN4367_n_11746),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.B2(FE_PSN4146_n_11593),
+	.C1(n_13226),
+	.Y(n_13810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g323806__9315 (
+	.A1(FE_COEN4309_FE_OFN18547_n_11593),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.B1(n_11442),
+	.C1(n_13167),
+	.D1(n_13194),
+	.Y(n_13809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323808__2883 (
+	.A1(n_11139),
+	.A2(n_11313),
+	.B1(n_13208),
+	.C1(n_13207),
+	.D1(n_13191),
+	.Y(n_13838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_4 g323809__2346 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[9]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13142),
+	.C1(n_11570),
+	.D1(n_13182),
+	.Y(n_13816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g323810__1666 (
+	.A(n_13232),
+	.B(n_13181),
+	.C(n_11441),
+	.D(n_13143),
+	.Y(n_13815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g323811__7410 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[6]),
+	.A2(FE_COEN4316_FE_OFN18547_n_11593),
+	.B1(n_13145),
+	.C1(n_11569),
+	.D1(n_13179),
+	.Y(n_13813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323812__6417 (
+	.A1(n_11151),
+	.A2(FE_COEN4287_n_13433),
+	.B1(n_13111),
+	.C1(n_13230),
+	.D1(n_13204),
+	.Y(n_13823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323813__5477 (
+	.A1(n_11151),
+	.A2(FE_COEN4330_n_13432),
+	.B1(n_13121),
+	.C1(n_13229),
+	.D1(n_13202),
+	.Y(n_13822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g323814__2398 (
+	.A1(n_11151),
+	.A2(FE_PSN4363_n_13429),
+	.B1(n_13123),
+	.C1(n_13227),
+	.D1(n_13198),
+	.Y(n_13819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323815__5107 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[17]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_13148),
+	.C1(n_11468),
+	.D1(n_13234),
+	.Y(n_13824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323817__4319 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[11]),
+	.A2(FE_OFN18366_n_11593),
+	.B1(n_11352),
+	.C1(n_13150),
+	.D1(n_13196),
+	.Y(n_13818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g323818__8428 (
+	.A1(FE_OFN1400_n_577),
+	.A2(FE_COEN4316_FE_OFN18547_n_11593),
+	.B1(n_13126),
+	.C1(n_13161),
+	.D1(n_13193),
+	.Y(n_13811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323819 (
+	.A(n_13268),
+	.Y(n_13859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323820 (
+	.A(n_13264),
+	.Y(n_13843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323821 (
+	.A(n_13263),
+	.Y(n_13869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323822 (
+	.A(n_13262),
+	.Y(n_13867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323823 (
+	.A(n_13261),
+	.Y(n_13866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323824 (
+	.A(n_13260),
+	.Y(n_13865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323825 (
+	.A(n_13259),
+	.Y(n_13864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323826 (
+	.A(n_13258),
+	.Y(n_13863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323827 (
+	.A(n_13257),
+	.Y(n_13862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323828 (
+	.A(n_13256),
+	.Y(n_13861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323829 (
+	.A(n_13255),
+	.Y(n_13860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323830__5526 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.C1(n_13514),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323831__6783 (
+	.A(n_11367),
+	.B(n_13236),
+	.Y(n_13267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323832__3680 (
+	.A(n_11371),
+	.B(n_13235),
+	.Y(n_13266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323833__1617 (
+	.A(n_11379),
+	.B(n_13237),
+	.Y(n_13265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g323835__1705 (
+	.A1(n_13452),
+	.A2(FE_PSN4149_FE_OFN1398_n_141),
+	.B1(n_11323),
+	.Y(n_13871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323836__5122 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.C1(n_13524),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323837__8246 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.C1(n_13522),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323838__7098 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.C1(n_13521),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323839__6131 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.C1(n_13520),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323840__1881 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.C1(n_13519),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323841__5115 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.C1(n_13518),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323842__7482 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.C1(n_13517),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323843__4733 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.C1(n_13516),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323844__6161 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.C1(n_13515),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323845 (
+	.A(n_11365),
+	.B(n_13218),
+	.Y(n_13254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323850 (
+	.A(n_13249),
+	.Y(n_13852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323852 (
+	.A(n_13247),
+	.Y(n_13850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323853 (
+	.A(n_13246),
+	.Y(n_13856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323854 (
+	.A(n_13245),
+	.Y(n_13847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323855 (
+	.A(n_13244),
+	.Y(n_13845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323856 (
+	.A(n_13239),
+	.Y(n_13858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323858 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.C1(n_13510),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323859 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.C1(n_13509),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323860 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.C1(n_13508),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323861 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.C1(n_13507),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323862 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.C1(n_13506),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323863 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.C1(n_13505),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323864 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.C1(n_13511),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323865 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.C1(n_13502),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323866 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.C1(n_13500),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323867 (
+	.A1(FE_OFN19553_n),
+	.A2(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.Y(n_13243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323868 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[26]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.Y(n_13242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323869 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[25]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.Y(n_13241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323870 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[29]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.Y(n_13240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323871 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.C1(FE_PSN4151_n_13513),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g323872 (
+	.A(n_13526),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[31]),
+	.X(n_13611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323873 (
+	.A(n_13238),
+	.Y(n_13857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323874 (
+	.A(n_13223),
+	.Y(n_13844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g323876 (
+	.A(n_13221),
+	.Y(n_13841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323877 (
+	.A(n_13220),
+	.Y(n_13870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323878 (
+	.A(n_13219),
+	.Y(n_13868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323879 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.C1(n_13512),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323880 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[25]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323881 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[26]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323882 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[29]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323883 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[17]),
+	.Y(n_13234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323884 (
+	.A(n_11373),
+	.B(n_13176),
+	.Y(n_13233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323885 (
+	.A(n_11370),
+	.B(n_13173),
+	.Y(n_13232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323886 (
+	.A(n_11380),
+	.B(n_13187),
+	.Y(n_13231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323887 (
+	.A(n_11381),
+	.B(n_13189),
+	.Y(n_13230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323888 (
+	.A(n_11383),
+	.B(n_13177),
+	.Y(n_13229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323889 (
+	.A(n_11368),
+	.B(n_13195),
+	.Y(n_13228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323890 (
+	.A(n_11361),
+	.B(n_13174),
+	.Y(n_13227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g323891 (
+	.A(n_13151),
+	.B(n_11465),
+	.Y(n_13226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 g323892 (
+	.A1(FE_OFN18636_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B1(n_13144),
+	.Y(n_13225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g323893 (
+	.A1(n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(n_13146),
+	.Y(n_13224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323897 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.A2(n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.C1(n_13525),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323898 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.A2(FE_OFN18379_n_11258),
+	.B1(FE_OFN18535_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.C1(n_13523),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323899 (
+	.A(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323900 (
+	.A(n_13216),
+	.Y(n_13846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323902 (
+	.A(n_13206),
+	.Y(n_13849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323904 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.C1(n_13501),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323905 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.A2(n_11258),
+	.B1(FE_PSN4428_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.C1(FE_OFN1581_n_11054),
+	.C2(n_13495),
+	.Y(n_13215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323906 (
+	.A1(n_11207),
+	.A2(n_13406),
+	.B1(n_13166),
+	.X(n_13214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323907 (
+	.A1(n_11207),
+	.A2(FE_OFN19544_n_13394),
+	.B1(n_13165),
+	.X(n_13213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323908 (
+	.A1(n_11207),
+	.A2(n_13405),
+	.B1(n_13164),
+	.X(n_13212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323909 (
+	.A1(n_11207),
+	.A2(n_13411),
+	.B1(n_13163),
+	.X(n_13211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323910 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[30]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.Y(n_13210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323911 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[19]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.Y(n_13209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323912 (
+	.A1(n_11208),
+	.A2(n_13415),
+	.B1(n_13158),
+	.X(n_13208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g323913 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[31]),
+	.A2_N(FE_PSN4456_FE_OFN18547_n_11593),
+	.B1(n_11139),
+	.B2(n_11306),
+	.Y(n_13207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323914 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.A2(n_11258),
+	.B1(FE_OFN18378_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.C1(n_13504),
+	.C2(FE_OFN18577_n_11054),
+	.Y(n_13206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323915 (
+	.A1(n_11207),
+	.A2(FE_COEN4329_n_13402),
+	.B1(n_13159),
+	.X(n_13205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323916 (
+	.A1(FE_OFN19553_n),
+	.A2(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.Y(n_13204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323918 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[15]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.Y(n_13202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323919 (
+	.A1(n_11207),
+	.A2(n_13408),
+	.B1(n_13168),
+	.X(n_13201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323920 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[14]),
+	.B1(FE_OFN18524_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.Y(n_13200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323921 (
+	.A1(n_11207),
+	.A2(n_13397),
+	.B1(n_13154),
+	.X(n_13199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g323922 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[12]),
+	.B1(FE_OFN18636_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_13198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323923 (
+	.A1(n_11207),
+	.A2(FE_PSN4147_n_13407),
+	.B1(n_13153),
+	.X(n_13197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g323924 (
+	.A1(FE_OFN19553_n),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[11]),
+	.B1(FE_OFN18636_n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.Y(n_13196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323925 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[14]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g323926 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.B(FE_PSN4426_n_11745),
+	.Y(n_13194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g323927 (
+	.A(FE_OFN18268_n_11746),
+	.B(FE_OFN1400_n_577),
+	.Y(n_13193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g323928 (
+	.A(n_11745),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(n_13192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323929 (
+	.A(n_11745),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[31]),
+	.Y(n_13191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g323930 (
+	.A(n_11366),
+	.B(n_13106),
+	.Y(n_13190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323931 (
+	.A(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323932 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[21]),
+	.Y(n_13188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323933 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[19]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323934 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[27]),
+	.Y(n_13186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323935 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[28]),
+	.Y(n_13185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323936 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.Y(n_13184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323937 (
+	.A(FE_OFN18268_n_11746),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[10]),
+	.Y(n_13183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323938 (
+	.A(FE_OFN18268_n_11746),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[9]),
+	.Y(n_13182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323939 (
+	.A(FE_OFN18268_n_11746),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[8]),
+	.Y(n_13181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323940 (
+	.A(FE_OFN18268_n_11746),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[7]),
+	.Y(n_13180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g323941 (
+	.A(FE_OFN18268_n_11746),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[6]),
+	.Y(n_13179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g323942 (
+	.A(FE_OFN18268_n_11746),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[5]),
+	.Y(n_13178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323943 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[15]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323944 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[30]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g323945 (
+	.A(FE_PSBN19826_n_15943),
+	.B(n_11745),
+	.Y(n_13175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323946 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[12]),
+	.B(FE_OFN18366_n_11593),
+	.Y(n_13174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g323947 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[8]),
+	.B(FE_COEN4316_FE_OFN18547_n_11593),
+	.Y(n_13173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323948 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[18]),
+	.Y(n_13172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323949 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[24]),
+	.Y(n_13171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323950 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[23]),
+	.Y(n_13170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g323951 (
+	.A(FE_OFN19553_n),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[22]),
+	.Y(n_13169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323952 (
+	.A1(FE_OFN1096_n_13093),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11330),
+	.Y(n_13168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_4 g323953 (
+	.A(n_11316),
+	.B(n_11362),
+	.C(n_13108),
+	.Y(n_13167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323954 (
+	.A1(FE_OFN1103_n_13102),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11340),
+	.Y(n_13166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323955 (
+	.A1(FE_OFN1102_n_13101),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11324),
+	.Y(n_13165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323956 (
+	.A1(FE_OFN1101_n_13100),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11335),
+	.Y(n_13164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323957 (
+	.A1(FE_OFN1100_n_13099),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11333),
+	.Y(n_13163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323958 (
+	.A1(FE_OFN18319_n_13103),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11331),
+	.Y(n_13162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323959 (
+	.A1(n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B1(n_13107),
+	.Y(n_13161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323960 (
+	.A1(FE_OFN1099_n_13098),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11321),
+	.Y(n_13160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323961 (
+	.A1(FE_OFN1098_n_13097),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11328),
+	.Y(n_13159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323962 (
+	.A1(FE_OFN1092_n_13089),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11342),
+	.Y(n_13158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323963 (
+	.A1(FE_OFN1097_n_13094),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11322),
+	.Y(n_13157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g323964 (
+	.A(n_13115),
+	.B(FE_COEN4322_n_12783),
+	.Y(n_13498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323979 (
+	.A1(n_13413),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11320),
+	.Y(n_13155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323980 (
+	.A1(FE_OFN1095_n_13092),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11317),
+	.Y(n_13154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g323981 (
+	.A1(n_13440),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11336),
+	.Y(n_13153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g323982 (
+	.A1(n_11312),
+	.A2(n_11104),
+	.B1(n_13105),
+	.Y(n_13152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g323983 (
+	.A1(n_11151),
+	.A2(FE_OFN18492_n_13420),
+	.B1(n_11208),
+	.B2(FE_PSBN19828_n_13387),
+	.Y(n_13151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323984 (
+	.A1(n_11151),
+	.A2(FE_OFN1587_n_13428),
+	.B1(n_13120),
+	.X(n_13150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323985 (
+	.A1(n_11207),
+	.A2(n_13412),
+	.B1(n_13124),
+	.X(n_13149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323986 (
+	.A1(n_11207),
+	.A2(FE_COEN4335_n_13401),
+	.B1(n_13113),
+	.X(n_13148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g323987 (
+	.A1(n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B1(n_13127),
+	.Y(n_13147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_4 g323988 (
+	.A1(n_11151),
+	.A2(FE_PSN4387_FE_OFN1585_n_13422),
+	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.B2(n_11259),
+	.Y(n_13146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323989 (
+	.A1(n_11207),
+	.A2(n_13390),
+	.B1(n_13117),
+	.X(n_13145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 g323990 (
+	.A1(n_11151),
+	.A2(FE_PSN4116_FE_OFN1586_n_13424),
+	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.B2(n_11259),
+	.Y(n_13144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323991 (
+	.A1(n_11151),
+	.A2(FE_OFN18315_n_13425),
+	.B1(n_13118),
+	.X(n_13143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g323992 (
+	.A1(n_11207),
+	.A2(FE_PSN4475_n_13393),
+	.B1(n_13119),
+	.X(n_13142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g323993 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1101_n_13100),
+	.B1(n_11900),
+	.Y(n_13516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323994 (
+	.A1(u_soc_u_top_u_core_pc_id[20]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[20]),
+	.C1(FE_COEN4285_n_13437),
+	.C2(n_11625),
+	.Y(n_619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323995 (
+	.A1(u_soc_u_top_u_core_pc_id[19]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[19]),
+	.C1(FE_COEN4573_n_13436),
+	.C2(n_11625),
+	.Y(n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g323996 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1098_n_13097),
+	.B1(n_11899),
+	.Y(n_13513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323997 (
+	.A1(u_soc_u_top_u_core_pc_id[16]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[16]),
+	.C1(FE_COEN4287_n_13433),
+	.C2(n_11625),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323998 (
+	.A1(u_soc_u_top_u_core_pc_id[15]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[15]),
+	.C1(FE_COEN4330_n_13432),
+	.C2(n_11625),
+	.Y(n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323999 (
+	.A1(u_soc_u_top_u_core_pc_id[14]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[14]),
+	.C1(FE_COEN4310_n_13431),
+	.C2(n_11625),
+	.Y(n_616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324000 (
+	.A1(u_soc_u_top_u_core_pc_id[13]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[13]),
+	.C1(n_13430),
+	.C2(n_11625),
+	.Y(n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324001 (
+	.A1(u_soc_u_top_u_core_pc_id[12]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[12]),
+	.C1(FE_PSN4363_n_13429),
+	.C2(n_11625),
+	.Y(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324002 (
+	.A1(u_soc_u_top_u_core_pc_id[11]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[11]),
+	.C1(FE_OFN1587_n_13428),
+	.C2(n_11625),
+	.Y(n_13134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324004 (
+	.A1(u_soc_u_top_u_core_pc_id[8]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[8]),
+	.C1(FE_OFN18315_n_13425),
+	.C2(n_11625),
+	.Y(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324005 (
+	.A1(u_soc_u_top_u_core_pc_id[7]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[7]),
+	.C1(FE_PSBN19866_n_13424),
+	.C2(n_11625),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g324007 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1103_n_13102),
+	.B1(n_11901),
+	.Y(n_13517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324008 (
+	.A1(u_soc_u_top_u_core_pc_id[23]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[23]),
+	.C1(FE_OFN1094_n_13091),
+	.C2(n_11625),
+	.Y(n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g324009 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1096_n_13093),
+	.B1(n_11902),
+	.Y(n_13519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g324010 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1097_n_13094),
+	.B1(n_11903),
+	.Y(n_13520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g324011 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1099_n_13098),
+	.B1(n_11905),
+	.Y(n_13521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g324012 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1100_n_13099),
+	.B1(n_11906),
+	.Y(n_13522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324013 (
+	.A1(u_soc_u_top_u_core_pc_id[29]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[29]),
+	.C1(n_13446),
+	.C2(n_11625),
+	.Y(n_639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324014 (
+	.A1(FE_PSN4168_n_13409),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18414_n),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g324015 (
+	.A1(FE_COEN4288_n_15881),
+	.A2(FE_OFN18319_n_13103),
+	.B1(n_11022),
+	.B2(n_15883),
+	.C1(FE_OFN18476_n_12260),
+	.Y(u_soc_u_top_u_core_alu_operand_b_ex[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g324016 (
+	.A1(FE_COEN4335_n_13401),
+	.A2(FE_PSN4801_n_11443),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324017 (
+	.A1(FE_OFN1093_n_13090),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12257),
+	.B2(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324018 (
+	.A1(n_13410),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324019 (
+	.A1(u_soc_u_top_u_core_pc_id[31]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[31]),
+	.C1(n_13448),
+	.C2(n_11625),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324021 (
+	.A1(n_13414),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11318),
+	.Y(n_13128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 g324022 (
+	.A1(n_11208),
+	.A2(FE_PSN4371_n_13416),
+	.B1(n_11110),
+	.B2(n_11313),
+	.Y(n_13127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g324023 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.A2(FE_COEN4681_n_16005),
+	.B1(n_11208),
+	.B2(FE_COEN4303_n_13388),
+	.C1(n_11369),
+	.X(n_13126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g324024 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.A2(FE_COEN4681_n_16005),
+	.B1(n_11207),
+	.B2(FE_OFN18495_n_13389),
+	.C1(n_11392),
+	.X(n_13125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324025 (
+	.A1(FE_OFN1090_n_13087),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11347),
+	.Y(n_13124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g324026 (
+	.A1(n_13396),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11353),
+	.Y(n_13123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324027 (
+	.A1(n_13398),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11319),
+	.Y(n_13122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324028 (
+	.A1(FE_OFN1084_n_13077),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11329),
+	.Y(n_13121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324029 (
+	.A1(FE_OFN1081_n_13074),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11341),
+	.Y(n_13120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324030 (
+	.A1(FE_OFN1087_n_13083),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11338),
+	.Y(n_13119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324031 (
+	.A1(FE_OFN18260_n_13085),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11334),
+	.Y(n_13118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324032 (
+	.A1(FE_PSN4457_FE_OFN1080_n_13070),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11337),
+	.Y(n_13117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324033 (
+	.A1(n_13403),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11351),
+	.Y(n_13116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g324034 (
+	.A_N(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.B(n_13365),
+	.C(FE_PSN4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q),
+	.Y(n_13453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g324035 (
+	.A(FE_OFN18492_n_13420),
+	.B(n_11625),
+	.Y(n_13115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g324036 (
+	.A1(n_669),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11326),
+	.Y(n_13114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324037 (
+	.A1(FE_OFN19542_n_13088),
+	.A2(FE_OFN18271_n_11152),
+	.B1(n_11325),
+	.Y(n_13113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 g324038 (
+	.A1(n_15945),
+	.A2(FE_OFN18423_n_11152),
+	.B1(n_11339),
+	.Y(n_13112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324039 (
+	.A1(FE_PSN4169_FE_OFN1085_n_13078),
+	.A2(FE_OFN18298_n_11208),
+	.B1(n_11327),
+	.Y(n_13111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324040 (
+	.A1(FE_COEN4862_n_11626),
+	.A2(n_13421),
+	.B1(n_12784),
+	.Y(n_13499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324041 (
+	.A1(FE_COEN4862_n_11626),
+	.A2(n_669),
+	.B1(FE_COEN4693_n_12781),
+	.Y(n_13496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324042 (
+	.A1(FE_COEN4862_n_11626),
+	.A2(n_13419),
+	.B1(FE_PSN4158_n_12782),
+	.Y(n_13497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g324043 (
+	.A1(n_13386),
+	.A2(FE_PSN4801_n_11443),
+	.B1(n_12947),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324044 (
+	.A1(FE_OFN1089_n_13086),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12257),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324045 (
+	.A1(n_13411),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g324046 (
+	.A1(n_13395),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324047 (
+	.A1(u_soc_u_top_u_core_instr_rdata_id[11]),
+	.A2(FE_COEN4278_n_12263),
+	.B1(FE_PSN4471_n_12261),
+	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.C1(FE_PSBN19871_n_13388),
+	.C2(n_11443),
+	.Y(n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g324049 (
+	.A1(FE_PSN4443_n_15881),
+	.A2(FE_OFN1088_n_13084),
+	.B1(n_12811),
+	.Y(u_soc_u_top_u_core_alu_operand_b_ex[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 g324052 (
+	.A1(n_11151),
+	.A2(FE_OFN18238_n_13069),
+	.B1(n_11208),
+	.B2(FE_PSN4264_n_13386),
+	.Y(n_13108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 g324053 (
+	.A1(n_11151),
+	.A2(FE_OFN18294_n_13073),
+	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.B2(n_11259),
+	.Y(n_13107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g324054 (
+	.A1(n_11207),
+	.A2(FE_PSN4389_FE_OFN18507_n_13391),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B2(FE_COEN4681_n_16005),
+	.Y(n_13106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324055 (
+	.A1(n_11208),
+	.A2(FE_PSN4346_n_15946),
+	.B1(n_11391),
+	.Y(n_13105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324056 (
+	.A1(FE_OFN18593_n_11626),
+	.A2(FE_OFN1080_n_13070),
+	.B1(n_11904),
+	.Y(n_13501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324058 (
+	.A1(u_soc_u_top_u_core_pc_id[30]),
+	.A2(FE_OFN18536_n_15862),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[30]),
+	.C1(n_13447),
+	.C2(n_11625),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g324059 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN1090_n_13087),
+	.B1(n_11907),
+	.Y(n_13523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g324060 (
+	.A1(FE_OFN18242_n_11626),
+	.A2(FE_OFN19542_n_13088),
+	.B1(n_11898),
+	.Y(n_13512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324061 (
+	.A1(FE_OFN18593_n_11626),
+	.A2(FE_OFN1087_n_13083),
+	.B1(n_11897),
+	.Y(n_13504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g324062 (
+	.A1(n_13397),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12257),
+	.B2(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g324063 (
+	.A1(FE_OFN1082_n_13075),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12257),
+	.B2(FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324064 (
+	.A1(FE_OFN19544_n_13394),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18381_n_11769),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g324065 (
+	.A1(FE_PSN4475_n_13393),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18381_n_11769),
+	.B2(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g324066 (
+	.A1(n_13392),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18381_n_11769),
+	.B2(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g324067 (
+	.A1(FE_OFN18507_n_13391),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18381_n_11769),
+	.B2(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324068 (
+	.A1(n_13406),
+	.A2(FE_PSN4801_n_11443),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g324069 (
+	.A1(n_13390),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18381_n_11769),
+	.B2(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g324070 (
+	.A1(FE_OFN18495_n_13389),
+	.A2(FE_PSN4801_n_11443),
+	.B1(FE_OFN18381_n_11769),
+	.B2(FE_OFN18414_n),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g324071 (
+	.A(n_16008),
+	.B(n_13096),
+	.Y(u_soc_u_top_u_core_alu_operand_b_ex[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g324072 (
+	.A1(FE_OFN1083_n_13076),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12257),
+	.B2(FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324073 (
+	.A1(n_13412),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324074 (
+	.A1(n_13405),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324075 (
+	.A1(FE_OFN1086_n_13082),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g324076 (
+	.A1(FE_COEN4329_n_13402),
+	.A2(FE_PSN4801_n_11443),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g324077 (
+	.A1(FE_PSN4403_n_15881),
+	.A2(FE_OFN1085_n_13078),
+	.B1(n_11032),
+	.B2(n_15883),
+	.C1(FE_OFN18476_n_12260),
+	.Y(u_soc_u_top_u_core_alu_operand_b_ex[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g324078 (
+	.A1(n_13399),
+	.A2(FE_OFN18287_n_15881),
+	.B1(n_12257),
+	.B2(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324079 (
+	.A1(n_13408),
+	.A2(FE_PSN4801_n_11443),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.C1(n_12259),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g324080 (
+	.A1(FE_PSN4147_n_13407),
+	.A2(FE_OFN18287_n_15881),
+	.B1(FE_OFN18639_n_12257),
+	.B2(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23),
+	.C1(FE_OFN18478_n_12260),
+	.X(u_soc_u_top_u_core_alu_operand_b_ex[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324082 (
+	.A(FE_OFN18319_n_13103),
+	.Y(n_13404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324083 (
+	.A(FE_OFN1103_n_13102),
+	.Y(n_13439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324084 (
+	.A(FE_OFN1102_n_13101),
+	.Y(n_13427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324085 (
+	.A(FE_OFN1101_n_13100),
+	.Y(n_13438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324086 (
+	.A(FE_OFN1100_n_13099),
+	.Y(n_13444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324087 (
+	.A(FE_OFN1099_n_13098),
+	.Y(n_13443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324088 (
+	.A(FE_OFN1098_n_13097),
+	.Y(n_13435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g324089 (
+	.A(n_15946),
+	.B(n_11443),
+	.Y(n_13096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g324091 (
+	.A_N(n_13335),
+	.B(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.Y(n_13365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324092 (
+	.A(n_12847),
+	.B(n_13002),
+	.C(n_12892),
+	.D(n_12848),
+	.Y(n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g324093 (
+	.A(n_13079),
+	.B(n_13001),
+	.Y(n_13420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324094 (
+	.A(n_12993),
+	.B(n_12994),
+	.C(n_13080),
+	.Y(n_13103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324095 (
+	.A(n_13081),
+	.B(n_12772),
+	.C(n_12771),
+	.D(n_12836),
+	.Y(n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324096 (
+	.A(n_12942),
+	.B(n_13047),
+	.C(n_13049),
+	.Y(n_13102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_4 g324097 (
+	.A(n_12941),
+	.B(n_13046),
+	.C(n_13048),
+	.Y(n_13101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324098 (
+	.A(n_12935),
+	.B(n_13042),
+	.C(n_13043),
+	.Y(n_13100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324099 (
+	.A(n_12932),
+	.B(n_13038),
+	.C(n_13041),
+	.Y(n_13099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324100 (
+	.A(n_12834),
+	.B(n_12931),
+	.C(n_13039),
+	.D(n_12971),
+	.X(n_13437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324101 (
+	.A(n_12830),
+	.B(n_12925),
+	.C(n_13037),
+	.D(n_12966),
+	.X(n_13436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324102 (
+	.A(n_12996),
+	.B(n_13031),
+	.C(n_13035),
+	.Y(n_13098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324103 (
+	.A(n_12918),
+	.B(n_13030),
+	.C(n_13014),
+	.Y(n_13097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324104 (
+	.A(FE_OFN1097_n_13094),
+	.Y(n_13442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324105 (
+	.A(FE_OFN1096_n_13093),
+	.Y(n_13441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324110 (
+	.A(n_12711),
+	.B(n_12862),
+	.C(n_12982),
+	.D(n_13025),
+	.X(n_13433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324111 (
+	.A(n_12913),
+	.B(n_13026),
+	.C(n_13027),
+	.Y(n_13094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_2 g324112 (
+	.A(n_12821),
+	.B(n_12704),
+	.C(n_12957),
+	.D(n_13021),
+	.X(n_13446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324113 (
+	.A(n_12909),
+	.B(n_13019),
+	.C(n_13020),
+	.Y(n_13093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324114 (
+	.A(n_12819),
+	.B(n_12907),
+	.C(n_13018),
+	.D(n_12955),
+	.X(n_13431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_4 g324115 (
+	.A(n_12818),
+	.B(n_12906),
+	.C(n_13032),
+	.D(n_12952),
+	.Y(n_13092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324116 (
+	.A(n_12817),
+	.B(n_12903),
+	.C(n_13012),
+	.D(n_12950),
+	.Y(n_13091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_4 g324117 (
+	.A(n_12902),
+	.B(n_13011),
+	.C(n_13013),
+	.X(n_13429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324118 (
+	.A(n_13060),
+	.B(n_12852),
+	.C(n_12999),
+	.Y(n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324119 (
+	.A(n_12991),
+	.B(n_12990),
+	.C(n_12989),
+	.D(n_12998),
+	.X(n_13410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g324120 (
+	.A(n_12986),
+	.B(n_12984),
+	.C(n_12843),
+	.D(n_12997),
+	.X(n_13409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324121 (
+	.A(n_12826),
+	.B(n_12987),
+	.C(n_12985),
+	.D(n_13007),
+	.X(n_13401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324122 (
+	.A(n_12822),
+	.B(n_12983),
+	.C(n_12844),
+	.D(n_13006),
+	.Y(n_13090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324123 (
+	.A(FE_PSN4476_n_12853),
+	.B(n_13000),
+	.C(n_12854),
+	.D(n_12874),
+	.Y(n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324124 (
+	.A(n_12910),
+	.B(n_12861),
+	.C(n_13023),
+	.D(n_12841),
+	.X(n_13432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324125 (
+	.A(n_12825),
+	.B(n_12908),
+	.C(n_13010),
+	.D(n_12960),
+	.Y(n_13089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324126 (
+	.A(FE_OFN19542_n_13088),
+	.Y(n_13434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324127 (
+	.A(FE_OFN1090_n_13087),
+	.Y(n_13445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324130 (
+	.A(FE_OFN1088_n_13084),
+	.Y(n_13415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g324133 (
+	.A(n_12945),
+	.B(n_13068),
+	.Y(n_13081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324134 (
+	.A(n_13008),
+	.B(n_12554),
+	.C(n_12225),
+	.D(n_12552),
+	.Y(n_13080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324135 (
+	.A(n_12837),
+	.B(n_13065),
+	.Y(n_13079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324136 (
+	.A(n_13036),
+	.B(n_13054),
+	.Y(n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_2 g324137 (
+	.A(n_12831),
+	.B(n_12846),
+	.C(n_12871),
+	.D(n_12968),
+	.X(n_13447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g324138 (
+	.A(n_13033),
+	.B(n_13005),
+	.Y(n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324139 (
+	.A(n_12842),
+	.B(n_12864),
+	.C(n_13029),
+	.Y(n_13088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324140 (
+	.A(n_12859),
+	.B(n_12876),
+	.C(n_13009),
+	.Y(n_13087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324141 (
+	.A(n_12739),
+	.B(n_12745),
+	.C(n_12975),
+	.D(n_13045),
+	.Y(n_13086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_2 g324142 (
+	.A(n_12761),
+	.B(n_12937),
+	.C(n_12974),
+	.D(n_12939),
+	.X(n_13411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g324143 (
+	.A(n_12936),
+	.B(n_12976),
+	.C(n_13062),
+	.Y(n_13085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324144 (
+	.A(n_13061),
+	.B(n_12749),
+	.C(n_12748),
+	.D(n_12835),
+	.Y(n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324145 (
+	.A(n_12743),
+	.B(n_12758),
+	.C(n_12962),
+	.D(n_13034),
+	.Y(n_13084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g324146 (
+	.A(n_12856),
+	.B(n_12875),
+	.C(FE_PSN4150_n_13044),
+	.Y(n_13083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324147 (
+	.A(n_12742),
+	.B(n_12740),
+	.C(n_12972),
+	.D(n_13040),
+	.X(n_13390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324148 (
+	.A(n_13058),
+	.B(n_12737),
+	.C(n_12832),
+	.D(n_12735),
+	.Y(n_13389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324149 (
+	.A(n_12736),
+	.B(n_12928),
+	.C(n_12969),
+	.D(n_12929),
+	.Y(n_13082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324150 (
+	.A(n_13057),
+	.B(n_13056),
+	.Y(n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324151 (
+	.A(n_12728),
+	.B(n_12921),
+	.C(n_12964),
+	.D(n_12923),
+	.X(n_13402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g324152 (
+	.A(n_13067),
+	.B(n_13004),
+	.Y(n_13416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324153 (
+	.A(FE_PSN4169_FE_OFN1085_n_13078),
+	.Y(n_13400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux4_2 g324163 (
+	.A0(\u_soc_dccm_to_xbar[d_valid] ),
+	.A1(\u_soc_tcam_to_xbar[d_valid] ),
+	.A2(\u_soc_uart_to_xbar[d_valid] ),
+	.A3(\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ),
+	.S0(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.S1(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.X(\u_soc_xbar_to_lsu[d_valid] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324164 (
+	.A(n_12914),
+	.B(n_12961),
+	.C(n_13051),
+	.Y(n_13078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324165 (
+	.A(n_12911),
+	.B(n_12709),
+	.C(n_12958),
+	.D(n_12912),
+	.Y(n_13077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324166 (
+	.A(n_12706),
+	.B(n_12705),
+	.C(n_12959),
+	.D(n_13024),
+	.X(n_13408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324167 (
+	.A(n_12703),
+	.B(n_12701),
+	.C(n_12956),
+	.D(n_13022),
+	.Y(n_13076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324168 (
+	.A(n_12698),
+	.B(n_12697),
+	.C(n_12954),
+	.D(n_13017),
+	.X(n_13397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g324169 (
+	.A(n_12694),
+	.B(n_12696),
+	.C(n_12953),
+	.D(n_13016),
+	.X(n_13407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_2 g324170 (
+	.A(n_12690),
+	.B(n_12692),
+	.C(n_12951),
+	.D(n_13015),
+	.X(n_13412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 g324171 (
+	.A(n_12693),
+	.B(n_12904),
+	.C(n_12949),
+	.D(n_12905),
+	.Y(n_13075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g324172 (
+	.A(n_12687),
+	.B(n_12899),
+	.C(n_12948),
+	.D(n_12901),
+	.Y(n_13074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324173 (
+	.A(n_12686),
+	.B(n_12944),
+	.C(n_12980),
+	.D(n_12900),
+	.X(n_13406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g324175 (
+	.A(n_13052),
+	.B(n_12887),
+	.C(n_12915),
+	.X(n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324177 (
+	.A(n_13064),
+	.B(n_12860),
+	.C(n_12594),
+	.D(n_12768),
+	.Y(n_13394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_4 g324179 (
+	.A(n_12938),
+	.B(n_12995),
+	.C(n_12858),
+	.D(n_12977),
+	.X(n_13405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324180 (
+	.A(n_12872),
+	.B(n_12849),
+	.C(n_13059),
+	.Y(n_13070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g324181 (
+	.A(n_13053),
+	.B(n_12916),
+	.C(n_12917),
+	.Y(n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324183 (
+	.A(n_12898),
+	.B(n_12407),
+	.C(n_11889),
+	.D(n_12113),
+	.Y(n_13068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g324184 (
+	.A(n_12867),
+	.B(FE_PSN4631_n_12888),
+	.Y(n_13067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324186 (
+	.A(n_15953),
+	.B(n_12724),
+	.C(n_12503),
+	.Y(n_13065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324187 (
+	.A(n_12943),
+	.B(n_12979),
+	.Y(n_13064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g324188 (
+	.A(n_12940),
+	.B(FE_COEN4272_n_12978),
+	.Y(n_13063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_4 g324189 (
+	.A(FE_COEN4861_n_12755),
+	.B(FE_PSN4474_n_12754),
+	.C(n_12238),
+	.D(n_12571),
+	.Y(n_13062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324190 (
+	.A(n_12934),
+	.B(n_12973),
+	.Y(n_13061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324191 (
+	.A(n_12873),
+	.B(n_12933),
+	.Y(n_13060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324192 (
+	.A(n_12850),
+	.B(n_12833),
+	.C(n_11884),
+	.D(n_12065),
+	.Y(n_13059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324193 (
+	.A(n_12930),
+	.B(FE_PSN4773_n_12970),
+	.Y(n_13058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324194 (
+	.A(n_12732),
+	.B(n_12927),
+	.Y(n_13057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324195 (
+	.A(n_12926),
+	.B(n_12967),
+	.Y(n_13056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324196 (
+	.A(n_12730),
+	.B(n_12729),
+	.C(n_12201),
+	.D(n_12519),
+	.Y(n_13055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324197 (
+	.A(n_12922),
+	.B(n_12965),
+	.Y(n_13054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324198 (
+	.A(n_12869),
+	.B(n_12337),
+	.C(FE_PSN4419_n_11699),
+	.D(FE_COEN4269_n_12024),
+	.Y(n_13053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324199 (
+	.A(n_12866),
+	.B(n_12759),
+	.Y(n_13052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324200 (
+	.A(n_12715),
+	.B(n_12714),
+	.C(n_12160),
+	.D(n_12462),
+	.Y(n_13051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324202 (
+	.A(n_12766),
+	.B(n_12765),
+	.C(n_12251),
+	.D(n_12592),
+	.Y(n_13049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324203 (
+	.A(n_12764),
+	.B(n_12763),
+	.C(n_12249),
+	.D(n_12590),
+	.Y(n_13048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324204 (
+	.A(n_12897),
+	.B(n_12399),
+	.C(n_11876),
+	.D(n_12101),
+	.Y(n_13047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324205 (
+	.A(n_12896),
+	.B(n_12398),
+	.C(n_11874),
+	.D(n_12100),
+	.Y(n_13046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324206 (
+	.A(n_12816),
+	.B(n_12575),
+	.C(n_12235),
+	.D(n_12566),
+	.Y(n_13045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324207 (
+	.A(n_12757),
+	.B(n_12756),
+	.C(n_12241),
+	.D(n_12576),
+	.Y(n_13044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324208 (
+	.A(n_12753),
+	.B(n_12752),
+	.C(n_12237),
+	.D(n_12568),
+	.Y(n_13043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324209 (
+	.A(n_12895),
+	.B(n_12382),
+	.C(n_11852),
+	.D(n_12081),
+	.Y(n_13042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324210 (
+	.A(n_12746),
+	.B(n_12744),
+	.C(n_12226),
+	.D(n_12551),
+	.Y(n_13041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324211 (
+	.A(n_12815),
+	.B(n_12547),
+	.C(n_12218),
+	.D(n_12546),
+	.Y(n_13040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324212 (
+	.A(n_12893),
+	.B(n_12364),
+	.C(n_11702),
+	.D(n_12061),
+	.Y(n_13039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324213 (
+	.A(n_12894),
+	.B(n_12367),
+	.C(n_11832),
+	.D(n_12062),
+	.Y(n_13038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324214 (
+	.A(n_12891),
+	.B(n_12351),
+	.C(n_11893),
+	.D(n_12041),
+	.Y(n_13037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324215 (
+	.A(n_12799),
+	.B(n_12946),
+	.Y(n_13036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324216 (
+	.A(n_12725),
+	.B(n_12723),
+	.C(n_12195),
+	.D(n_12507),
+	.Y(n_13035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324217 (
+	.A(n_12814),
+	.B(n_12582),
+	.C(n_12205),
+	.D(n_12509),
+	.Y(n_13034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324218 (
+	.A(n_12829),
+	.B(n_12963),
+	.Y(n_13033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324219 (
+	.A(n_12880),
+	.B(n_12280),
+	.C(n_11692),
+	.D(n_11962),
+	.Y(n_13032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324220 (
+	.A(n_12890),
+	.B(n_12336),
+	.C(n_11738),
+	.D(n_12022),
+	.Y(n_13031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324221 (
+	.A(n_12889),
+	.B(n_12334),
+	.C(n_11796),
+	.D(n_12021),
+	.Y(n_13030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324222 (
+	.A(n_12717),
+	.B(n_12716),
+	.C(n_12167),
+	.D(n_12473),
+	.Y(n_13029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324224 (
+	.A(n_12713),
+	.B(n_12712),
+	.C(n_12158),
+	.D(n_12459),
+	.Y(n_13027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324225 (
+	.A(n_12886),
+	.B(n_12313),
+	.C(n_11732),
+	.D(n_11993),
+	.Y(n_13026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324226 (
+	.A(n_12451),
+	.B(FE_COEN4332_n_12885),
+	.C(n_12153),
+	.D(n_12450),
+	.Y(n_13025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324227 (
+	.A(n_12810),
+	.B(n_12448),
+	.C(n_12151),
+	.D(n_12446),
+	.Y(n_13024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324228 (
+	.A(n_12884),
+	.B(n_12302),
+	.C(n_11721),
+	.D(n_11983),
+	.Y(n_13023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324229 (
+	.A(n_12809),
+	.B(n_12441),
+	.C(n_12145),
+	.D(n_12440),
+	.Y(n_13022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324230 (
+	.A(n_12883),
+	.B(n_12438),
+	.C(n_12142),
+	.D(n_12436),
+	.Y(n_13021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324231 (
+	.A(n_12702),
+	.B(n_12700),
+	.C(n_12144),
+	.D(n_12439),
+	.Y(n_13020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324232 (
+	.A(n_12882),
+	.B(n_12294),
+	.C(n_11703),
+	.D(n_11970),
+	.Y(n_13019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324233 (
+	.A(FE_PSN4430_n_12881),
+	.B(n_12293),
+	.C(n_11704),
+	.D(n_11971),
+	.Y(n_13018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_4 g324234 (
+	.A(FE_PSN4180_n_12808),
+	.B(n_12430),
+	.C(n_12139),
+	.D(n_12429),
+	.Y(n_13017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324235 (
+	.A(n_12807),
+	.B(n_12428),
+	.C(n_12138),
+	.D(n_12427),
+	.Y(n_13016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324236 (
+	.A(n_12806),
+	.B(n_12426),
+	.C(n_12137),
+	.D(n_12424),
+	.Y(n_13015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324237 (
+	.A(n_12722),
+	.B(n_12721),
+	.C(n_12187),
+	.D(n_12497),
+	.Y(n_13014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324238 (
+	.A(n_12689),
+	.B(n_12688),
+	.C(n_12130),
+	.D(n_12416),
+	.Y(n_13013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324239 (
+	.A(n_12878),
+	.B(n_12272),
+	.C(n_11681),
+	.D(n_11951),
+	.Y(n_13012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324240 (
+	.A(FE_PSN4353_n_12877),
+	.B(n_12271),
+	.C(n_11682),
+	.D(n_11952),
+	.Y(n_13011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324241 (
+	.A(n_12879),
+	.B(n_12404),
+	.C(n_11888),
+	.D(n_12108),
+	.Y(n_13010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324242 (
+	.A(n_12770),
+	.B(n_12767),
+	.C(n_12254),
+	.D(n_12598),
+	.Y(n_13009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324243 (
+	.A1(FE_OFN1588_n_15860),
+	.A2(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20),
+	.B1(n_12992),
+	.Y(n_13008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324244 (
+	.A(n_12719),
+	.B(n_12477),
+	.C(n_11785),
+	.D(n_12479),
+	.Y(n_13007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324245 (
+	.A(n_12710),
+	.B(n_12455),
+	.C(n_11735),
+	.D(n_12457),
+	.Y(n_13006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_4 g324246 (
+	.A(n_15948),
+	.B(n_12870),
+	.C(n_12805),
+	.Y(n_13005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324247 (
+	.A(n_12868),
+	.B(n_12845),
+	.Y(n_13004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g324249 (
+	.A(n_12528),
+	.B(n_12684),
+	.C(n_12526),
+	.X(n_13002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324250 (
+	.A(n_12685),
+	.B(n_12920),
+	.Y(n_13001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324251 (
+	.A1(FE_PSN4814_FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.C1(n_12855),
+	.Y(n_13000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324252 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.C1(n_12851),
+	.Y(n_12999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324253 (
+	.A(n_12516),
+	.B(n_12513),
+	.C(n_12200),
+	.D(n_12198),
+	.Y(n_12998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324254 (
+	.A(n_12467),
+	.B(n_12463),
+	.C(n_12163),
+	.D(n_12161),
+	.Y(n_12997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324255 (
+	.A(n_12495),
+	.B(n_12493),
+	.C(n_12184),
+	.Y(n_12996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324257 (
+	.A(n_12580),
+	.B(n_12094),
+	.C(n_11868),
+	.D(n_12243),
+	.Y(n_12995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324258 (
+	.A(n_12559),
+	.B(n_12120),
+	.C(n_11847),
+	.D(n_12230),
+	.Y(n_12994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324259 (
+	.A(n_12555),
+	.B(n_12124),
+	.C(n_11845),
+	.D(n_12227),
+	.Y(n_12993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324260 (
+	.A(n_12548),
+	.B(n_12221),
+	.C(n_11839),
+	.D(n_12073),
+	.Y(n_12992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324261 (
+	.A(n_12535),
+	.B(n_12055),
+	.C(n_11824),
+	.D(n_12211),
+	.Y(n_12991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324262 (
+	.A(n_12529),
+	.B(n_12208),
+	.C(n_11817),
+	.D(n_12051),
+	.Y(n_12990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324263 (
+	.A(n_12522),
+	.B(n_12044),
+	.C(n_11853),
+	.D(n_12203),
+	.Y(n_12989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324264 (
+	.A(n_12515),
+	.B(n_12040),
+	.C(n_11809),
+	.D(n_12675),
+	.Y(n_12988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324265 (
+	.A(n_12487),
+	.B(n_12179),
+	.C(n_11842),
+	.D(n_12020),
+	.Y(n_12987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324266 (
+	.A(n_12484),
+	.B(n_12016),
+	.C(n_11786),
+	.D(n_12175),
+	.Y(n_12986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324267 (
+	.A(n_12483),
+	.B(n_12176),
+	.C(n_11788),
+	.D(n_12018),
+	.Y(n_12985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324268 (
+	.A(FE_COEN4706_n_12665),
+	.B(n_12008),
+	.C(n_11772),
+	.D(n_12166),
+	.Y(n_12984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324269 (
+	.A(n_12472),
+	.B(n_12002),
+	.C(n_11875),
+	.D(n_12165),
+	.Y(n_12983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324270 (
+	.A(n_12453),
+	.B(FE_COEN4710_n_11995),
+	.C(n_11728),
+	.D(n_12155),
+	.Y(n_12982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324271 (
+	.A(n_11919),
+	.B(n_11918),
+	.C(n_12321),
+	.D(n_11917),
+	.Y(n_12981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324272 (
+	.A(n_12804),
+	.B(n_12406),
+	.C(n_11887),
+	.D(n_12112),
+	.Y(n_12980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324273 (
+	.A(n_12803),
+	.B(n_12401),
+	.C(n_11881),
+	.D(n_12106),
+	.Y(n_12979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324274 (
+	.A(n_12802),
+	.B(n_12392),
+	.C(n_11869),
+	.D(FE_PSN4172_n_12095),
+	.Y(n_12978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324275 (
+	.A(n_12801),
+	.B(n_12387),
+	.C(n_11865),
+	.D(n_12090),
+	.Y(n_12977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324276 (
+	.A(n_12800),
+	.B(n_12386),
+	.C(n_11860),
+	.D(n_12085),
+	.Y(n_12976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324277 (
+	.A(n_12798),
+	.B(n_12381),
+	.C(n_11674),
+	.D(n_12255),
+	.Y(n_12975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324278 (
+	.A(n_12838),
+	.B(n_12383),
+	.C(n_11851),
+	.D(n_12080),
+	.Y(n_12974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324279 (
+	.A(n_12797),
+	.B(n_12380),
+	.C(n_11846),
+	.D(n_12123),
+	.Y(n_12973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324280 (
+	.A(n_12796),
+	.B(n_12372),
+	.C(n_11835),
+	.D(n_12071),
+	.Y(n_12972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324281 (
+	.A(FE_COEN4333_n_12741),
+	.B(n_12370),
+	.C(n_11834),
+	.D(n_12069),
+	.Y(n_12971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324282 (
+	.A(n_12795),
+	.B(n_12361),
+	.C(n_11825),
+	.D(n_12056),
+	.Y(n_12970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324283 (
+	.A(n_12793),
+	.B(n_12357),
+	.C(n_11710),
+	.D(n_12050),
+	.Y(n_12969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324284 (
+	.A(n_12726),
+	.B(n_12354),
+	.C(n_11816),
+	.D(n_12047),
+	.Y(n_12968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324285 (
+	.A(n_12792),
+	.B(n_12352),
+	.C(n_11814),
+	.D(n_12046),
+	.Y(n_12967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324286 (
+	.A(n_12731),
+	.B(n_12353),
+	.C(n_11815),
+	.D(n_12048),
+	.Y(n_12966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324287 (
+	.A(n_15952),
+	.B(n_12342),
+	.C(n_11805),
+	.D(n_12035),
+	.Y(n_12965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324288 (
+	.A(n_12790),
+	.B(n_12338),
+	.C(n_11801),
+	.D(n_12030),
+	.Y(n_12964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324289 (
+	.A(n_15961),
+	.B(n_12629),
+	.C(n_12189),
+	.D(n_12498),
+	.Y(n_12963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324290 (
+	.A(n_12785),
+	.B(n_12282),
+	.C(n_11677),
+	.D(n_11947),
+	.Y(n_12962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324291 (
+	.A(n_12786),
+	.B(n_12316),
+	.C(n_11736),
+	.D(n_11998),
+	.Y(n_12961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324292 (
+	.A(n_12708),
+	.B(n_12315),
+	.C(n_11731),
+	.D(n_11990),
+	.Y(n_12960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324293 (
+	.A(n_12779),
+	.B(n_12307),
+	.C(n_11726),
+	.D(n_11988),
+	.Y(n_12959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324294 (
+	.A(n_12308),
+	.B(n_12780),
+	.C(n_11727),
+	.D(n_11989),
+	.Y(n_12958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324295 (
+	.A(n_12707),
+	.B(n_12306),
+	.C(n_11723),
+	.D(n_11984),
+	.Y(n_12957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324296 (
+	.A(n_12778),
+	.B(n_12298),
+	.C(n_11716),
+	.D(n_11980),
+	.Y(n_12956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324297 (
+	.A(n_12699),
+	.B(n_12295),
+	.C(n_11708),
+	.D(n_11973),
+	.Y(n_12955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324298 (
+	.A(FE_COEN4325_n_12777),
+	.B(n_12288),
+	.C(n_11701),
+	.D(n_11969),
+	.Y(n_12954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324299 (
+	.A(n_12776),
+	.B(n_12285),
+	.C(n_11697),
+	.D(n_11966),
+	.Y(n_12953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324300 (
+	.A(n_12695),
+	.B(n_12284),
+	.C(n_11696),
+	.D(n_11965),
+	.Y(n_12952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324301 (
+	.A(n_12775),
+	.B(n_12279),
+	.C(n_11689),
+	.D(n_11959),
+	.Y(n_12951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324302 (
+	.A(n_12691),
+	.B(n_12276),
+	.C(n_11688),
+	.D(n_11958),
+	.Y(n_12950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324303 (
+	.A(n_12774),
+	.B(n_12274),
+	.C(n_11687),
+	.D(n_11957),
+	.Y(n_12949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324304 (
+	.A(n_12773),
+	.B(n_12266),
+	.C(n_11673),
+	.D(n_11946),
+	.Y(n_12948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g324305 (
+	.A1(n_12122),
+	.A2(FE_PSN4471_n_12261),
+	.B1(n_12683),
+	.Y(n_12947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g324306 (
+	.A(n_12727),
+	.B(n_12347),
+	.C(n_11744),
+	.D(n_12036),
+	.Y(n_12946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324307 (
+	.A(n_12595),
+	.B(FE_PSN4358_n_12596),
+	.C(n_12252),
+	.Y(n_12945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324308 (
+	.A(n_12599),
+	.B(n_12597),
+	.C(n_12253),
+	.Y(n_12944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324309 (
+	.A(n_12591),
+	.B(n_12593),
+	.C(n_12250),
+	.Y(n_12943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324310 (
+	.A(n_12589),
+	.B(n_12588),
+	.C(n_12247),
+	.Y(n_12942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324311 (
+	.A(n_12585),
+	.B(n_12584),
+	.C(n_12246),
+	.Y(n_12941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324312 (
+	.A(n_12581),
+	.B(n_12579),
+	.C(n_12244),
+	.Y(n_12940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324313 (
+	.A(n_12578),
+	.B(n_12389),
+	.C(n_12242),
+	.D(n_12653),
+	.Y(n_12939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324314 (
+	.A(n_12574),
+	.B(n_12577),
+	.C(n_12240),
+	.Y(n_12938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324315 (
+	.A(n_12570),
+	.B(n_12565),
+	.C(n_12234),
+	.Y(n_12937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324316 (
+	.A(n_12569),
+	.B(n_12567),
+	.C(n_12236),
+	.Y(n_12936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324317 (
+	.A(n_12563),
+	.B(n_12562),
+	.C(n_12232),
+	.Y(n_12935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324318 (
+	.A(n_12556),
+	.B(FE_PSN4409_n_12557),
+	.C(n_12228),
+	.Y(n_12934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324319 (
+	.A(n_12549),
+	.B(n_12375),
+	.C(n_12223),
+	.D(n_12645),
+	.Y(n_12933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324320 (
+	.A(n_12544),
+	.B(n_12543),
+	.C(n_12216),
+	.Y(n_12932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324321 (
+	.A(n_12541),
+	.B(n_12542),
+	.C(n_12215),
+	.Y(n_12931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324322 (
+	.A(FE_PSN4630_n_12536),
+	.B(FE_PSN4407_n_12534),
+	.C(n_12213),
+	.Y(n_12930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324323 (
+	.A(n_12533),
+	.B(n_12360),
+	.C(n_12212),
+	.D(n_12640),
+	.Y(n_12929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324324 (
+	.A(n_12532),
+	.B(n_12530),
+	.C(n_12210),
+	.Y(n_12928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324325 (
+	.A(n_12525),
+	.B(n_12355),
+	.C(n_12207),
+	.D(n_12639),
+	.Y(n_12927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324326 (
+	.A(n_12523),
+	.B(n_12521),
+	.C(n_12204),
+	.Y(n_12926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324327 (
+	.A(n_12520),
+	.B(n_12518),
+	.C(n_12202),
+	.Y(n_12925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324328 (
+	.A(n_12517),
+	.B(n_12350),
+	.C(n_11938),
+	.D(n_12199),
+	.Y(n_12924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324329 (
+	.A(n_12512),
+	.B(n_12344),
+	.C(n_12197),
+	.D(n_12633),
+	.Y(n_12923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324330 (
+	.A(n_15960),
+	.B(n_12510),
+	.C(n_12196),
+	.Y(n_12922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324331 (
+	.A(n_12508),
+	.B(n_12505),
+	.C(n_12193),
+	.Y(n_12921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324332 (
+	.A(n_12506),
+	.B(n_12341),
+	.C(n_12192),
+	.D(n_12632),
+	.Y(n_12920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324333 (
+	.A(n_15959),
+	.B(n_12602),
+	.C(n_15977),
+	.D(n_15958),
+	.Y(n_12919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324334 (
+	.A(n_12492),
+	.B(n_12491),
+	.C(n_12183),
+	.Y(n_12918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324335 (
+	.A(n_12335),
+	.B(n_15963),
+	.C(n_12168),
+	.D(n_11931),
+	.Y(n_12917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324336 (
+	.A(n_15964),
+	.B(n_12333),
+	.C(n_12182),
+	.D(n_12624),
+	.Y(n_12916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324337 (
+	.A(n_15950),
+	.B(n_12718),
+	.Y(n_12915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324338 (
+	.A(n_12461),
+	.B(n_12458),
+	.C(n_12157),
+	.Y(n_12914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324339 (
+	.A(n_12454),
+	.B(n_12452),
+	.C(n_12154),
+	.Y(n_12913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324340 (
+	.A(n_12449),
+	.B(n_12309),
+	.C(n_12152),
+	.D(n_12616),
+	.Y(n_12912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324341 (
+	.A(n_12447),
+	.B(n_12538),
+	.C(n_12150),
+	.Y(n_12911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324342 (
+	.A(n_12443),
+	.B(n_12442),
+	.C(n_12146),
+	.Y(n_12910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324343 (
+	.A(n_12435),
+	.B(n_12434),
+	.C(n_12141),
+	.Y(n_12909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324344 (
+	.A(n_12431),
+	.B(n_12425),
+	.C(n_12136),
+	.Y(n_12908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324345 (
+	.A(n_12433),
+	.B(n_12432),
+	.C(n_12140),
+	.Y(n_12907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324346 (
+	.A(n_12422),
+	.B(n_12421),
+	.C(n_12134),
+	.Y(n_12906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324347 (
+	.A(n_12420),
+	.B(n_12277),
+	.C(n_12133),
+	.D(n_12609),
+	.Y(n_12905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324348 (
+	.A(FE_PSN4778_n_12419),
+	.B(n_12417),
+	.C(n_12131),
+	.Y(n_12904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324349 (
+	.A(n_12415),
+	.B(n_12414),
+	.C(n_12129),
+	.Y(n_12903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324350 (
+	.A(FE_PSN4776_n_12413),
+	.B(FE_PSN4816_n_12412),
+	.C(n_12128),
+	.Y(n_12902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324351 (
+	.A(n_12411),
+	.B(n_12268),
+	.C(n_12127),
+	.D(n_12605),
+	.Y(n_12901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324352 (
+	.A(n_12410),
+	.B(n_12267),
+	.C(n_12126),
+	.D(n_12604),
+	.Y(n_12900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324353 (
+	.A(n_12408),
+	.B(FE_COEN4268_n_12409),
+	.C(n_12125),
+	.Y(n_12899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324354 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.Y(n_12898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324355 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.Y(n_12897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324356 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.A2(FE_PSN4644_FE_OFN1079_n_12256),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.C1(n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.Y(n_12896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324357 (
+	.A1(FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.Y(n_12895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324358 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.Y(n_12894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324359 (
+	.A1(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.Y(n_12893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g324360 (
+	.A(n_12794),
+	.B(n_12531),
+	.C(n_11939),
+	.X(n_12892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324361 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.A2(FE_OFN18549_n_12256),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.C1(FE_OFN18587_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.Y(n_12891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324362 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.A2(FE_PSN4644_FE_OFN1079_n_12256),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.C1(n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.Y(n_12890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324363 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.Y(n_12889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324364 (
+	.A(n_12603),
+	.B(n_12379),
+	.C(n_11787),
+	.D(n_12017),
+	.Y(n_12888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g324365 (
+	.A(n_15954),
+	.B(n_12325),
+	.C(n_15970),
+	.X(n_12887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324366 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.A2(FE_OFN18549_n_12256),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.C1(n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.Y(n_12886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324367 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.Y(n_12885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324368 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.Y(n_12884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324369 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.A2(FE_OFN18549_n_12256),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.C1(FE_OFN18587_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.Y(n_12883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324370 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.Y(n_12882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324371 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.A2(FE_OFN18549_n_12256),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.C1(FE_OFN18587_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.Y(n_12881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324372 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.A2(FE_OFN18549_n_12256),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.C1(FE_OFN18587_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.Y(n_12880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324373 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.Y(n_12879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324374 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.A2(FE_OFN18549_n_12256),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.C1(FE_OFN18587_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.Y(n_12878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324375 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.A2(FE_OFN1079_n_12256),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.C1(FE_OFN18586_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.Y(n_12877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324376 (
+	.A(n_12586),
+	.B(n_12587),
+	.C(n_12681),
+	.D(n_12248),
+	.Y(n_12876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324377 (
+	.A(n_12573),
+	.B(n_12572),
+	.C(n_12680),
+	.D(n_12239),
+	.Y(n_12875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g324378 (
+	.A(n_12561),
+	.B(n_12560),
+	.C(n_12231),
+	.D(n_12679),
+	.X(n_12874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324379 (
+	.A(n_12377),
+	.B(FE_PSN4349_n_12550),
+	.C(n_12678),
+	.Y(n_12873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324380 (
+	.A(n_12540),
+	.B(n_12539),
+	.C(n_12676),
+	.D(n_12214),
+	.Y(n_12872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324381 (
+	.A(n_12502),
+	.B(n_12501),
+	.C(n_12674),
+	.D(n_12191),
+	.Y(n_12871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324382 (
+	.A(n_15962),
+	.B(n_12186),
+	.C(n_12188),
+	.Y(n_12870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324383 (
+	.A(n_15957),
+	.B(n_15949),
+	.Y(n_12869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324384 (
+	.A(n_15965),
+	.B(n_12180),
+	.C(n_12181),
+	.Y(n_12868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324385 (
+	.A(n_15967),
+	.B(n_15968),
+	.C(n_12177),
+	.D(n_12178),
+	.Y(n_12867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324386 (
+	.A(n_15969),
+	.B(n_15983),
+	.C(n_11675),
+	.D(n_12671),
+	.Y(n_12866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324387 (
+	.A(n_15971),
+	.B(n_15985),
+	.C(n_11781),
+	.D(n_12677),
+	.Y(n_12865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324388 (
+	.A(n_12469),
+	.B(n_12464),
+	.C(n_12669),
+	.D(n_12164),
+	.Y(n_12864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324389 (
+	.A(n_15972),
+	.B(n_15973),
+	.C(n_12245),
+	.D(n_12219),
+	.Y(n_12863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324390 (
+	.A(n_12456),
+	.B(n_11996),
+	.C(n_11798),
+	.D(n_12156),
+	.Y(n_12862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324391 (
+	.A(n_12444),
+	.B(n_11987),
+	.C(n_11725),
+	.D(n_12147),
+	.Y(n_12861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324392 (
+	.A1(FE_PSN4425_n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.B1(FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.C1(n_12769),
+	.Y(n_12860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324393 (
+	.A(n_12403),
+	.B(n_12402),
+	.C(n_11880),
+	.D(n_12104),
+	.Y(n_12859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324394 (
+	.A(n_12397),
+	.B(n_12396),
+	.C(n_11872),
+	.D(n_12097),
+	.Y(n_12858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_4 g324395 (
+	.A1(FE_OFN1120_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.C1(n_12720),
+	.Y(n_12857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324396 (
+	.A(n_12390),
+	.B(n_12388),
+	.C(n_11866),
+	.D(n_12091),
+	.Y(n_12856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324397 (
+	.A(n_12564),
+	.B(n_11941),
+	.C(n_12233),
+	.Y(n_12855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_4 g324398 (
+	.A1(n_11757),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.B1(FE_PSN4647_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.C1(n_12751),
+	.Y(n_12854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324399 (
+	.A1(FE_OFN18475_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.B1(FE_OFN18642_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.C1(n_12750),
+	.Y(n_12853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324400 (
+	.A1(FE_OFN18586_n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.C1(n_12747),
+	.Y(n_12852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324401 (
+	.A(n_12553),
+	.B(n_11895),
+	.C(n_12224),
+	.Y(n_12851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324402 (
+	.A1(n_11757),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.B1(FE_PSN4647_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.C1(n_12738),
+	.Y(n_12850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324403 (
+	.A(n_12365),
+	.B(n_12363),
+	.C(n_11705),
+	.D(FE_COEN4709_n_12063),
+	.Y(n_12849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_4 g324404 (
+	.A1(n_11757),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.C1(n_12734),
+	.Y(n_12848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324405 (
+	.A1(FE_OFN18475_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.B1(FE_OFN18642_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.C1(n_12733),
+	.Y(n_12847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324406 (
+	.A(n_12346),
+	.B(n_12345),
+	.C(n_11804),
+	.D(n_12034),
+	.Y(n_12846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324407 (
+	.A(n_12332),
+	.B(n_12019),
+	.C(n_11791),
+	.D(n_15966),
+	.Y(n_12845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324408 (
+	.A(n_12331),
+	.B(n_12329),
+	.C(n_11818),
+	.D(n_12011),
+	.Y(n_12844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324409 (
+	.A(n_12328),
+	.B(n_12327),
+	.C(n_11783),
+	.D(n_12010),
+	.Y(n_12843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324410 (
+	.A(n_12317),
+	.B(n_12318),
+	.C(n_11743),
+	.D(n_12005),
+	.Y(n_12842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324411 (
+	.A(n_12304),
+	.B(n_12305),
+	.C(n_11724),
+	.D(n_11986),
+	.Y(n_12841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324414 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.Y(n_12838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324415 (
+	.A(n_12504),
+	.B(n_12340),
+	.Y(n_12837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324416 (
+	.A1(FE_OFN18589_n_11757),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.B1(FE_OFN18475_n_11756),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.C1(n_11944),
+	.Y(n_12836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g324417 (
+	.A1(FE_OFN18640_n_15996),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.B1_N(n_12558),
+	.Y(n_12835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324418 (
+	.A(n_12545),
+	.B(n_12217),
+	.Y(n_12834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324419 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.B1(FE_OFN18587_n_11762),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.C1(n_11940),
+	.Y(n_12833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g324420 (
+	.A1(FE_OFN1120_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.B1_N(n_12537),
+	.Y(n_12832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324421 (
+	.A(n_12527),
+	.B(n_12209),
+	.Y(n_12831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324422 (
+	.A(n_12524),
+	.B(n_12206),
+	.Y(n_12830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324423 (
+	.A(n_12500),
+	.B(n_12190),
+	.Y(n_12829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324426 (
+	.A(n_12480),
+	.B(n_12174),
+	.Y(n_12826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324427 (
+	.A(n_12474),
+	.B(n_12172),
+	.Y(n_12825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324430 (
+	.A(n_12460),
+	.B(n_12159),
+	.Y(n_12822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324431 (
+	.A(n_12445),
+	.B(n_12148),
+	.Y(n_12821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324433 (
+	.A(n_12437),
+	.B(n_12143),
+	.Y(n_12819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324434 (
+	.A(n_12423),
+	.B(n_12135),
+	.Y(n_12818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324435 (
+	.A(n_12418),
+	.B(n_12132),
+	.Y(n_12817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324436 (
+	.A1(FE_OFN1120_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.C1(n_12391),
+	.Y(n_12816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324437 (
+	.A1(FE_PSN4390_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.B1(n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.C1(n_15976),
+	.Y(n_12815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324438 (
+	.A1(FE_PSN4170_FE_OFN18454_n_15997),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.C1(n_12292),
+	.Y(n_12814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g324441 (
+	.A1(FE_OFN18381_n_11769),
+	.A2(n_12257),
+	.B1(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.Y(n_12811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324442 (
+	.A1(FE_OFN18454_n_15997),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.C1(n_12400),
+	.Y(n_12810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_4 g324444 (
+	.A1(FE_OFN1120_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.C1(n_12291),
+	.Y(n_12808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324445 (
+	.A1(FE_PSN4390_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.C1(n_12290),
+	.Y(n_12807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324446 (
+	.A1(FE_PSN4134_FE_OFN18552_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.C1(n_12289),
+	.Y(n_12806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324447 (
+	.A(n_11932),
+	.B(n_11933),
+	.C(n_11773),
+	.D(n_15981),
+	.Y(n_12805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324448 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.Y(n_12804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324449 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.A2(FE_OFN18471_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.Y(n_12803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324450 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.A2(FE_OFN18471_n_15860),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.Y(n_12802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324451 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.Y(n_12801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324452 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.A2(FE_PSN4374_n_15860),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.C1(FE_PSN4390_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.Y(n_12800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324453 (
+	.A(n_12514),
+	.B(n_12349),
+	.Y(n_12799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324454 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.A2(FE_OFN18471_n_15860),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.Y(n_12798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324455 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.C1(FE_OFN1120_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.Y(n_12797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324456 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.A2(FE_PSN4374_n_15860),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.Y(n_12796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324458 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.A2(FE_OFN18559_n_15861),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.C1(FE_OFN18587_n_11762),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.Y(n_12794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324459 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.A2(FE_OFN18554_n_15860),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.C1(FE_PSN4390_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.Y(n_12793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324460 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.A2(n_15860),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.Y(n_12792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324462 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.Y(n_12790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_2 g324464 (
+	.A1(n_11140),
+	.A2(FE_COEN4680_n_11447),
+	.A3(n_11446),
+	.A4(n_11443),
+	.B1(n_12672),
+	.Y(n_12788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324466 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.Y(n_12786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324467 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.Y(n_12785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324468 (
+	.A1(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.A2(n_11771),
+	.B1(n_15862),
+	.B2(u_soc_u_top_u_core_pc_id[4]),
+	.C1(n_16003),
+	.C2(u_soc_u_top_u_core_lsu_addr_last[4]),
+	.Y(n_12784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324469 (
+	.A1(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18),
+	.A2(n_11771),
+	.B1(n_15862),
+	.B2(u_soc_u_top_u_core_pc_id[3]),
+	.C1(n_16003),
+	.C2(u_soc_u_top_u_core_lsu_addr_last[3]),
+	.Y(n_12783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324470 (
+	.A1(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17),
+	.A2(n_11771),
+	.B1(n_15862),
+	.B2(u_soc_u_top_u_core_pc_id[2]),
+	.C1(n_16003),
+	.C2(u_soc_u_top_u_core_lsu_addr_last[2]),
+	.Y(n_12782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324471 (
+	.A1(n_16003),
+	.A2(u_soc_u_top_u_core_lsu_addr_last[1]),
+	.B1(n_12311),
+	.Y(n_12781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324472 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.A2(FE_OFN18471_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.C1(FE_OFN1120_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.Y(n_12780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324473 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.Y(n_12779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324474 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.A2(FE_OFN18554_n_15860),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.C1(FE_PSN4390_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.Y(n_12778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324475 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.A2(FE_OFN18554_n_15860),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.Y(n_12777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324476 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.A2(FE_OFN18554_n_15860),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.Y(n_12776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324477 (
+	.A1(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28),
+	.A2(FE_OFN1588_n_15860),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.Y(n_12775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324478 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.A2(FE_OFN18471_n_15860),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.C1(FE_OFN1120_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.Y(n_12774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324479 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.A2(FE_OFN18471_n_15860),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.Y(n_12773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324480 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.C1(n_12663),
+	.Y(n_12772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324481 (
+	.A1(FE_OFN18570_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.B1(FE_OFN18604_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.C1(n_12662),
+	.Y(n_12771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324482 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.C1(n_12661),
+	.Y(n_12770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324483 (
+	.A(n_12405),
+	.B(n_12111),
+	.C(n_11886),
+	.Y(n_12769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324484 (
+	.A1(FE_PSN4838_FE_PSBN19868_n_16000),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.B1(FE_OFN1076_n_11754),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.C1(n_12265),
+	.Y(n_12768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324485 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.C1(n_12660),
+	.Y(n_12767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324486 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.C1(n_12659),
+	.Y(n_12766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324487 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.C1(n_12658),
+	.Y(n_12765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324488 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.C1(n_12657),
+	.Y(n_12764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324489 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.C1(n_12656),
+	.Y(n_12763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324490 (
+	.A1(n_11563),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.B1(FE_OFN18600_n_15998),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.C1(n_12264),
+	.Y(n_12762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324491 (
+	.A(n_12395),
+	.B(n_12096),
+	.C(n_11871),
+	.Y(n_12761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324493 (
+	.A(FE_PSN4858_n_15982),
+	.B(n_12330),
+	.C(n_11843),
+	.Y(n_12759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324494 (
+	.A(n_12393),
+	.B(n_12087),
+	.C(n_11854),
+	.Y(n_12758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324495 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.C1(n_12655),
+	.Y(n_12757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324497 (
+	.A1(n_11753),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.C1(n_12652),
+	.Y(n_12755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324498 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.C1(n_12651),
+	.Y(n_12754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324499 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.C1(n_12650),
+	.Y(n_12753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324500 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.C1(n_12649),
+	.Y(n_12752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324501 (
+	.A(n_12385),
+	.B(n_12083),
+	.C(n_11857),
+	.Y(n_12751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324502 (
+	.A(n_12384),
+	.B(n_12082),
+	.C(n_11855),
+	.Y(n_12750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324503 (
+	.A1(FE_PSN4432_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.C1(n_12648),
+	.Y(n_12749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324504 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.C1(n_12647),
+	.Y(n_12748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324505 (
+	.A(n_12378),
+	.B(n_11985),
+	.C(n_11844),
+	.Y(n_12747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324506 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.C1(n_12646),
+	.Y(n_12746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324507 (
+	.A(n_12374),
+	.B(n_12070),
+	.C(n_11836),
+	.Y(n_12745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324508 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.C1(n_12644),
+	.Y(n_12744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324509 (
+	.A(n_12376),
+	.B(n_12052),
+	.C(n_11826),
+	.Y(n_12743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324510 (
+	.A(n_12371),
+	.B(FE_PSN4784_n_12068),
+	.C(n_11838),
+	.Y(n_12742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324511 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.C1(n_12643),
+	.Y(n_12741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324512 (
+	.A(n_12369),
+	.B(FE_PSN4183_n_12067),
+	.C(n_11833),
+	.Y(n_12740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324513 (
+	.A(n_12368),
+	.B(n_12059),
+	.C(n_11830),
+	.Y(n_12739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324514 (
+	.A(n_12366),
+	.B(n_12064),
+	.C(n_11676),
+	.Y(n_12738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324515 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.C1(n_12642),
+	.Y(n_12737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324516 (
+	.A(n_12362),
+	.B(n_12057),
+	.C(n_11827),
+	.Y(n_12736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_4 g324517 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.C1(n_12641),
+	.Y(n_12735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324518 (
+	.A(n_12359),
+	.B(n_15978),
+	.C(n_11822),
+	.Y(n_12734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324519 (
+	.A(n_12358),
+	.B(n_15979),
+	.C(n_11819),
+	.Y(n_12733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324520 (
+	.A(n_12356),
+	.B(n_12049),
+	.C(n_11714),
+	.Y(n_12732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324521 (
+	.A1(FE_OFN18570_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.B1(FE_OFN18604_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.C1(n_12638),
+	.Y(n_12731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324522 (
+	.A1(n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.C1(n_15955),
+	.Y(n_12730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324523 (
+	.A1(FE_PSN4836_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.C1(n_12635),
+	.Y(n_12729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324524 (
+	.A(n_12348),
+	.B(n_12037),
+	.C(n_11807),
+	.Y(n_12728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_4 g324525 (
+	.A1(FE_PSN4406_n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.B1(n_15956),
+	.Y(n_12727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324526 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.C1(n_12636),
+	.Y(n_12726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324527 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.C1(n_12631),
+	.Y(n_12725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g324528 (
+	.A1(FE_OFN18549_n_12256),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.B1(n_12630),
+	.Y(n_12724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324529 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.C1(n_12628),
+	.Y(n_12723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324530 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.C1(n_12627),
+	.Y(n_12722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324531 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.C1(n_12626),
+	.Y(n_12721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324532 (
+	.A(n_12394),
+	.B(n_12098),
+	.C(n_11873),
+	.Y(n_12720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324533 (
+	.A1(n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.B1(n_11518),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.C1(n_12079),
+	.Y(n_12719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324534 (
+	.A(n_12324),
+	.B(n_15984),
+	.C(n_11856),
+	.Y(n_12718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324535 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.C1(n_12623),
+	.Y(n_12717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324536 (
+	.A1(FE_PSBN19875_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.B1(FE_PSN4632_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.C1(n_12621),
+	.Y(n_12716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324537 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.C1(n_12620),
+	.Y(n_12715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324538 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.C1(n_12619),
+	.Y(n_12714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324539 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.C1(n_12618),
+	.Y(n_12713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324540 (
+	.A1(FE_OFN18570_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.B1(FE_OFN18604_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.C1(n_12617),
+	.Y(n_12712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324541 (
+	.A(n_12314),
+	.B(n_11994),
+	.C(n_11733),
+	.Y(n_12711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324542 (
+	.A1(FE_OFN18551_n_11518),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.B1(FE_PSN4422_n_11763),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.C1(n_12077),
+	.Y(n_12710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324543 (
+	.A(n_12312),
+	.B(n_11991),
+	.C(n_11831),
+	.Y(n_12709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324544 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.C1(n_12614),
+	.Y(n_12708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324545 (
+	.A1(FE_OFN18570_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.B1(FE_OFN18604_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.C1(n_12615),
+	.Y(n_12707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324546 (
+	.A(n_12303),
+	.B(n_12076),
+	.C(n_11722),
+	.Y(n_12706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324547 (
+	.A(n_12301),
+	.B(n_11981),
+	.C(n_11719),
+	.Y(n_12705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324548 (
+	.A(n_12299),
+	.B(n_11979),
+	.C(n_11717),
+	.Y(n_12704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324549 (
+	.A(n_12297),
+	.B(n_11978),
+	.C(n_11713),
+	.Y(n_12703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324550 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.C1(n_12613),
+	.Y(n_12702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324551 (
+	.A(n_12296),
+	.B(n_11975),
+	.C(n_11711),
+	.Y(n_12701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324552 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.C1(n_12612),
+	.Y(n_12700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324554 (
+	.A(n_12287),
+	.B(n_11968),
+	.C(n_11700),
+	.Y(n_12698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324555 (
+	.A(n_12286),
+	.B(n_11967),
+	.C(n_11698),
+	.Y(n_12697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324556 (
+	.A(n_12283),
+	.B(n_11963),
+	.C(n_11694),
+	.Y(n_12696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324557 (
+	.A1(FE_OFN18570_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.B1(FE_OFN18604_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.C1(n_12610),
+	.Y(n_12695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324558 (
+	.A(n_12281),
+	.B(n_11961),
+	.C(n_11691),
+	.Y(n_12694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324559 (
+	.A(n_12278),
+	.B(n_11960),
+	.C(n_11690),
+	.Y(n_12693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324560 (
+	.A(n_12275),
+	.B(n_11955),
+	.C(n_11685),
+	.Y(n_12692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324561 (
+	.A1(FE_OFN18570_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.B1(FE_OFN18604_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.C1(n_12608),
+	.Y(n_12691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324562 (
+	.A(n_12273),
+	.B(n_11950),
+	.C(n_11680),
+	.Y(n_12690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324564 (
+	.A1(FE_OFN1110_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.B1(FE_OFN1058_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.C1(n_12606),
+	.Y(n_12688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324565 (
+	.A(n_12270),
+	.B(n_11949),
+	.C(n_11679),
+	.Y(n_12687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g324566 (
+	.A(n_12269),
+	.B(n_11948),
+	.C(n_11678),
+	.Y(n_12686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g324567 (
+	.A(n_12033),
+	.B(n_11936),
+	.C(n_12194),
+	.Y(n_12685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g324568 (
+	.A1(FE_OFN18549_n_12256),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.B1(FE_OFN18537_n_15857),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.Y(n_12684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g324569 (
+	.A1(n_12263),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[9]),
+	.B1(n_12666),
+	.Y(n_12683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324571 (
+	.A(FE_OFN1079_n_12256),
+	.B(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28),
+	.Y(n_12681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324572 (
+	.A(FE_OFN18549_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_12680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324573 (
+	.A(FE_OFN18549_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_12679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324574 (
+	.A(FE_OFN1079_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.Y(n_12678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324575 (
+	.A(FE_PSN4783_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_12677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324576 (
+	.A(FE_OFN18549_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.Y(n_12676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324577 (
+	.A(FE_PSN4783_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.Y(n_12675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324578 (
+	.A(FE_OFN1079_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_12674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324579 (
+	.A(FE_PSN4783_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_12673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324580 (
+	.A(n_12263),
+	.B(u_soc_u_top_u_core_instr_rdata_id[8]),
+	.Y(n_12672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324581 (
+	.A(FE_PSN4783_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_12671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324583 (
+	.A(FE_OFN1079_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_12669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324584 (
+	.A(n_11945),
+	.B(u_soc_u_top_u_core_id_stage_i_rf_ren_a),
+	.Y(n_12668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324586 (
+	.A(FE_PSN4467_n_11020),
+	.B(n_12262),
+	.Y(n_12666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324587 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.A2(FE_OFN1068_n_11556),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.Y(n_12665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324588 (
+	.A(n_11018),
+	.B(n_12262),
+	.Y(n_12664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324589 (
+	.A(n_12116),
+	.B(n_11742),
+	.Y(n_12663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324590 (
+	.A(n_12115),
+	.B(n_11892),
+	.Y(n_12662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324591 (
+	.A(n_12114),
+	.B(n_11890),
+	.Y(n_12661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324592 (
+	.A(n_12110),
+	.B(n_11885),
+	.Y(n_12660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324593 (
+	.A(n_12107),
+	.B(n_11882),
+	.Y(n_12659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324594 (
+	.A(n_12105),
+	.B(n_11879),
+	.Y(n_12658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324595 (
+	.A(n_12103),
+	.B(n_11878),
+	.Y(n_12657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324596 (
+	.A(n_12102),
+	.B(n_11877),
+	.Y(n_12656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324597 (
+	.A(n_12093),
+	.B(n_11795),
+	.Y(n_12655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324598 (
+	.A(n_12092),
+	.B(n_11867),
+	.Y(n_12654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324599 (
+	.A1(FE_OFN1059_n_11480),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.B1(FE_OFN1063_n_11518),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.C1(n_11646),
+	.Y(n_12653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324600 (
+	.A(n_12089),
+	.B(n_11864),
+	.Y(n_12652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324601 (
+	.A(n_12088),
+	.B(n_11862),
+	.Y(n_12651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324602 (
+	.A(n_12086),
+	.B(n_11861),
+	.Y(n_12650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324603 (
+	.A(n_12084),
+	.B(n_11858),
+	.Y(n_12649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324604 (
+	.A(n_12117),
+	.B(n_11850),
+	.Y(n_12648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324605 (
+	.A(n_12118),
+	.B(n_11848),
+	.Y(n_12647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324606 (
+	.A(n_12074),
+	.B(n_11841),
+	.Y(n_12646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g324607 (
+	.A1(FE_OFN1066_n_11554),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.B1_N(n_12075),
+	.Y(n_12645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324608 (
+	.A(n_12072),
+	.B(n_11837),
+	.Y(n_12644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324609 (
+	.A(n_12066),
+	.B(n_11863),
+	.Y(n_12643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324610 (
+	.A(n_12060),
+	.B(n_11829),
+	.Y(n_12642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g324611 (
+	.A(n_12058),
+	.B(n_11828),
+	.Y(n_12641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324612 (
+	.A1(FE_PSN4361_n_11476),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.B1(FE_OFN18641_n_11563),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.C1(n_11648),
+	.Y(n_12640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_4 g324613 (
+	.A1(n_11563),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.B1(FE_PSN4635_n_11476),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.C1(n_11651),
+	.Y(n_12639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324614 (
+	.A(n_12045),
+	.B(n_11813),
+	.Y(n_12638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324616 (
+	.A(n_12039),
+	.B(n_11810),
+	.Y(n_12636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324617 (
+	.A(n_12042),
+	.B(n_11811),
+	.Y(n_12635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324619 (
+	.A1(FE_PSBN19868_n_16000),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.B1(FE_OFN18631_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.C1(n_11654),
+	.Y(n_12633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324620 (
+	.A1(FE_PSN4368_n_11553),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.B1(n_11471),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.C1(n_11655),
+	.Y(n_12632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324621 (
+	.A(n_12031),
+	.B(n_11820),
+	.Y(n_12631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324622 (
+	.A(n_12032),
+	.B(n_11883),
+	.Y(n_12630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g324623 (
+	.A1(n_11557),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.B1_N(n_15980),
+	.Y(n_12629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324624 (
+	.A(n_12029),
+	.B(n_11800),
+	.Y(n_12628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324625 (
+	.A(n_12027),
+	.B(n_11859),
+	.Y(n_12627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324626 (
+	.A(n_12025),
+	.B(n_11799),
+	.Y(n_12626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324628 (
+	.A1(FE_PSN4368_n_11553),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.B1(n_11471),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.C1(n_15993),
+	.Y(n_12624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324629 (
+	.A(n_12009),
+	.B(n_11777),
+	.Y(n_12623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324631 (
+	.A(n_12007),
+	.B(n_11780),
+	.Y(n_12621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324632 (
+	.A(n_12001),
+	.B(n_11740),
+	.Y(n_12620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324633 (
+	.A(FE_PSN4472_n_12000),
+	.B(n_11739),
+	.Y(n_12619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324634 (
+	.A(n_11999),
+	.B(n_11737),
+	.Y(n_12618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324635 (
+	.A(n_11997),
+	.B(n_11734),
+	.Y(n_12617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324636 (
+	.A1(FE_OFN18437_n_11563),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.B1(FE_OFN18493_n_11476),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.C1(n_11662),
+	.Y(n_12616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324637 (
+	.A(n_11982),
+	.B(n_11720),
+	.Y(n_12615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324638 (
+	.A(n_11977),
+	.B(n_11715),
+	.Y(n_12614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324639 (
+	.A(n_11976),
+	.B(n_11712),
+	.Y(n_12613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324640 (
+	.A(n_11974),
+	.B(n_11709),
+	.Y(n_12612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324641 (
+	.A(n_11972),
+	.B(n_11706),
+	.Y(n_12611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324642 (
+	.A(n_11964),
+	.B(n_11695),
+	.Y(n_12610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324643 (
+	.A1(FE_OFN18641_n_11563),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.B1(FE_OFN18494_n_11476),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.C1(n_11667),
+	.Y(n_12609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324644 (
+	.A(n_11956),
+	.B(n_11686),
+	.Y(n_12608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324645 (
+	.A(n_11954),
+	.B(n_11684),
+	.Y(n_12607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324646 (
+	.A(n_11953),
+	.B(n_11802),
+	.Y(n_12606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324647 (
+	.A1(FE_OFN1059_n_11480),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.B1(FE_OFN18551_n_11518),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.C1(n_11668),
+	.Y(n_12605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324648 (
+	.A1(FE_PSN4805_FE_PSBN19868_n_16000),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.B1(FE_OFN18631_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.C1(n_11671),
+	.Y(n_12604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324649 (
+	.A(n_11924),
+	.B(n_11925),
+	.Y(n_12603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g324650 (
+	.A(n_15988),
+	.B(n_17398),
+	.Y(n_12602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g324652 (
+	.A(n_11909),
+	.B(n_11908),
+	.Y(n_12600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324653 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.Y(n_12599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324654 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.C1(FE_OFN1077_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.Y(n_12598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324655 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.Y(n_12597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324656 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.Y(n_12596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324657 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.A2(FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.Y(n_12595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324658 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.A2(FE_OFN18483_n_11556),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.Y(n_12594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324659 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.Y(n_12593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324660 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.C1(FE_OFN18588_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.Y(n_12592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324661 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.Y(n_12591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324662 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.C1(FE_OFN18588_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.Y(n_12590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324663 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.Y(n_12589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324664 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.Y(n_12588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324665 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.C1(FE_COEN4306_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.Y(n_12587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324666 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.Y(n_12586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324667 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.C1(FE_OFN18553_n_15856),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.Y(n_12585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324668 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.A2(FE_PSN4485_FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.C1(FE_PSN4641_FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.Y(n_12584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324669 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.A2(n_11513),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.C1(n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.Y(n_12583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324670 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.Y(n_12582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324671 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.A2(FE_PSN4355_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.C1(FE_PSN4451_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.Y(n_12581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324672 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.A2(FE_OFN18483_n_11556),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.Y(n_12580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324673 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.A2(FE_PSN4357_n_11425),
+	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.C1(FE_PSN4259_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.Y(n_12579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324674 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.A2(FE_OFN18316_n_15999),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.Y(n_12578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324675 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.Y(n_12577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324676 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.C1(FE_OFN18475_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.Y(n_12576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324677 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.C1(n_11749),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.Y(n_12575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324678 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.Y(n_12574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324679 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.Y(n_12573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324680 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.C1(FE_OFN18543_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.Y(n_12572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324681 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.A2(n_11515),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.C1(FE_OFN18614_n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.Y(n_12571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324682 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.Y(n_12570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324683 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.A2(FE_PSN4355_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.C1(FE_PSN4451_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.Y(n_12569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324684 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.C1(FE_OFN18588_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.Y(n_12568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324685 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.A2(FE_PSN4357_n_11425),
+	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.C1(FE_PSN4259_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.Y(n_12567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324686 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.Y(n_12566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324687 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.Y(n_12565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324688 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.A2(FE_PSN4362_n_15872),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.C1(FE_OFN18506_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.Y(n_12564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324689 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.A2(FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.Y(n_12563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324690 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.Y(n_12562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324691 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.Y(n_12561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324692 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.C1(FE_OFN18543_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.Y(n_12560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324693 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.A2(FE_OFN18567_n_11513),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.Y(n_12559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324694 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.A2(FE_OFN18310_n_11515),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.Y(n_12558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324695 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.Y(n_12557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324696 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.Y(n_12556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324697 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.A2(FE_OFN18483_n_11556),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.Y(n_12555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324698 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.Y(n_12554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324699 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.A2(FE_OFN1115_n_15869),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.C1(FE_OFN18526_n_11553),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.Y(n_12553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324700 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.Y(n_12552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324701 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.C1(FE_OFN1077_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.Y(n_12551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324702 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.Y(n_12550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324703 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.A2(FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.Y(n_12549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324704 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.A2(FE_OFN1070_n_11558),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.Y(n_12548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324705 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.A2(FE_PSN4355_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.C1(FE_PSN4451_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.Y(n_12547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324706 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.A2(FE_PSN4357_n_11425),
+	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.C1(FE_PSN4259_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.Y(n_12546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324707 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.C1(FE_OFN1077_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.Y(n_12545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324708 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.A2(FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.Y(n_12544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324709 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.Y(n_12543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324710 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.Y(n_12542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324711 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.Y(n_12541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324712 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.A2(FE_PSN4651_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.Y(n_12540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324713 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.C1(FE_OFN18543_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.Y(n_12539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324714 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.Y(n_12538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324715 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.A2(FE_OFN18317_n_15999),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.C1(FE_OFN18614_n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.Y(n_12537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324716 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.Y(n_12536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324717 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.A2(FE_OFN18635_n),
+	.B1(FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.C1(FE_PSN4425_n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.Y(n_12535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324718 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.Y(n_12534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324719 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.A2(n_11515),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.Y(n_12533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324720 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.A2(FE_PSN4817_FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.C1(FE_OFN18623_n_11748),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.Y(n_12532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324721 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.A2(FE_OFN18585_n_15872),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.C1(FE_OFN18506_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.Y(n_12531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324722 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.Y(n_12530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324723 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.A2(FE_OFN1070_n_11558),
+	.B1(FE_OFN18316_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.Y(n_12529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324724 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.C1(FE_OFN18553_n_15856),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.Y(n_12528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324725 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.C1(FE_OFN18589_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.Y(n_12527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324726 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.C1(FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.Y(n_12526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324727 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.A2(n_15999),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.C1(n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.Y(n_12525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324728 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.C1(FE_OFN18475_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.Y(n_12524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324729 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.A2(FE_PSN4355_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.C1(n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.Y(n_12523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324730 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.A2(FE_OFN18483_n_11556),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.Y(n_12522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324731 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.A2(n_11425),
+	.B1(FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.C1(n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.Y(n_12521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324732 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.Y(n_12520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324733 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.A2(FE_PSN4667_n_11479),
+	.B1(FE_PSN4831_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.C1(FE_PSBN19876_n_15858),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.Y(n_12519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324734 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.A2(FE_PSN4649_FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.C1(FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.Y(n_12518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324735 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.A2(FE_OFN1118_n_15887),
+	.B1(FE_PSN4179_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.C1(FE_OFN18553_n_15856),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.Y(n_12517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324736 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.A2(FE_PSN4817_FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.C1(FE_OFN18623_n_11748),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.Y(n_12516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324737 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.C1(FE_PSBN19873_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.Y(n_12515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324738 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.A2(n_15999),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.C1(FE_PSN4390_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.Y(n_12514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324739 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.Y(n_12513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324740 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.A2(FE_OFN18310_n_11515),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.Y(n_12512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324742 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.A2(FE_PSN4357_n_11425),
+	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.C1(FE_PSN4259_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.Y(n_12510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324743 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.Y(n_12509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324744 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.Y(n_12508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324745 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.C1(FE_OFN18588_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.Y(n_12507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324746 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.A2(FE_PSN4454_n_11479),
+	.B1(FE_PSN4647_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.C1(FE_PSBN19876_n_15858),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.Y(n_12506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324747 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.Y(n_12505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324748 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.A2(FE_PSN4843_FE_OFN1118_n_15887),
+	.B1(FE_PSN4179_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.C1(FE_OFN18553_n_15856),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.Y(n_12504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324749 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.C1(FE_OFN18543_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.Y(n_12503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324750 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.A2(FE_PSN4465_FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.Y(n_12502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324751 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.A2(FE_PSN4398_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.Y(n_12501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324752 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.A2(FE_PSN4355_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.C1(n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.Y(n_12500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324754 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.A2(n_11425),
+	.B1(FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.C1(n_11518),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.Y(n_12498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324755 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.C1(FE_OFN1077_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.Y(n_12497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324757 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.Y(n_12495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324759 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.C1(FE_PSN4670_FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.Y(n_12493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324760 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.Y(n_12492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324761 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.Y(n_12491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324765 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.A2(FE_OFN18567_n_11513),
+	.B1(FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.C1(FE_PSN4340_n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.Y(n_12487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324768 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.A2(n_11513),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.C1(n_11761),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.Y(n_12484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324769 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.A2(n_11556),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.Y(n_12483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324772 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.C1(FE_PSN4481_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.Y(n_12480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324773 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.C1(FE_OFN1588_n_15860),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_12479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324775 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.A2(FE_OFN1070_n_11558),
+	.B1(n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.Y(n_12477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324778 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.C1(FE_OFN18588_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.Y(n_12474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g324779 (
+	.A1(n_11672),
+	.A2(n_11661),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.Y(\u_soc_dccm_to_xbar[d_valid] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324780 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.C1(FE_OFN18588_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.Y(n_12473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324781 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.A2(FE_OFN1068_n_11556),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.C1(FE_OFN18440_n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.Y(n_12472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324784 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.C1(FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.Y(n_12469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324786 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.A2(FE_PSN4355_n_11478),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.C1(FE_PSN4451_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.Y(n_12467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324789 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.A2(FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.C1(FE_COEN4306_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.Y(n_12464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324790 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.A2(FE_PSN4357_n_11425),
+	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.C1(FE_PSN4259_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.Y(n_12463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324791 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.A2(FE_OFN18316_n_15999),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.Y(n_12462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324792 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.Y(n_12461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324793 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.Y(n_12460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324794 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.C1(FE_OFN18589_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.Y(n_12459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324795 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Y(n_12458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324796 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.C1(FE_OFN18471_n_15860),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.Y(n_12457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324797 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.A2(FE_OFN1058_n_11479),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.C1(FE_OFN1110_n_15858),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.Y(n_12456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324798 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.A2(FE_OFN18480_n_11558),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.C1(FE_OFN1120_n_15995),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.Y(n_12455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324799 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.Y(n_12454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324800 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.A2(FE_OFN1067_n_11555),
+	.B1(FE_OFN1062_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.C1(FE_OFN1111_n_15859),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.Y(n_12453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324801 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.C1(FE_OFN18543_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.Y(n_12452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324802 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Y(n_12451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324803 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.Y(n_12450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324804 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.A2(FE_OFN18310_n_11515),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.Y(n_12449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324805 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.C1(n_11749),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.Y(n_12448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324806 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.Y(n_12447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324807 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.Y(n_12446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324808 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.C1(FE_OFN18589_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.Y(n_12445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324809 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.A2(FE_OFN1058_n_11479),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.C1(FE_OFN1110_n_15858),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.Y(n_12444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324810 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.A2(FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.Y(n_12443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324811 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.A2(FE_PSN4398_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.Y(n_12442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324812 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.A2(FE_PSN4817_FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.Y(n_12441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324813 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.Y(n_12440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324814 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.A2(FE_OFN1062_n_11516),
+	.B1(FE_OFN18560_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.C1(FE_OFN1077_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.Y(n_12439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324815 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.A2(FE_PSN4821_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.Y(n_12438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324816 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.C1(n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.Y(n_12437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324817 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.A2(FE_PSN4485_FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.C1(FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.Y(n_12436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324818 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.A2(FE_COEN4317_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.Y(n_12435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324819 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.Y(n_12434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324820 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.Y(n_12433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324821 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.C1(FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.Y(n_12432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324822 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.A2(FE_OFN1056_n_11475),
+	.B1(FE_OFN18306_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.C1(FE_OFN1108_n_15856),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.Y(n_12431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324823 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.A2(FE_PSN4817_FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.Y(n_12430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324824 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.Y(n_12429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324825 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.Y(n_12428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324826 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.Y(n_12427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324827 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.Y(n_12426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324828 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.A2(FE_PSN4143_FE_OFN1114_n_15868),
+	.B1(FE_OFN18520_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.C1(FE_OFN1064_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.Y(n_12425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324829 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.Y(n_12424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324830 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.C1(FE_OFN18589_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.Y(n_12423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324831 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.Y(n_12422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324832 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.A2(FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.C1(FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.Y(n_12421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324833 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.A2(FE_OFN18310_n_11515),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.Y(n_12420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324834 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN1073_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.C1(FE_OFN18450_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.Y(n_12419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324835 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.C1(FE_OFN18475_n_11756),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.Y(n_12418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324836 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.A2(FE_OFN18453_n_11425),
+	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.C1(FE_OFN18290_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.Y(n_12417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324837 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.A2(FE_OFN18642_n_11516),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.C1(FE_OFN18589_n_11757),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.Y(n_12416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324838 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.A2(FE_PSN4837_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.Y(n_12415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324839 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.A2(FE_PSN4649_FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.C1(FE_PSN4669_FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.Y(n_12414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324840 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.A2(FE_PSN4477_FE_COEN4317_n_11475),
+	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.C1(FE_OFN18537_n_15857),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.Y(n_12413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324841 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.A2(FE_PSN4485_FE_OFN18425_n_15868),
+	.B1(FE_OFN18521_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.C1(FE_PSN4641_FE_OFN18542_n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.Y(n_12412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324842 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.A2(FE_OFN18316_n_15999),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.Y(n_12411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324843 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.A2(FE_OFN18310_n_11515),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.C1(FE_OFN18640_n_15996),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.Y(n_12410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324844 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.A2(FE_OFN1052_n_11425),
+	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.C1(FE_OFN1069_n_11557),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.Y(n_12409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324845 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.A2(FE_OFN1057_n_11478),
+	.B1(FE_OFN18601_n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.C1(FE_OFN1075_n_11751),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.Y(n_12408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324847 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.Y(n_12407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324848 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.Y(n_12406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324849 (
+	.A1(n_11753),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.B1(FE_OFN18635_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.Y(n_12405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324850 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.Y(n_12404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324851 (
+	.A1(FE_OFN18586_n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.Y(n_12403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324852 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.Y(n_12402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324853 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.Y(n_12401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324854 (
+	.A1(FE_OFN18640_n_15996),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.X(n_12400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324855 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.Y(n_12399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324856 (
+	.A1(FE_PSN4645_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.Y(n_12398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324857 (
+	.A1(FE_PSN4170_FE_OFN18454_n_15997),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.Y(n_12397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324858 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.Y(n_12396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324859 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.Y(n_12395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324860 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.Y(n_12394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324861 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.Y(n_12393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324862 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.Y(n_12392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324863 (
+	.A1(FE_PSN4170_FE_OFN18454_n_15997),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.X(n_12391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324864 (
+	.A1(FE_OFN18587_n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.Y(n_12390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324865 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.Y(n_12389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324866 (
+	.A1(FE_PSN4645_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.Y(n_12388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324867 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.Y(n_12387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324868 (
+	.A1(n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.B1(n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.Y(n_12386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324869 (
+	.A1(FE_PSBN19876_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.B1(FE_PSN4454_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.Y(n_12385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324870 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.Y(n_12384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324871 (
+	.A1(FE_OFN1076_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.Y(n_12383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324872 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.Y(n_12382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324873 (
+	.A1(FE_PSN4432_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.Y(n_12381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324874 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.Y(n_12380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324875 (
+	.A1(n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.B1(n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.Y(n_12379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g324876 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.Y(n_12378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324877 (
+	.A1(FE_OFN1077_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.B1(FE_OFN1062_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.Y(n_12377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324878 (
+	.A1(FE_OFN1076_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.Y(n_12376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324879 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.Y(n_12375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324880 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.Y(n_12374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324882 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.Y(n_12372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324883 (
+	.A1(n_11753),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.Y(n_12371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324884 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.Y(n_12370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324885 (
+	.A1(n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.B1(n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.Y(n_12369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324886 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.Y(n_12368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324887 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.Y(n_12367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324888 (
+	.A1(FE_PSBN19876_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.B1(FE_PSN4454_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.Y(n_12366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g324889 (
+	.A1(FE_OFN18475_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.B1(FE_OFN18642_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.Y(n_12365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324890 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.Y(n_12364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324891 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.Y(n_12363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324892 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.Y(n_12362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324893 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.Y(n_12361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324894 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Y(n_12360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324895 (
+	.A1(FE_PSBN19876_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.B1(FE_PSN4454_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.Y(n_12359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324896 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.Y(n_12358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324897 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.Y(n_12357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324898 (
+	.A1(n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.B1(n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.Y(n_12356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324899 (
+	.A1(n_11753),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.Y(n_12355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324900 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.Y(n_12354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324901 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.Y(n_12353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324902 (
+	.A1(n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.B1(n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.Y(n_12352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324903 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Y(n_12351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324904 (
+	.A1(FE_PSN4164_n_15857),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.B1(FE_PSN4423_n_15859),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.Y(n_12350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324905 (
+	.A1(n_11753),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.Y(n_12349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324906 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Y(n_12348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324907 (
+	.A1(n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.B1(n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.Y(n_12347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324908 (
+	.A1(FE_OFN18586_n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.Y(n_12346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324909 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.Y(n_12345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324910 (
+	.A1(FE_OFN1076_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.Y(n_12344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324912 (
+	.A1(n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.B1(n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.Y(n_12342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g324913 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.Y(n_12341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324914 (
+	.A1(FE_OFN18537_n_15857),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.B1(FE_PSN4651_FE_COEN4317_n_11475),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.Y(n_12340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324916 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.Y(n_12338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324917 (
+	.A1(n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.Y(n_12337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324918 (
+	.A1(FE_PSN4645_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.Y(n_12336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324919 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.B1(FE_OFN18553_n_15856),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.Y(n_12335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324920 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Y(n_12334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324921 (
+	.A1(FE_PSN4645_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.Y(n_12333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g324922 (
+	.A1(FE_OFN18440_n_11765),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.Y(n_12332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324923 (
+	.A1(FE_OFN18614_n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.Y(n_12331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324924 (
+	.A1(n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.Y(n_12330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324925 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.Y(n_12329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324926 (
+	.A1(FE_OFN1120_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.B1(FE_OFN18317_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.Y(n_12328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324927 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.Y(n_12327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324929 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.B1(FE_OFN18553_n_15856),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.Y(n_12325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324930 (
+	.A1(FE_PSN4645_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.Y(n_12324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324931 (
+	.A1(n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.B1(n_12170),
+	.Y(n_12323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324932 (
+	.A1(n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.B1(n_12169),
+	.Y(n_12322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324933 (
+	.A1(n_15860),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.Y(n_12321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324934 (
+	.A1(n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.B1(n_12222),
+	.Y(n_12320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g324935 (
+	.A1(n_11751),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.B1(n_12229),
+	.Y(n_12319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324936 (
+	.A1(FE_OFN18586_n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.B1(FE_OFN18583_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.Y(n_12318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324937 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.Y(n_12317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324938 (
+	.A1(FE_OFN1076_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.Y(n_12316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324939 (
+	.A1(FE_OFN1111_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.B1(FE_OFN1067_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.Y(n_12315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324940 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.Y(n_12314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324941 (
+	.A1(FE_PSN4645_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.Y(n_12313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324942 (
+	.A1(FE_PSN4432_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.Y(n_12312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g324943 (
+	.A1_N(u_soc_u_top_u_core_pc_id[1]),
+	.A2_N(n_15862),
+	.B1(n_11032),
+	.B2(n_11770),
+	.Y(n_12311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_4 g324944 (
+	.A1(n_11771),
+	.A2(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15),
+	.B1(n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[0]),
+	.Y(n_12310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324945 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.Y(n_12309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324946 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.Y(n_12308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324947 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.Y(n_12307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324948 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.Y(n_12306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324949 (
+	.A1(FE_OFN18475_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.B1(FE_OFN18642_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.Y(n_12305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324950 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.Y(n_12304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324951 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.Y(n_12303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324952 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.Y(n_12302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324953 (
+	.A1(FE_OFN1076_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.B1(n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.Y(n_12301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324954 (
+	.A1(FE_OFN18614_n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.X(n_12300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324955 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.Y(n_12299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324956 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.Y(n_12298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324957 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.Y(n_12297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324958 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.Y(n_12296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324959 (
+	.A1(FE_PSN4423_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.Y(n_12295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324960 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.Y(n_12294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324961 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.Y(n_12293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324962 (
+	.A1(FE_OFN18640_n_15996),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.B1(FE_OFN18310_n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.X(n_12292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324963 (
+	.A1(FE_OFN18614_n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.X(n_12291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324964 (
+	.A1(FE_OFN18614_n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.B1(FE_OFN18434_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.X(n_12290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g324965 (
+	.A1(FE_OFN18454_n_15997),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.X(n_12289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324966 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.Y(n_12288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324967 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.Y(n_12287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324968 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Y(n_12286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324969 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.Y(n_12285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324970 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Y(n_12284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324971 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.Y(n_12283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324972 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.Y(n_12282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324973 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.Y(n_12281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324974 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.Y(n_12280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324975 (
+	.A1(n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.B1(n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.Y(n_12279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324976 (
+	.A1(FE_PSN4432_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.Y(n_12278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324977 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.Y(n_12277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324978 (
+	.A1(FE_OFN18579_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.B1(FE_OFN18422_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.Y(n_12276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324979 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.Y(n_12275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324980 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.Y(n_12274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324981 (
+	.A1(FE_OFN1078_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.B1(FE_OFN1070_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.Y(n_12273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324982 (
+	.A1(FE_OFN18559_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.B1(FE_OFN18272_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.Y(n_12272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324983 (
+	.A1(FE_OFN18558_n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.B1(FE_OFN1589_n_15882),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.Y(n_12271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324984 (
+	.A1(FE_OFN18600_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.Y(n_12270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324985 (
+	.A1(FE_OFN18599_n_15998),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.B1(FE_OFN18567_n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.Y(n_12269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324986 (
+	.A1(FE_PSN4422_n_11763),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.B1(FE_OFN18480_n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.Y(n_12268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324987 (
+	.A1(FE_OFN1076_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.B1(FE_OFN18483_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.Y(n_12267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g324988 (
+	.A1(FE_OFN18597_n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.B1(FE_OFN1068_n_11556),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.Y(n_12266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g324989 (
+	.A(n_12109),
+	.Y(n_12265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g324990 (
+	.A(n_12099),
+	.Y(n_12264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324991 (
+	.A(n_12262),
+	.Y(n_12261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g324993 (
+	.A(n_15883),
+	.Y(n_12257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324994 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.Y(n_12255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324995 (
+	.A(FE_OFN18588_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.Y(n_12254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324996 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.Y(n_12253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324997 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.Y(n_12252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324998 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.Y(n_12251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g324999 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.Y(n_12250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325000 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.Y(n_12249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325001 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.Y(n_12248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325002 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.Y(n_12247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325003 (
+	.A(FE_OFN18537_n_15857),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.Y(n_12246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325004 (
+	.A(FE_PSN4164_n_15857),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.Y(n_12245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325005 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.Y(n_12244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325006 (
+	.A(FE_OFN1076_n_11754),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.Y(n_12243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325007 (
+	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.Y(n_12242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325008 (
+	.A(FE_OFN18589_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.Y(n_12241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325009 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.Y(n_12240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325010 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.Y(n_12239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325011 (
+	.A(FE_OFN18440_n_11765),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.Y(n_12238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325012 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.Y(n_12237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325013 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.Y(n_12236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325014 (
+	.A(FE_OFN1075_n_11751),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.Y(n_12235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325015 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.Y(n_12234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325016 (
+	.A(FE_OFN18587_n_11762),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.Y(n_12233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325017 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.Y(n_12232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325018 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.Y(n_12231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325019 (
+	.A(FE_OFN18599_n_15998),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.Y(n_12230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g325020 (
+	.A(n_11765),
+	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.Y(n_12229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325021 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.Y(n_12228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325022 (
+	.A(FE_OFN1076_n_11754),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.Y(n_12227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325023 (
+	.A(FE_OFN18588_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.Y(n_12226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325024 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.Y(n_12225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325025 (
+	.A(FE_OFN18589_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.Y(n_12224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325026 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.Y(n_12223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g325027 (
+	.A(n_15998),
+	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.Y(n_12222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325028 (
+	.A(FE_OFN1078_n_11763),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.Y(n_12221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325030 (
+	.A(FE_COEN4298_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.Y(n_12219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325031 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.Y(n_12218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325032 (
+	.A(FE_OFN18588_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.Y(n_12217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325033 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.Y(n_12216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325034 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.Y(n_12215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325035 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.Y(n_12214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325036 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.Y(n_12213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325037 (
+	.A(FE_OFN18614_n_11761),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.Y(n_12212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325038 (
+	.A(n_11753),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.Y(n_12211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325039 (
+	.A(FE_OFN18450_n_11751),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.Y(n_12210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325040 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.Y(n_12209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325041 (
+	.A(FE_OFN1078_n_11763),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.Y(n_12208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325042 (
+	.A(n_15995),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.Y(n_12207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325043 (
+	.A(n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.Y(n_12206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325044 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.Y(n_12205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325045 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.Y(n_12204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325046 (
+	.A(FE_OFN1076_n_11754),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.Y(n_12203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325047 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.Y(n_12202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325048 (
+	.A(n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.Y(n_12201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325049 (
+	.A(FE_OFN18471_n_15860),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.Y(n_12200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325050 (
+	.A(FE_COEN4298_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.Y(n_12199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325051 (
+	.A(FE_OFN18450_n_11751),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.Y(n_12198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325052 (
+	.A(FE_OFN18640_n_15996),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.Y(n_12197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325053 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.Y(n_12196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325054 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.Y(n_12195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325055 (
+	.A(FE_OFN18587_n_11762),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.Y(n_12194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325056 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.Y(n_12193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325057 (
+	.A(n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.Y(n_12192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325058 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.Y(n_12191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325059 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.Y(n_12190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325060 (
+	.A(n_11763),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.Y(n_12189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325061 (
+	.A(n_11753),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.Y(n_12188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325062 (
+	.A(FE_OFN18588_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.Y(n_12187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325063 (
+	.A(n_11761),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.Y(n_12186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325065 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.Y(n_12184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325066 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.Y(n_12183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325067 (
+	.A(n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.Y(n_12182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325068 (
+	.A(n_11753),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.Y(n_12181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325069 (
+	.A(n_11761),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.Y(n_12180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325070 (
+	.A(FE_OFN18599_n_15998),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.Y(n_12179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325071 (
+	.A(n_11763),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.Y(n_12178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325072 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.Y(n_12177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325073 (
+	.A(n_11754),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.Y(n_12176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325074 (
+	.A(n_11753),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.Y(n_12175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325075 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.Y(n_12174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325077 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.Y(n_12172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g325079 (
+	.A(n_15997),
+	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.Y(n_12170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g325080 (
+	.A(n_11748),
+	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.Y(n_12169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325081 (
+	.A(FE_COEN4298_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.Y(n_12168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325082 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.Y(n_12167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325083 (
+	.A(FE_OFN18597_n_11754),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.Y(n_12166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325084 (
+	.A(FE_OFN18597_n_11754),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.Y(n_12165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325085 (
+	.A(FE_PSN4633_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.Y(n_12164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325086 (
+	.A(FE_PSN4374_n_15860),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.Y(n_12163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325087 (
+	.A(n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.Y(n_12162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325088 (
+	.A(FE_OFN1074_n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.Y(n_12161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325089 (
+	.A(FE_PSN4134_FE_OFN18552_n_15995),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.Y(n_12160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325090 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.Y(n_12159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325091 (
+	.A(FE_OFN18475_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.Y(n_12158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325092 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.Y(n_12157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325093 (
+	.A(FE_OFN18588_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.Y(n_12156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325094 (
+	.A(FE_OFN1077_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.Y(n_12155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325095 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.Y(n_12154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325096 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.Y(n_12153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325097 (
+	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.Y(n_12152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325098 (
+	.A(FE_OFN1075_n_11751),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.Y(n_12151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325099 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.Y(n_12150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325101 (
+	.A(FE_OFN18475_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.Y(n_12148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325102 (
+	.A(FE_OFN18589_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.Y(n_12147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325103 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.Y(n_12146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325104 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.Y(n_12145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325105 (
+	.A(FE_OFN18588_n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.Y(n_12144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325106 (
+	.A(FE_OFN18475_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.Y(n_12143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325107 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.Y(n_12142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325108 (
+	.A(FE_OFN1108_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.Y(n_12141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325109 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.Y(n_12140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325110 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.Y(n_12139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325111 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.Y(n_12138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325112 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.Y(n_12137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325113 (
+	.A(FE_COEN4271_FE_OFN18292_n_15857),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.Y(n_12136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325114 (
+	.A(FE_OFN18475_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.Y(n_12135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325115 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.Y(n_12134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325116 (
+	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.Y(n_12133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325117 (
+	.A(n_11757),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.Y(n_12132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325118 (
+	.A(FE_OFN18623_n_11748),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.Y(n_12131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325119 (
+	.A(FE_OFN18475_n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.Y(n_12130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325120 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.Y(n_12129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325121 (
+	.A(FE_OFN18553_n_15856),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.Y(n_12128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325122 (
+	.A(FE_OFN1120_n_15995),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.Y(n_12127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325123 (
+	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.Y(n_12126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325124 (
+	.A(n_11749),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.Y(n_12125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325125 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.C1(FE_OFN18631_n),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.Y(n_12124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325126 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.A2(FE_OFN1116_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.Y(n_12123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g325127 (
+	.A1(n_11446),
+	.A2(n_11574),
+	.B1(FE_PSN4403_n_15881),
+	.Y(n_12122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325129 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.C1(FE_OFN18437_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.Y(n_12120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325131 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.C1(FE_OFN18437_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.Y(n_12118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325132 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.Y(n_12117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325133 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.Y(n_12116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325134 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.A2(FE_OFN1115_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.Y(n_12115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325135 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.A2(FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Y(n_12114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325136 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.A2(FE_COEN4700_FE_OFN18585_n_15872),
+	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.C1(FE_PSN4394_FE_OFN18506_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.Y(n_12113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325137 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.A2(FE_OFN18433_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Y(n_12112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325138 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.C1(n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.Y(n_12111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325139 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.A2(FE_OFN18574_n_15869),
+	.B1(FE_PSBN19832_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.C1(FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.Y(n_12110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325140 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.C1(FE_OFN18592_n_11561),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.Y(n_12109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325141 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.C1(FE_OFN18611_n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.Y(n_12108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325142 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Y(n_12107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325143 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.A2(FE_OFN1116_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Y(n_12106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325144 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.A2(FE_OFN18283_n_15869),
+	.B1(FE_OFN18565_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.Y(n_12105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325145 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.C1(FE_OFN18611_n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.Y(n_12104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325146 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.A2(FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.C1(FE_OFN18384_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Y(n_12103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325147 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.A2(FE_OFN18574_n_15869),
+	.B1(FE_PSN4378_FE_OFN1113_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.C1(FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.Y(n_12102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325148 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.A2(FE_PSN4413_FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.C1(FE_PSN4431_FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.Y(n_12101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325149 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.A2(FE_PSN4458_n_15872),
+	.B1(FE_PSN4408_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.Y(n_12100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325150 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.C1(FE_PSN4361_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.Y(n_12099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325151 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.Y(n_12098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325152 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.Y(n_12097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325153 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.Y(n_12096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325154 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.C1(FE_OFN18456_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.Y(n_12095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325155 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.C1(FE_OFN18631_n),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.Y(n_12094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325156 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.Y(n_12093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325157 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.A2(FE_PSN4159_FE_OFN18575_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.Y(n_12092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325158 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.A2(FE_PSN4362_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.Y(n_12091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325159 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.A2(FE_OFN1116_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Y(n_12090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325160 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.C1(n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.Y(n_12089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325161 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.A2(n_11418),
+	.B1(FE_OFN18472_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.C1(FE_PSBN19894_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.Y(n_12088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325162 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.Y(n_12087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325163 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.A2(FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.C1(FE_OFN18384_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Y(n_12086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325164 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.A2(n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.C1(FE_PSN4444_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Y(n_12085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325165 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.A2(FE_OFN18283_n_15869),
+	.B1(FE_OFN18565_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.C1(FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.Y(n_12084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325166 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.A2(n_15869),
+	.B1(FE_PSBN19833_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.C1(n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.Y(n_12083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325167 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.A2(FE_PSBN19835_n_15865),
+	.B1(n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.C1(FE_PSN4775_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Y(n_12082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325168 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.C1(FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.Y(n_12081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325169 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.Y(n_12080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325170 (
+	.A(n_13878),
+	.B(n_11669),
+	.Y(\u_soc_tcam_to_xbar[d_valid] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g325171 (
+	.A(n_11446),
+	.B(n_15994),
+	.Y(n_12263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g325172 (
+	.A(n_11769),
+	.B(n_11446),
+	.Y(n_12262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325173 (
+	.A(FE_OFN18381_n_11769),
+	.B(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.Y(n_12260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325175 (
+	.A(n_11670),
+	.B(n_11349),
+	.X(n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g325176 (
+	.A(n_12012),
+	.Y(n_12079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g325178 (
+	.A(n_11992),
+	.Y(n_12077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325179 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.C1(FE_OFN18437_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.Y(n_12076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325180 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.A2(FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.C1(FE_OFN18384_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.Y(n_12075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325181 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.A2(FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.C1(FE_OFN18384_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.Y(n_12074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325182 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.A2(FE_OFN18433_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Y(n_12073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325183 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.A2(FE_OFN18283_n_15869),
+	.B1(FE_OFN18565_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.Y(n_12072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325184 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.A2(n_11418),
+	.B1(FE_OFN18472_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.C1(FE_PSBN19894_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.Y(n_12071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325185 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.A2(FE_PSN4153_FE_OFN18511_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.Y(n_12070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325186 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Y(n_12069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325187 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.C1(n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.Y(n_12068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325188 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Y(n_12067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325189 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.A2(FE_OFN18283_n_15869),
+	.B1(FE_OFN18565_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.Y(n_12066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325190 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.A2(FE_PSN4487_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.C1(FE_OFN18506_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.Y(n_12065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325191 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.A2(n_15869),
+	.B1(FE_PSBN19833_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.C1(n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.Y(n_12064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325192 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.A2(FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.C1(FE_PSN4775_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Y(n_12063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325193 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.C1(FE_OFN18611_n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.Y(n_12062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325194 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.A2(FE_PSN4413_FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.C1(FE_PSN4431_FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.Y(n_12061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325195 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.Y(n_12060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325196 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.Y(n_12059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325197 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.A2(FE_OFN18511_n_11423),
+	.B1(FE_PSBN19837_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.C1(FE_OFN18641_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.Y(n_12058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325198 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.C1(FE_OFN18456_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.Y(n_12057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325199 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.A2(n_11418),
+	.B1(FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.C1(FE_PSN4348_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.Y(n_12056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325200 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.C1(n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.Y(n_12055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325203 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.Y(n_12052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325204 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.A2(FE_OFN1116_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.Y(n_12051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325205 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Y(n_12050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325206 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.A2(n_15870),
+	.B1(n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.C1(n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.Y(n_12049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325207 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.A2(FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.C1(FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Y(n_12048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325208 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.Y(n_12047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325209 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.A2(n_11418),
+	.B1(FE_OFN18472_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.C1(FE_PSBN19894_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.Y(n_12046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325210 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.A2(FE_PSN4159_FE_OFN18575_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.Y(n_12045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325211 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.C1(FE_OFN18592_n_11561),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.Y(n_12044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325213 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.A2(n_15869),
+	.B1(FE_PSBN19833_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.C1(n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.Y(n_12042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325214 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.A2(FE_OFN18585_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.Y(n_12041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325215 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.A2(FE_PSBN19835_n_15865),
+	.B1(n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.C1(FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.Y(n_12040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325216 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.A2(FE_OFN1115_n_15869),
+	.B1(FE_PSBN19830_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.C1(FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.Y(n_12039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325218 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.Y(n_12037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325219 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.A2(n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.C1(FE_PSN4444_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.Y(n_12036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325220 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.A2(n_11418),
+	.B1(FE_OFN18472_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.C1(FE_PSBN19894_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.Y(n_12035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325221 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.A2(FE_PSN4480_FE_OFN18584_n_15872),
+	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.C1(FE_PSN4811_FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.Y(n_12034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325222 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.A2(FE_PSN4487_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.Y(n_12033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325223 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.A2(FE_PSBN19835_n_15865),
+	.B1(FE_PSN4791_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.C1(FE_PSN4775_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.Y(n_12032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325224 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.A2(FE_PSN4411_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.C1(FE_OFN18384_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.Y(n_12031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325225 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.A2(FE_OFN18433_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.Y(n_12030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325226 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.A2(FE_OFN18574_n_15869),
+	.B1(FE_PSBN19832_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.C1(FE_PSN4815_FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.Y(n_12029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325228 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.Y(n_12027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325230 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.A2(FE_OFN18283_n_15869),
+	.B1(FE_OFN18565_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.Y(n_12025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325231 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.A2(n_15872),
+	.B1(n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.Y(n_12024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325233 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.A2(FE_PSN4458_n_15872),
+	.B1(FE_PSN4408_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.C1(FE_PSN4177_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.Y(n_12022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325234 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.A2(FE_PSN4413_FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.C1(FE_PSN4431_FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.Y(n_12021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325235 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.C1(FE_OFN18437_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.Y(n_12020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325236 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.A2(n_15870),
+	.B1(n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.C1(n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.Y(n_12019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325237 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.A2(n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.C1(FE_OFN18592_n_11561),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.Y(n_12018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325238 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.C1(n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.Y(n_12017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325239 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.A2(n_11423),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.C1(n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.Y(n_12016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325243 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.A2(n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Y(n_12012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325244 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.A2(FE_PSN4153_FE_OFN18511_n_11423),
+	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.C1(FE_OFN18641_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.Y(n_12011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325245 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Y(n_12010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325246 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.A2(FE_OFN18396_n_15865),
+	.B1(FE_PSN4421_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Y(n_12009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325247 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.C1(FE_OFN18592_n_11561),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.Y(n_12008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325248 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.A2(FE_OFN18574_n_15869),
+	.B1(FE_PSN4392_FE_OFN1113_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.C1(FE_PSN4405_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.Y(n_12007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325250 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.C1(FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.Y(n_12005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325253 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.C1(FE_OFN18592_n_11561),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.Y(n_12002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325254 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.Y(n_12001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325255 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.A2(FE_OFN18433_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.Y(n_12000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325256 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Y(n_11999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325257 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(FE_PSN4638_n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.Y(n_11998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325258 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.A2(FE_OFN1115_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.Y(n_11997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325259 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.A2(FE_OFN18574_n_15869),
+	.B1(FE_PSBN19830_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.C1(FE_OFN18526_n_11553),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.Y(n_11996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325260 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.Y(n_11995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325261 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.C1(FE_OFN18611_n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.Y(n_11994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325262 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.A2(FE_PSN4362_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.Y(n_11993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325263 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.Y(n_11992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325264 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.Y(n_11991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325265 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.A2(FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.C1(FE_OFN18384_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.Y(n_11990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325266 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.Y(n_11989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325267 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.A2(FE_OFN18433_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.Y(n_11988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325268 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.A2(FE_OFN1115_n_15869),
+	.B1(FE_PSBN19830_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.C1(FE_PSN4648_FE_OFN18526_n_11553),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.Y(n_11987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325269 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.Y(n_11986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325270 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.C1(FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.Y(n_11985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325271 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.Y(n_11984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325272 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.A2(FE_PSN4480_FE_OFN18584_n_15872),
+	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.C1(FE_PSN4811_FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.Y(n_11983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325273 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.A2(FE_PSN4159_FE_OFN18575_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.Y(n_11982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325274 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(FE_PSN4638_n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.Y(n_11981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325275 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Y(n_11980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325276 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.A2(FE_COEN4700_FE_OFN18585_n_15872),
+	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.Y(n_11979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325277 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.A2(FE_OFN18511_n_11423),
+	.B1(FE_PSBN19837_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.C1(FE_OFN18494_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.Y(n_11978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325278 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.A2(FE_OFN18283_n_15869),
+	.B1(FE_OFN18565_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.C1(FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.Y(n_11977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325279 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.A2(FE_COEN4289_FE_OFN18396_n_15865),
+	.B1(FE_OFN1117_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.Y(n_11976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325280 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.C1(FE_OFN18456_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.Y(n_11975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325281 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.A2(FE_OFN18574_n_15869),
+	.B1(FE_PSBN19832_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.C1(FE_PSN4815_FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.Y(n_11974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325282 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.A2(FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.C1(FE_OFN18385_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Y(n_11973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325283 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.A2(FE_PSN4159_FE_OFN18575_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.Y(n_11972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325284 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.A2(FE_OFN18585_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.Y(n_11971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325285 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.A2(FE_OFN18584_n_15872),
+	.B1(FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.C1(FE_OFN18505_n_16001),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.Y(n_11970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325286 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.C1(FE_OFN18456_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.Y(n_11969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325287 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.A2(FE_OFN18511_n_11423),
+	.B1(FE_PSBN19837_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.C1(FE_OFN18641_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.Y(n_11968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325288 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Y(n_11967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325289 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.C1(FE_OFN18456_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.Y(n_11966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325290 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.A2(FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Y(n_11965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325291 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.A2(FE_OFN18575_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.C1(FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.Y(n_11964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325292 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.A2(FE_OFN18511_n_11423),
+	.B1(FE_PSBN19837_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.C1(FE_OFN18494_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.Y(n_11963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325293 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.A2(FE_OFN18585_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.Y(n_11962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325294 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.Y(n_11961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325295 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.Y(n_11960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325296 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.A2(FE_OFN1051_n_11418),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.C1(FE_PSBN19868_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.Y(n_11959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325297 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.Y(n_11958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325298 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.A2(FE_OFN18603_n_15870),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Y(n_11957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325299 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.A2(FE_OFN18575_n_15869),
+	.B1(FE_OFN18546_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.C1(FE_OFN18466_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.Y(n_11956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325300 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.Y(n_11955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325301 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.A2(FE_PSN4478_FE_OFN18397_n_15865),
+	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.C1(FE_OFN19537_n_11514),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Y(n_11954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325302 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.A2(FE_OFN1115_n_15869),
+	.B1(FE_PSBN19830_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.C1(FE_OFN1053_n_11471),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.Y(n_11953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325303 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.A2(FE_COEN4700_FE_OFN18585_n_15872),
+	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.Y(n_11952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325304 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.A2(FE_OFN18585_n_15872),
+	.B1(FE_OFN18563_n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.C1(n_11472),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.Y(n_11951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325305 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.A2(FE_OFN18433_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.C1(FE_PSN4182_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Y(n_11950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325306 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.A2(FE_PSN4153_FE_OFN18511_n_11423),
+	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.C1(FE_OFN18437_n_11563),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.Y(n_11949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325307 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.A2(FE_OFN18510_n_11423),
+	.B1(FE_OFN18490_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.C1(FE_OFN18493_n_11476),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.Y(n_11948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325308 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.A2(FE_OFN1116_n_15870),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.C1(FE_OFN1059_n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.Y(n_11947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325309 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.A2(FE_OFN18550_n_11418),
+	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.C1(FE_OFN18456_n_16000),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.Y(n_11946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g325310 (
+	.A1(n_11161),
+	.A2(n_11345),
+	.A3(n_11432),
+	.B1(n_11432),
+	.B2(n_11470),
+	.Y(n_11945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325311 (
+	.A1(FE_OFN18642_n_11516),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.B1(FE_OFN18561_n_11517),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.X(n_11944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325314 (
+	.A1(FE_OFN18563_n_15867),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.B1(n_11472),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.Y(n_11941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325315 (
+	.A1(FE_OFN18272_n_15882),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.X(n_11940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g325316 (
+	.A1(FE_OFN18563_n_15867),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.B1(n_11472),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.Y(n_11939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325317 (
+	.A1(FE_PSN4477_FE_COEN4317_n_11475),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.B1(FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.Y(n_11938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g325319 (
+	.A1(FE_OFN18506_n_16001),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.B1(FE_OFN18625_n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.Y(n_11936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325321 (
+	.A1(n_11556),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.X(n_11934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325322 (
+	.A1(FE_PSN4412_FE_OFN18472_n_11421),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.B1(n_11561),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.Y(n_11933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325323 (
+	.A1(n_11423),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.B1(n_11476),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.Y(n_11932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325324 (
+	.A1(FE_OFN1118_n_15887),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.B1(FE_PSN4174_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.Y(n_11931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325330 (
+	.A1(FE_OFN18472_n_11421),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.B1(n_11561),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.X(n_11925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325331 (
+	.A1(n_11418),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.B1(FE_PSBN19894_n_16000),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.X(n_11924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g325336 (
+	.A1(n_11476),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.B1(n_11561),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.Y(n_11919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325337 (
+	.A1(n_11557),
+	.A2(FE_PSN4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0),
+	.B1(FE_PSN4355_n_11478),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.Y(n_11918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g325338 (
+	.A1(n_11513),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.Y(n_11917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 g325346 (
+	.A1(n_15867),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.B1(n_11552),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.X(n_11909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325347 (
+	.A1(n_11471),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.B1(n_11472),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.X(n_11908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325348 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[28]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[28]),
+	.Y(n_11907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325349 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[27]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[27]),
+	.Y(n_11906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325350 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[26]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[26]),
+	.Y(n_11905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325351 (
+	.A1(n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[6]),
+	.B1(n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[6]),
+	.Y(n_11904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325352 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[25]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[25]),
+	.Y(n_11903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325353 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[24]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[24]),
+	.Y(n_11902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325354 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[22]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[22]),
+	.Y(n_11901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325355 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[21]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[21]),
+	.Y(n_11900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325356 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[18]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[18]),
+	.Y(n_11899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325357 (
+	.A1(FE_OFN18536_n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[17]),
+	.B1(FE_OFN18426_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[17]),
+	.Y(n_11898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325358 (
+	.A1(n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[9]),
+	.B1(FE_PSN4453_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[9]),
+	.Y(n_11897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325359 (
+	.A1(n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[10]),
+	.B1(FE_PSN4453_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[10]),
+	.Y(n_11896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325360 (
+	.A1(FE_PSBN19830_n_15866),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.B1(FE_OFN1053_n_11471),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.Y(n_11895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325362 (
+	.A(FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.Y(n_11893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325363 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.Y(n_11892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325365 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Y(n_11890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325366 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.Y(n_11889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325367 (
+	.A(FE_OFN18505_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.Y(n_11888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325368 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.Y(n_11887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325369 (
+	.A(FE_PSN4410_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.Y(n_11886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325370 (
+	.A(FE_PSN4842_FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.Y(n_11885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325371 (
+	.A(n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.Y(n_11884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325372 (
+	.A(n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.Y(n_11883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325373 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.Y(n_11882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325374 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.Y(n_11881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325375 (
+	.A(FE_OFN18505_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.Y(n_11880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325376 (
+	.A(FE_PSN4818_FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.Y(n_11879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325377 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.Y(n_11878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325378 (
+	.A(FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.Y(n_11877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325379 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.Y(n_11876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325380 (
+	.A(FE_OFN18456_n_16000),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.Y(n_11875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325381 (
+	.A(FE_PSN4177_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.Y(n_11874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325382 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.Y(n_11873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325383 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.Y(n_11872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325384 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.Y(n_11871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325386 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.Y(n_11869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325387 (
+	.A(FE_PSBN19868_n_16000),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.Y(n_11868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325388 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.Y(n_11867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325389 (
+	.A(FE_PSN4415_FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.Y(n_11866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325390 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.Y(n_11865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325391 (
+	.A(n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.Y(n_11864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325392 (
+	.A(FE_PSN4818_FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.Y(n_11863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325393 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.Y(n_11862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325394 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.Y(n_11861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325395 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.Y(n_11860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325396 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.Y(n_11859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325397 (
+	.A(FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.Y(n_11858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325398 (
+	.A(FE_PSN4368_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.Y(n_11857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325399 (
+	.A(FE_PSN4368_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.Y(n_11856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325400 (
+	.A(n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.Y(n_11855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325401 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.Y(n_11854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325402 (
+	.A(FE_PSN4838_FE_PSBN19868_n_16000),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.Y(n_11853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325403 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.Y(n_11852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325404 (
+	.A(FE_OFN18631_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.Y(n_11851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325405 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.Y(n_11850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325407 (
+	.A(FE_OFN18493_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.Y(n_11848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325408 (
+	.A(FE_OFN18493_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.Y(n_11847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325409 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.Y(n_11846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325410 (
+	.A(FE_PSN4805_FE_PSBN19868_n_16000),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.Y(n_11845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325411 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.Y(n_11844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325412 (
+	.A(n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.Y(n_11843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325413 (
+	.A(FE_OFN18493_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.Y(n_11842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325414 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.Y(n_11841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325416 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.Y(n_11839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325417 (
+	.A(n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.Y(n_11838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325418 (
+	.A(FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.Y(n_11837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325419 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.Y(n_11836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325420 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.Y(n_11835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325421 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.Y(n_11834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325422 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.Y(n_11833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325423 (
+	.A(FE_OFN18505_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.Y(n_11832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325424 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.Y(n_11831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325425 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.Y(n_11830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325426 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.Y(n_11829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325427 (
+	.A(FE_OFN18494_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.Y(n_11828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325428 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.Y(n_11827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325429 (
+	.A(FE_OFN18631_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.Y(n_11826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325430 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.Y(n_11825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325431 (
+	.A(FE_PSN4410_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.Y(n_11824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g325433 (
+	.A(FE_PSN4463_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.Y(n_11822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325435 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.Y(n_11820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325436 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.Y(n_11819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325437 (
+	.A(FE_OFN18494_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.Y(n_11818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325438 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.Y(n_11817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325439 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.Y(n_11816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325440 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.Y(n_11815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325441 (
+	.A(n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.Y(n_11814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325442 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.Y(n_11813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325444 (
+	.A(FE_PSN4368_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.Y(n_11811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325445 (
+	.A(FE_PSN4648_FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.Y(n_11810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325446 (
+	.A(n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.Y(n_11809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325448 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.Y(n_11807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325450 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.Y(n_11805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325451 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.Y(n_11804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325453 (
+	.A(FE_PSN4648_FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.Y(n_11802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325454 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.Y(n_11801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325455 (
+	.A(FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.Y(n_11800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325456 (
+	.A(FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.Y(n_11799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325457 (
+	.A(FE_PSN4650_FE_OFN1053_n_11471),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.Y(n_11798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325458 (
+	.A(n_11473),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.Y(n_11797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325459 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.Y(n_11796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325460 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.Y(n_11795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325464 (
+	.A(n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.Y(n_11791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325467 (
+	.A(FE_PSBN19868_n_16000),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.Y(n_11788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325468 (
+	.A(n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.Y(n_11787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325469 (
+	.A(FE_PSN4771_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.Y(n_11786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325470 (
+	.A(FE_PSN4388_n_11557),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.Y(n_11785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325472 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Y(n_11783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325474 (
+	.A(n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.Y(n_11781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325475 (
+	.A(n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.Y(n_11780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325478 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.Y(n_11777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325482 (
+	.A(n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.Y(n_11773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325483 (
+	.A(FE_OFN18456_n_16000),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.Y(n_11772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325497 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.Y(n_11744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325498 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.Y(n_11743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325499 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.Y(n_11742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325501 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.Y(n_11740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325502 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.Y(n_11739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325503 (
+	.A(n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.Y(n_11738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325504 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Y(n_11737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325505 (
+	.A(FE_OFN18631_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.Y(n_11736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325506 (
+	.A(FE_OFN18290_n_11557),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.Y(n_11735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325507 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.Y(n_11734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325508 (
+	.A(FE_OFN18505_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.Y(n_11733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325509 (
+	.A(FE_PSN4415_FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.Y(n_11732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325510 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.Y(n_11731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325511 (
+	.A(FE_PSBN19873_n_11550),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.Y(n_11730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325513 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.Y(n_11728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325514 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.Y(n_11727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325515 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.Y(n_11726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325516 (
+	.A(FE_OFN1053_n_11471),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.Y(n_11725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325517 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.Y(n_11724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325518 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.Y(n_11723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325519 (
+	.A(FE_OFN18493_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.Y(n_11722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325520 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.Y(n_11721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325521 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.Y(n_11720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325522 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.Y(n_11719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325524 (
+	.A(FE_PSN4394_FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.Y(n_11717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325525 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.Y(n_11716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325526 (
+	.A(FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.Y(n_11715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325527 (
+	.A(n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.Y(n_11714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325528 (
+	.A(FE_OFN18641_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.Y(n_11713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325529 (
+	.A(FE_OFN1066_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.Y(n_11712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325530 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.Y(n_11711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325531 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.Y(n_11710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325532 (
+	.A(FE_PSN4842_FE_OFN18526_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.Y(n_11709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325533 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.Y(n_11708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325535 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.Y(n_11706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325536 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.Y(n_11705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325537 (
+	.A(FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.Y(n_11704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325538 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.Y(n_11703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325539 (
+	.A(FE_OFN18611_n_11472),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.Y(n_11702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325540 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.Y(n_11701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325541 (
+	.A(FE_OFN18494_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.Y(n_11700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325542 (
+	.A(FE_PSBN19897_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.Y(n_11699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325543 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.Y(n_11698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325544 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.Y(n_11697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325545 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.Y(n_11696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325546 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.Y(n_11695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325547 (
+	.A(FE_OFN18641_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.Y(n_11694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325549 (
+	.A(FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.Y(n_11692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325550 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.Y(n_11691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325551 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.Y(n_11690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325552 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.Y(n_11689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325553 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.Y(n_11688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325554 (
+	.A(FE_OFN18551_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Y(n_11687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325555 (
+	.A(FE_OFN18615_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.Y(n_11686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325556 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.Y(n_11685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325557 (
+	.A(FE_OFN18432_n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Y(n_11684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325559 (
+	.A(FE_PSN4394_FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.Y(n_11682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325560 (
+	.A(FE_OFN18506_n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.Y(n_11681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325561 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Y(n_11680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325562 (
+	.A(FE_OFN18494_n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.Y(n_11679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325563 (
+	.A(FE_OFN18437_n_11563),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.Y(n_11678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325564 (
+	.A(FE_OFN1063_n_11518),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.Y(n_11677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325565 (
+	.A(FE_PSN4368_n_11553),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.Y(n_11676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325566 (
+	.A(n_11554),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.Y(n_11675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325567 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.Y(n_11674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325568 (
+	.A(FE_OFN18592_n_11561),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.Y(n_11673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g325569 (
+	.A1(u_soc_dccm_adapter_rvalid_o),
+	.A2(n_11145),
+	.A3(n_11233),
+	.B1(n_13454),
+	.Y(n_11672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325570 (
+	.A1(FE_OFN1051_n_11418),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.X(n_11671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325571 (
+	.A(n_11414),
+	.B(n_11439),
+	.C(n_11332),
+	.Y(n_11670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325572 (
+	.A(n_13451),
+	.B(n_11412),
+	.Y(n_11669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325573 (
+	.A1(FE_OFN18603_n_15870),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.B1(FE_OFN18335_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.X(n_11668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325574 (
+	.A1(FE_PSN4153_FE_OFN18511_n_11423),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.X(n_11667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325579 (
+	.A1(FE_PSN4153_FE_OFN18511_n_11423),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.X(n_11662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g325580 (
+	.A1(n_13336),
+	.A2(n_11356),
+	.B1_N(n_13454),
+	.Y(n_11661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g325581 (
+	.A_N(n_13487),
+	.B(n_13460),
+	.C(n_15890),
+	.Y(n_82523_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325587 (
+	.A1(n_15869),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.B1(FE_PSBN19833_n_15866),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.X(n_11655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325588 (
+	.A1(FE_OFN1051_n_11418),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.B1(n_11422),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.X(n_11654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325589 (
+	.A1(n_11423),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.X(n_11653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325590 (
+	.A1(n_15872),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.B1(n_15867),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.X(n_11652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325591 (
+	.A1(n_11423),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.B1(n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.X(n_11651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325594 (
+	.A1(FE_OFN18511_n_11423),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.B1(FE_PSBN19837_n_11428),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.X(n_11648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325595 (
+	.A1(n_15870),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.B1(n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.X(n_11647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g325596 (
+	.A1(FE_OFN1116_n_15870),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.B1(FE_OFN1050_n_11415),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.X(n_11646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g325599 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.B(n_11438),
+	.C(n_13451),
+	.X(n_13878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325600 (
+	.A(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.B(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.C(n_11440),
+	.Y(n_11770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325605 (
+	.A(FE_PSN4804_n_11632),
+	.B(n_11276),
+	.X(n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325606 (
+	.A(n_11629),
+	.B(n_11284),
+	.X(n_11762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325610 (
+	.A(n_11637),
+	.B(n_11232),
+	.X(n_11757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325611 (
+	.A(n_11636),
+	.B(n_11291),
+	.X(n_11756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325613 (
+	.A(n_11628),
+	.B(n_11271),
+	.X(n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325615 (
+	.A(n_11635),
+	.B(n_11272),
+	.X(n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g325617 (
+	.A(FE_PSN4840_n_11631),
+	.B(n_11154),
+	.C(FE_OFN18277_n_13339),
+	.Y(n_11748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g325619 (
+	.A(n_11593),
+	.B(FE_OFN1581_n_11054),
+	.Y(n_11746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325666 (
+	.A1(FE_OFN18636_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B1(n_11375),
+	.Y(n_11581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325667 (
+	.A(FE_OFN18425_n_15868),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.Y(n_11580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g325668 (
+	.A(FE_OFN18296_n_11421),
+	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.Y(n_11579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g325673 (
+	.A(FE_COEN4680_n_11447),
+	.B_N(n_11140),
+	.Y(n_11574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325674 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B1(n_11372),
+	.Y(n_11573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325675 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B1(n_11377),
+	.Y(n_11572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325676 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B1(n_11378),
+	.Y(n_11571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325677 (
+	.A1(FE_OFN18636_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(n_11374),
+	.Y(n_11570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325678 (
+	.A1(n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B1(n_11363),
+	.Y(n_11569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325679 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B1(n_11364),
+	.Y(n_11568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325680 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B1(n_11376),
+	.Y(n_11567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325681 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B1(n_11384),
+	.Y(n_11566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325682 (
+	.A(n_15863),
+	.B(FE_PSN4167_n_11087),
+	.Y(n_11637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325683 (
+	.A(n_15863),
+	.B(n_11086),
+	.Y(n_11636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325684 (
+	.A(n_15864),
+	.B(FE_PSN4820_n_11121),
+	.Y(n_11635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325685 (
+	.A(n_15864),
+	.B(FE_COEN4315_n_15877),
+	.Y(n_11633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325686 (
+	.A(n_15864),
+	.B(n_11086),
+	.Y(n_11632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325687 (
+	.A(n_15863),
+	.B(FE_PSN4820_n_11121),
+	.Y(n_11631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325688 (
+	.A(n_15863),
+	.B(FE_COEN4315_n_15877),
+	.Y(n_11629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g325689 (
+	.A1(n_621),
+	.A2(n_13873),
+	.B1(n_11229),
+	.C1(n_11431),
+	.Y(n_13487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325690 (
+	.A(n_15864),
+	.B(FE_PSN4167_n_11087),
+	.Y(n_11628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g325692 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.B(n_11458),
+	.Y(n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g325693 (
+	.A(n_11431),
+	.B(n_11411),
+	.Y(n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g325756 (
+	.A1(n_11357),
+	.A2(n_11032),
+	.B1(n_11161),
+	.C1(n_11167),
+	.X(n_11470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325757 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B1(n_11389),
+	.Y(n_11469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325758 (
+	.A1(FE_OFN18524_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(n_11385),
+	.Y(n_11468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g325759 (
+	.A1(n_11314),
+	.A2(n_11225),
+	.B1(n_11386),
+	.C1(n_11382),
+	.Y(n_11467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325760 (
+	.A1(FE_OFN18636_n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B1(n_11388),
+	.Y(n_11466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g325761 (
+	.A1(n_11393),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B1(n_11346),
+	.C1(n_11390),
+	.X(n_11465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g325762 (
+	.A0(n_11344),
+	.A1(n_11350),
+	.S(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_13451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g325763 (
+	.A0(n_11343),
+	.A1(n_11348),
+	.S(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_13454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g325764 (
+	.A(n_11463),
+	.B(n_11217),
+	.C(FE_OFN18277_n_13339),
+	.X(n_11564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325765 (
+	.A(n_11462),
+	.B(n_11283),
+	.X(n_11563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325767 (
+	.A(FE_PSN4779_n_11452),
+	.B(n_11253),
+	.X(n_11561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325769 (
+	.A(n_11461),
+	.B(n_11247),
+	.X(n_11559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325770 (
+	.A(n_11459),
+	.B(n_11251),
+	.X(n_11558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g325771 (
+	.A(n_11460),
+	.B(n_11221),
+	.C(FE_OFN18277_n_13339),
+	.X(n_11557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325772 (
+	.A(n_11433),
+	.B(n_11275),
+	.X(n_11556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325773 (
+	.A(n_11459),
+	.B(n_11244),
+	.X(n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325774 (
+	.A(n_11435),
+	.B(n_11256),
+	.X(n_11554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325775 (
+	.A(FE_PSN4364_n_11450),
+	.B(n_11290),
+	.X(n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325776 (
+	.A(n_11461),
+	.B(n_11248),
+	.X(n_11552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g325779 (
+	.A(n_11435),
+	.B(n_11221),
+	.C(n_11092),
+	.X(n_11518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325780 (
+	.A(n_11457),
+	.B(n_11241),
+	.X(n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g325781 (
+	.A(n_11434),
+	.B(n_11274),
+	.X(n_11516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325782 (
+	.A(n_11457),
+	.B(n_11237),
+	.X(n_11515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325784 (
+	.A(n_16002),
+	.B(n_11273),
+	.X(n_11513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325786 (
+	.A(n_11456),
+	.B(n_11254),
+	.X(n_11480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325787 (
+	.A(n_11433),
+	.B(n_11280),
+	.X(n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325788 (
+	.A(n_11455),
+	.B(n_11252),
+	.X(n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325790 (
+	.A(FE_PSN4770_n_11464),
+	.B(n_11262),
+	.X(n_11476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325791 (
+	.A(n_11455),
+	.B(n_11246),
+	.X(n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325792 (
+	.A(n_11451),
+	.B(n_11236),
+	.X(n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325793 (
+	.A(n_11451),
+	.B(n_11238),
+	.X(n_11473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325794 (
+	.A(n_11462),
+	.B(n_11282),
+	.X(n_11472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325795 (
+	.A(n_11452),
+	.B(n_11242),
+	.X(n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325801 (
+	.A(n_11393),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.Y(n_11442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325802 (
+	.A(FE_OFN18636_n_11393),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_11441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325803 (
+	.A(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(FE_PSN4433_n_11355),
+	.Y(n_11440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325804 (
+	.A(n_11315),
+	.B(n_11096),
+	.C(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.Y(n_11439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g325805 (
+	.A(n_13337),
+	.B_N(n_13462),
+	.Y(n_11438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g325806 (
+	.A(n_15874),
+	.B(FE_PSN4808_FE_COEN4315_n_15877),
+	.Y(n_11464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325807 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11121),
+	.C(n_11094),
+	.D(n_652),
+	.Y(n_11463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325808 (
+	.A(FE_PSN4104_n_11294),
+	.B(FE_COEN4315_n_15877),
+	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(n_11098),
+	.Y(n_11462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325809 (
+	.A(FE_PSN4104_n_11294),
+	.B(FE_COEN4315_n_15877),
+	.C(n_11094),
+	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.Y(n_11461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325810 (
+	.A(n_15874),
+	.B(FE_COEN4686_n_11121),
+	.Y(n_11460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325811 (
+	.A(FE_PSN4120_n_11294),
+	.B(n_11086),
+	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(n_11098),
+	.Y(n_11459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g325812 (
+	.A(FE_PSN4433_n_11355),
+	.B(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.Y(n_11458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325813 (
+	.A(FE_PSN4104_n_11294),
+	.B(FE_PSN4167_n_11087),
+	.C(n_11094),
+	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.Y(n_11457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325814 (
+	.A(FE_PSN4263_n_11294),
+	.B(n_11121),
+	.C(n_11094),
+	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.Y(n_11456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_4 g325815 (
+	.A(FE_PSN4120_n_11294),
+	.B(n_11121),
+	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(n_11098),
+	.Y(n_11455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g325817 (
+	.A(FE_PSN4345_n_11294),
+	.B(FE_PSN4167_n_11087),
+	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(n_11098),
+	.Y(n_11452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325818 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11086),
+	.C(n_11094),
+	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.Y(n_11451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325819 (
+	.A(n_15874),
+	.B(FE_PSN4167_n_11087),
+	.Y(n_11450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325822 (
+	.A(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(FE_PSN4433_n_11355),
+	.Y(n_11447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325823 (
+	.A(FE_OFN18451_n_11355),
+	.B(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.Y(n_11446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g325834 (
+	.A1(n_15886),
+	.A2(n_15879),
+	.B1(n_15873),
+	.Y(n_11414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325835 (
+	.A(n_11307),
+	.B(n_11303),
+	.C(n_11261),
+	.D(u_soc_u_top_u_core_id_stage_i_rf_ren_b),
+	.Y(n_11413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g325836 (
+	.A1(n_11226),
+	.A2(n_13457),
+	.A3(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.B1(FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
+	.Y(n_11412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g325837 (
+	.A1(n_11304),
+	.A2(n_11105),
+	.B1(n_11173),
+	.Y(n_11411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325838 (
+	.A(n_15874),
+	.B(FE_COEN4692_n_11086),
+	.Y(n_11435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325839 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11086),
+	.C(n_11094),
+	.D(n_652),
+	.Y(n_11434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g325840 (
+	.A(FE_PSN4104_n_11294),
+	.B(FE_PSN4167_n_11087),
+	.C(n_11094),
+	.D(n_652),
+	.Y(n_11433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g325841 (
+	.A1(n_11125),
+	.A2(n_11124),
+	.B1_N(n_11357),
+	.Y(n_11432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325845 (
+	.A(n_11401),
+	.B(n_11286),
+	.X(n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325848 (
+	.A(n_11395),
+	.B(n_11277),
+	.X(n_11425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325850 (
+	.A(n_11396),
+	.B(n_11250),
+	.X(n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325851 (
+	.A(n_11397),
+	.B(n_11265),
+	.Y(n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325854 (
+	.A(FE_PSN4787_n_11400),
+	.B(n_11234),
+	.X(n_11418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325857 (
+	.A(n_11398),
+	.B(n_11269),
+	.X(n_11415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g325860 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(FE_OFN18356_n_11313),
+	.X(n_11392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g325861 (
+	.A_N(n_11104),
+	.B(n_11306),
+	.Y(n_11391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325862 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B1(n_11313),
+	.Y(n_11390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325863 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325864 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325866 (
+	.A(n_11294),
+	.B(n_15876),
+	.C(n_11094),
+	.Y(n_11386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325867 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325868 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325869 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325870 (
+	.A(FE_PSN4124_n_11294),
+	.B(n_15880),
+	.C(n_11098),
+	.Y(n_11382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325871 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325872 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325873 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325874 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325875 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325876 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325877 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B1(FE_OFN18356_n_11313),
+	.Y(n_11375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325878 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(FE_OFN18356_n_11313),
+	.Y(n_11374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325879 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325880 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325881 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325882 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B1(FE_OFN18356_n_11313),
+	.Y(n_11370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g325883 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B1(FE_OFN18356_n_11313),
+	.X(n_11369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325884 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325885 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325886 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B1(FE_OFN18356_n_11313),
+	.Y(n_11366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325887 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325888 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325889 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B1(FE_OFN18356_n_11313),
+	.Y(n_11363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325890 (
+	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B1(n_11313),
+	.Y(n_11362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325891 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.B1(FE_OFN19551_n),
+	.Y(n_11361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325892 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.B1(n_11313),
+	.Y(n_11360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325893 (
+	.A(n_15875),
+	.B(FE_COEN4315_n_15877),
+	.Y(n_11401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325894 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11224),
+	.C(n_11087),
+	.Y(n_11400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g325895 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11224),
+	.C(n_11086),
+	.Y(n_11399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325896 (
+	.A(n_15875),
+	.B(n_11086),
+	.Y(n_11398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325897 (
+	.A(n_15875),
+	.B(FE_PSN4167_n_11087),
+	.Y(n_11397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325898 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11224),
+	.C(n_15877),
+	.Y(n_11396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g325899 (
+	.A(n_15875),
+	.B(FE_COEN4686_n_11121),
+	.Y(n_11395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325902 (
+	.A(n_11306),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.X(n_11393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325905 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g325906 (
+	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B1(FE_OFN19551_n),
+	.X(n_11352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325907 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325908 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.A2(n_11128),
+	.B1(n_11132),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.C1(n_11300),
+	.C2(n_11144),
+	.Y(n_11350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g325909 (
+	.A(n_11147),
+	.B(n_11164),
+	.C(n_11161),
+	.Y(n_11349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g325910 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.A2(n_11134),
+	.B1(n_11129),
+	.B2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.C1(n_11298),
+	.C2(n_11142),
+	.Y(n_11348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325911 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325912 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.A2(n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B2(FE_COEN4681_n_16005),
+	.Y(n_11346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g325913 (
+	.A1(n_11165),
+	.A2(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.A3(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.B1(n_11088),
+	.B2(n_11086),
+	.Y(n_11345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g325914 (
+	.A1(n_11128),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.B1(n_11132),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.C1(n_15885),
+	.Y(n_11344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g325915 (
+	.A1(n_11134),
+	.A2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.B1(n_11129),
+	.B2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.C1(n_11311),
+	.Y(n_11343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325916 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.A2(n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B2(FE_COEN4681_n_16005),
+	.Y(n_11342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325917 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325918 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 g325919 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B2(n_16005),
+	.Y(n_11339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325920 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325921 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.A2(n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325922 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325923 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325924 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325925 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g325926 (
+	.A1(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.A2(n_11112),
+	.B1(FE_PSN4825_n_11035),
+	.C1(n_11125),
+	.D1(n_11315),
+	.Y(n_11332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325927 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325928 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325929 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325930 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325931 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325932 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.A2(n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B2(n_16005),
+	.Y(n_11326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325933 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325934 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.A2(FE_OFN18469_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g325935 (
+	.A1(n_11258),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B1(FE_PSN4428_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [32]),
+	.Y(n_11323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325936 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325937 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.A2(FE_OFN18470_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B2(FE_OFN18377_n_16005),
+	.Y(n_11321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325938 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325939 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325940 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325941 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.A2(FE_OFN18243_n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B2(FE_OFN18613_n),
+	.Y(n_11317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g325942 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.A2(n_11259),
+	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B2(FE_COEN4681_n_16005),
+	.Y(n_11316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g325943 (
+	.A0(n_11296),
+	.A1(n_11300),
+	.S(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_13462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g325944 (
+	.A1(n_621),
+	.A2(FE_PSN4455_n_11223),
+	.B1(n_13341),
+	.C1(FE_COEN4565_n_11122),
+	.D1(n_11227),
+	.Y(n_13460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g325945 (
+	.A1(n_11257),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.B1(n_11141),
+	.Y(n_11357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g325946 (
+	.A0(n_11295),
+	.A1(n_11299),
+	.S(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_11356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g325947 (
+	.A1(n_11031),
+	.A2(n_13880),
+	.B1(n_11310),
+	.Y(n_11355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g325948 (
+	.A(FE_PSN4450_n_11314),
+	.Y(n_11315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325950 (
+	.A(n_11149),
+	.B(n_11295),
+	.Y(n_11311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325951 (
+	.A(n_13880),
+	.B(n_11230),
+	.Y(n_11310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325953 (
+	.A(FE_PSN4124_n_11294),
+	.B(n_11043),
+	.Y(n_11314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g325955 (
+	.A(n_11260),
+	.B(n_11175),
+	.X(n_11313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g325957 (
+	.A(n_11172),
+	.B(n_11166),
+	.C(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_11304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g325958 (
+	.A1(n_15880),
+	.A2(n_11098),
+	.B1(n_15876),
+	.B2(n_11094),
+	.C1(n_11231),
+	.X(n_11303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g325959 (
+	.A1(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.A2(n_13459),
+	.B1(n_13872),
+	.C1(n_13477),
+	.D1(n_11223),
+	.Y(n_11302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g325960 (
+	.A1(n_11214),
+	.A2(FE_OFN18277_n_13339),
+	.B1(n_11228),
+	.Y(n_11307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g325961 (
+	.A(n_11176),
+	.B(n_11260),
+	.X(n_11306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g325963 (
+	.A(n_11298),
+	.Y(n_11299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325967 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11157),
+	.Y(n_11291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g325968 (
+	.A_N(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B(FE_PSN4428_n_11176),
+	.Y(n_13839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325969 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11156),
+	.Y(n_11290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325970 (
+	.A(n_11112),
+	.B(n_11215),
+	.Y(n_11289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325972 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11209),
+	.Y(n_11287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325973 (
+	.A(n_11114),
+	.B(n_11216),
+	.Y(n_11286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325975 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11157),
+	.Y(n_11284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325976 (
+	.A(n_11114),
+	.B(n_11158),
+	.Y(n_11283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325977 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11159),
+	.Y(n_11282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325978 (
+	.A(n_11112),
+	.B(n_11160),
+	.Y(n_11281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325979 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11212),
+	.Y(n_11280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325980 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11209),
+	.Y(n_11279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325981 (
+	.A(n_11091),
+	.B(n_11222),
+	.Y(n_11278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325982 (
+	.A(FE_OFN18606_n_13339),
+	.B(n_11216),
+	.Y(n_11277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325983 (
+	.A(n_11093),
+	.B(n_11219),
+	.Y(n_11276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325984 (
+	.A(FE_PSN4166_n_13338),
+	.B(FE_OFN18207_n_11218),
+	.Y(n_11275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325985 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11212),
+	.Y(n_11274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325986 (
+	.A(n_11114),
+	.B(FE_OFN18207_n_11218),
+	.Y(n_11273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325987 (
+	.A(FE_OFN18606_n_13339),
+	.B(n_11219),
+	.Y(n_11272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325988 (
+	.A(FE_PSN4166_n_13338),
+	.B(n_11219),
+	.Y(n_11271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325990 (
+	.A(n_11093),
+	.B(n_11216),
+	.Y(n_11269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325991 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11209),
+	.Y(n_11268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325993 (
+	.A(n_11112),
+	.B(n_11209),
+	.Y(n_11266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325994 (
+	.A(FE_PSN4166_n_13338),
+	.B(n_11216),
+	.Y(n_11265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g325997 (
+	.A(n_11114),
+	.B(n_11220),
+	.Y(n_11262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325998 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_11300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g325999 (
+	.A(u_soc_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_11298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g326000 (
+	.A_N(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85),
+	.Y(n_11296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g326001 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B_N(u_soc_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.Y(n_11295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g326002 (
+	.A(n_16004),
+	.B(n_11130),
+	.Y(n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g326004 (
+	.A1(n_13468),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.B1(n_13352),
+	.B2(n_11036),
+	.Y(n_11257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326005 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11156),
+	.Y(n_11256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326006 (
+	.A(n_11112),
+	.B(n_11157),
+	.Y(n_11255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326007 (
+	.A(FE_OFN18606_n_13339),
+	.B(n_11210),
+	.Y(n_11254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326008 (
+	.A(FE_PSN4166_n_13338),
+	.B(n_11158),
+	.Y(n_11253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326009 (
+	.A(FE_OFN18606_n_13339),
+	.B(n_11158),
+	.Y(n_11252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326010 (
+	.A(n_11093),
+	.B(n_11158),
+	.Y(n_11251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326011 (
+	.A(n_11114),
+	.B(n_11213),
+	.Y(n_11250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326012 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11160),
+	.Y(n_11249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326013 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11215),
+	.Y(n_11248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326014 (
+	.A(n_11114),
+	.B(n_11210),
+	.Y(n_11247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326015 (
+	.A(n_11112),
+	.B(n_11159),
+	.Y(n_11246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326016 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11160),
+	.Y(n_11245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326017 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11159),
+	.Y(n_11244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326018 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11160),
+	.Y(n_11243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326019 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11159),
+	.Y(n_11242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326020 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11215),
+	.Y(n_11241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326021 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11222),
+	.Y(n_11240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326022 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11222),
+	.Y(n_11239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326023 (
+	.A(FE_COEN4274_n_11089),
+	.B(n_11215),
+	.Y(n_11238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326024 (
+	.A(FE_PSN4166_n_13338),
+	.B(n_11210),
+	.Y(n_11237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326025 (
+	.A(n_11093),
+	.B(n_11210),
+	.Y(n_11236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326026 (
+	.A(n_11093),
+	.B(n_11213),
+	.Y(n_11235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326027 (
+	.A(FE_PSN4166_n_13338),
+	.B(n_11213),
+	.Y(n_11234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326028 (
+	.A(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.B(n_13456),
+	.Y(n_11233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326029 (
+	.A(FE_COEN4279_n_11090),
+	.B(n_11157),
+	.Y(n_11232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326030 (
+	.A1_N(n_11124),
+	.A2_N(n_11101),
+	.B1(n_13469),
+	.B2(n_11100),
+	.Y(n_11231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326031 (
+	.A(n_11174),
+	.B(n_13342),
+	.Y(n_11230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g326032 (
+	.A1(n_13873),
+	.A2(FE_PSN4484_n_11123),
+	.B1(n_11105),
+	.Y(n_11229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g326033 (
+	.A1(FE_COEN4294_n_11033),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.B1(n_11148),
+	.Y(n_11228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326034 (
+	.A(n_11168),
+	.B(n_13343),
+	.Y(n_11227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g326035 (
+	.A1(n_568),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B1(n_104),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.C1(u_soc_u_tcam_rvalid_o),
+	.Y(n_11226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g326036 (
+	.A1(n_11101),
+	.A2(n_11035),
+	.B1(n_11099),
+	.B2(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.Y(n_11225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 g326038 (
+	.A1(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.A2(FE_COEN4294_n_11033),
+	.B1(n_11146),
+	.Y(n_11261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326039 (
+	.A(u_soc_u_top_u_core_multdiv_operator_ex[0]),
+	.B(n_11171),
+	.Y(n_11260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g326040 (
+	.A1(n_13345),
+	.A2(n_13366),
+	.B1(FE_OFN18250_n_183),
+	.X(n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326041 (
+	.A(n_11220),
+	.Y(n_11221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326076 (
+	.A(n_11138),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_11174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326077 (
+	.A(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.B(n_13873),
+	.Y(n_11173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g326078 (
+	.A_N(n_11130),
+	.B(FE_PSN4439_n_11094),
+	.Y(n_13371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326079 (
+	.A(n_13477),
+	.B(n_11041),
+	.Y(n_11172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326080 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B(n_13366),
+	.Y(n_11171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326081 (
+	.A(n_13467),
+	.B(n_652),
+	.Y(n_11170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326082 (
+	.A(n_720),
+	.B(n_11122),
+	.Y(n_11169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326083 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.B(n_13455),
+	.Y(n_11168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326084 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.B(n_13467),
+	.Y(n_11224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326085 (
+	.A(n_11111),
+	.B(n_11121),
+	.Y(n_11167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326086 (
+	.A(n_11123),
+	.B(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.Y(n_11166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326087 (
+	.A(n_13873),
+	.B(n_621),
+	.Y(n_13341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g326088 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_13465),
+	.X(n_13335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g326089 (
+	.A(n_13459),
+	.B(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_11223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326090 (
+	.A(n_11137),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_13880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326091 (
+	.A(n_11125),
+	.B(n_11032),
+	.Y(n_11222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326092 (
+	.A(n_15880),
+	.B(n_11018),
+	.Y(n_11220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326093 (
+	.A(n_11135),
+	.B(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.Y(n_11219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326094 (
+	.A(n_15876),
+	.B(n_11018),
+	.Y(n_11218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326095 (
+	.A(n_11101),
+	.B(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.Y(n_11216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326097 (
+	.A(n_11133),
+	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.Y(n_11215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326098 (
+	.A(n_11135),
+	.B(n_11020),
+	.Y(n_11213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326099 (
+	.A(n_11133),
+	.B(n_11032),
+	.Y(n_11212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326100 (
+	.A(n_15876),
+	.B(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.Y(n_11210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326101 (
+	.A(n_11125),
+	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.Y(n_11209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g326102 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_13334),
+	.X(n_11208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g326103 (
+	.A(n_556),
+	.B(n_11054),
+	.Y(n_11176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326104 (
+	.A(n_13452),
+	.B(FE_OFN18250_n_183),
+	.Y(n_11175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326105 (
+	.A(n_11164),
+	.Y(n_11165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g326110 (
+	.A1(n_71),
+	.A2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_11149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g326111 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.B(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.Y(n_11148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g326112 (
+	.A1(n_11033),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.B1(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.B2(n_11034),
+	.Y(n_11147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g326113 (
+	.A1(n_11018),
+	.A2(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.B1(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.B2(n_652),
+	.Y(n_11146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g326114 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_11145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g326115 (
+	.A1(n_599),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.X(n_11144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g326116 (
+	.A1(n_599),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_11143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g326117 (
+	.A1(n_71),
+	.A2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.X(n_11142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g326118 (
+	.A(n_13469),
+	.B_N(n_11096),
+	.Y(n_11141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g326119 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.B(u_soc_u_top_u_core_id_stage_i_jump_set_dec),
+	.C(u_soc_u_top_u_core_id_stage_i_jump_in_dec),
+	.Y(n_13331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326120 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g326121 (
+	.A1(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.A2(n_11038),
+	.B1(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.Y(n_11164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326123 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_13456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g326124 (
+	.A(n_11129),
+	.B(n_11134),
+	.X(n_13336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g326125 (
+	.A(n_11132),
+	.B(n_11128),
+	.X(n_13337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326126 (
+	.A1_N(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2_N(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_13457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326127 (
+	.A1_N(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2_N(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326128 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2_N(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g326129 (
+	.A1_N(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2_N(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g326130 (
+	.A1(n_652),
+	.A2(n_11032),
+	.B1(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.Y(n_11161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326131 (
+	.A(n_11096),
+	.B(n_11032),
+	.Y(n_11160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326132 (
+	.A(n_15879),
+	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.Y(n_11159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326133 (
+	.A(n_15880),
+	.B(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.Y(n_11158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326134 (
+	.A(n_11096),
+	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.Y(n_11157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326135 (
+	.A(n_15879),
+	.B(n_11032),
+	.Y(n_11156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326136 (
+	.A(n_11018),
+	.B(n_11100),
+	.Y(n_11154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g326137 (
+	.A_N(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_11102),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.X(n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g326139 (
+	.A(n_11138),
+	.Y(n_13465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326147 (
+	.A(n_13467),
+	.Y(n_11124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g326157 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.X(n_11110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g326159 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B(u_soc_u_top_u_core_instr_is_compressed_id),
+	.Y(n_11140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326161 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.Y(n_11139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326162 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
+	.B(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.Y(n_13872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326163 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_13345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326164 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_11138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326165 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_11046),
+	.Y(n_11137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g326166 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.B(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.Y(n_11135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g326167 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_11134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326168 (
+	.A(n_11040),
+	.B(n_11036),
+	.Y(n_11133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326169 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_599),
+	.Y(n_11132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326170 (
+	.A(n_11045),
+	.B(n_11044),
+	.Y(n_13477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g326171 (
+	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.Y(n_11130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326172 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_71),
+	.Y(n_11129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g326173 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_11128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326175 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.B(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.Y(n_11125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326176 (
+	.A(n_11035),
+	.B(n_11043),
+	.Y(n_13467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326177 (
+	.A(FE_PSN4347_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.Y(n_13873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326178 (
+	.A(n_11045),
+	.B(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.Y(n_11123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g326179 (
+	.A(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.B(n_11033),
+	.X(n_11121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326181 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.B(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.Y(n_11114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326182 (
+	.A(n_11037),
+	.B(n_11021),
+	.Y(n_13339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326183 (
+	.A(n_11034),
+	.B(n_11038),
+	.Y(n_11112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326186 (
+	.A(n_11102),
+	.Y(n_13879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326187 (
+	.A(n_11099),
+	.Y(n_11100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326188 (
+	.A(n_11098),
+	.Y(n_13468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326191 (
+	.A(n_11094),
+	.Y(n_13352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326193 (
+	.A(FE_COEN4274_n_11089),
+	.Y(n_11088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326194 (
+	.A(FE_PSN4167_n_11087),
+	.Y(n_13370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326195 (
+	.A(FE_COEN4692_n_11086),
+	.Y(n_13351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g326228 (
+	.A_N(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_13464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g326231 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.B(u_soc_u_top_u_core_id_stage_i_branch_in_dec),
+	.Y(n_13332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326232 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_11105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326233 (
+	.A(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(n_11041),
+	.Y(n_13455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326234 (
+	.A(FE_OFN18249_n_183),
+	.B(n_132),
+	.Y(n_13334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326235 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.Y(n_11104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326236 (
+	.A(n_11041),
+	.B(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.Y(n_13343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326237 (
+	.A(n_11043),
+	.B(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.Y(n_13469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326239 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B(FE_OFN18250_n_183),
+	.Y(n_11102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326240 (
+	.A(n_132),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_13366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326241 (
+	.A(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.B(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.Y(n_11101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326242 (
+	.A(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.B(n_11020),
+	.Y(n_11099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g326243 (
+	.A(n_11035),
+	.B(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
+	.X(n_11098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g326244 (
+	.A(n_11039),
+	.B(n_11041),
+	.Y(n_13459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g326245 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.B(n_11036),
+	.Y(n_11096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g326247 (
+	.A(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
+	.B(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.X(n_11094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g326248 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.B(n_11021),
+	.Y(n_11093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326249 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.B(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.Y(n_11091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326250 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.B(n_11038),
+	.Y(n_11090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326251 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.B(n_11034),
+	.Y(n_11089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g326252 (
+	.A(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.B(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.X(n_11087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g326253 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.B(n_11037),
+	.Y(n_13338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g326254 (
+	.A(n_11033),
+	.B(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.X(n_11086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g326255 (
+	.A(u_soc_u_top_u_core_mult_sel_ex),
+	.B(n_556),
+	.Y(n_11054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326261 (
+	.A(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.Y(n_11044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326277 (
+	.A(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.Y(n_11028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g326281 (
+	.A(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.Y(n_621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277656 (
+	.A(n_10997),
+	.B(n_11005),
+	.C(n_11003),
+	.D(n_11006),
+	.Y(u_soc_u_top_u_core_trigger_match), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g277657 (
+	.A(n_11004),
+	.B(n_11000),
+	.C(n_10999),
+	.D(n_11002),
+	.Y(n_11006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g277658 (
+	.A(n_10998),
+	.B(n_10974),
+	.C(u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.Y(n_11005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277659 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.B(n_10990),
+	.C(n_10975),
+	.D(n_10995),
+	.Y(n_11004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g277660 (
+	.A(n_11001),
+	.B(n_10986),
+	.C(n_10983),
+	.D(n_10984),
+	.Y(n_11003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277661 (
+	.A(n_10978),
+	.B(n_10985),
+	.C(n_10996),
+	.D(n_10966),
+	.Y(n_11002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277662 (
+	.A(n_10976),
+	.B(n_10979),
+	.C(n_10980),
+	.D(n_10977),
+	.Y(n_11001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277663 (
+	.A(n_10982),
+	.B(n_10981),
+	.C(n_10973),
+	.D(n_10987),
+	.Y(n_11000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277664 (
+	.A(n_10993),
+	.B(n_10972),
+	.C(n_10968),
+	.D(n_10994),
+	.Y(n_10999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g277665 (
+	.A(n_10967),
+	.B(n_10970),
+	.C(n_10971),
+	.D(n_10969),
+	.Y(n_10998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g277666 (
+	.A(n_10992),
+	.B(n_10991),
+	.C(n_10989),
+	.D(n_10988),
+	.Y(n_10997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277667 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.B(u_soc_u_top_u_core_pc_if[18]),
+	.X(n_10996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277668 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.B(u_soc_u_top_u_core_pc_if[2]),
+	.X(n_10995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277669 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.B(u_soc_u_top_u_core_pc_if[5]),
+	.X(n_10994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277670 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.B(u_soc_u_top_u_core_pc_if[4]),
+	.X(n_10993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277671 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.B(u_soc_u_top_u_core_pc_if[31]),
+	.Y(n_10992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277672 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.B(u_soc_u_top_u_core_pc_if[29]),
+	.Y(n_10991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277673 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.B(u_soc_u_top_u_core_pc_if[3]),
+	.X(n_10990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g277674 (
+	.A1_N(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.A2_N(u_soc_u_top_u_core_pc_if[30]),
+	.B1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.B2(u_soc_u_top_u_core_pc_if[30]),
+	.Y(n_10989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277675 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.B(u_soc_u_top_u_core_pc_if[28]),
+	.Y(n_10988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277676 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.B(u_soc_u_top_u_core_pc_if[25]),
+	.X(n_10987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277677 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.B(u_soc_u_top_u_core_pc_if[15]),
+	.Y(n_10986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277678 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.B(u_soc_u_top_u_core_pc_if[17]),
+	.X(n_10985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277679 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.B(u_soc_u_top_u_core_pc_if[14]),
+	.Y(n_10984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277680 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.B(u_soc_u_top_u_core_pc_if[12]),
+	.Y(n_10983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277681 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.B(u_soc_u_top_u_core_pc_if[24]),
+	.X(n_10982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277682 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.B(u_soc_u_top_u_core_pc_if[26]),
+	.X(n_10981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277683 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.B(u_soc_u_top_u_core_pc_if[10]),
+	.X(n_10980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277684 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.B(u_soc_u_top_u_core_pc_if[9]),
+	.X(n_10979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277685 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.B(u_soc_u_top_u_core_pc_if[16]),
+	.X(n_10978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277686 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.B(u_soc_u_top_u_core_pc_if[11]),
+	.X(n_10977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277687 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.B(u_soc_u_top_u_core_pc_if[8]),
+	.X(n_10976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277688 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.B(u_soc_u_top_u_core_pc_if[1]),
+	.X(n_10975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g277689 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.B(u_soc_u_top_u_core_pc_if[13]),
+	.Y(n_10974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277690 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.B(u_soc_u_top_u_core_pc_if[27]),
+	.X(n_10973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277691 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.B(u_soc_u_top_u_core_pc_if[6]),
+	.X(n_10972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277692 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.B(u_soc_u_top_u_core_pc_if[22]),
+	.X(n_10971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277693 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.B(u_soc_u_top_u_core_pc_if[21]),
+	.X(n_10970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277694 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.B(u_soc_u_top_u_core_pc_if[23]),
+	.X(n_10969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277695 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.B(u_soc_u_top_u_core_pc_if[7]),
+	.X(n_10968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277696 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.B(u_soc_u_top_u_core_pc_if[20]),
+	.X(n_10967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g277697 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.B(u_soc_u_top_u_core_pc_if[19]),
+	.X(n_10966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g326299 (
+	.A(u_soc_n_289),
+	.B(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.X(\u_soc_u_top_u_core_irqs[irq_external] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g326300 (
+	.A(u_soc_intr_u_rx),
+	.B(u_soc_intr_u_tx),
+	.X(u_soc_n_289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[0]  (
+	.CLK(CTS_77),
+	.D(n_10828),
+	.Q(u_soc_baud_reg[0]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[1]  (
+	.CLK(CTS_77),
+	.D(n_10573),
+	.Q(u_soc_baud_reg[1]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[2]  (
+	.CLK(CTS_77),
+	.D(n_10557),
+	.Q(u_soc_baud_reg[2]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[3]  (
+	.CLK(CTS_77),
+	.D(n_10548),
+	.Q(u_soc_baud_reg[3]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[4]  (
+	.CLK(CTS_77),
+	.D(n_10574),
+	.Q(u_soc_baud_reg[4]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[5]  (
+	.CLK(CTS_77),
+	.D(n_10549),
+	.Q(u_soc_baud_reg[5]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[6]  (
+	.CLK(CTS_77),
+	.D(n_10687),
+	.Q(u_soc_baud_reg[6]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[7]  (
+	.CLK(CTS_77),
+	.D(n_10518),
+	.Q(u_soc_baud_reg[7]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[8]  (
+	.CLK(CTS_77),
+	.D(n_10550),
+	.Q(u_soc_baud_reg[8]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[9]  (
+	.CLK(CTS_77),
+	.D(n_10352),
+	.Q(u_soc_baud_reg[9]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[10]  (
+	.CLK(CTS_77),
+	.D(n_10556),
+	.Q(u_soc_baud_reg[10]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[11]  (
+	.CLK(CTS_77),
+	.D(n_10521),
+	.Q(u_soc_baud_reg[11]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[12]  (
+	.CLK(CTS_77),
+	.D(n_10520),
+	.Q(u_soc_baud_reg[12]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[13]  (
+	.CLK(CTS_77),
+	.D(n_10349),
+	.Q(u_soc_baud_reg[13]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[14]  (
+	.CLK(CTS_77),
+	.D(n_10348),
+	.Q(u_soc_baud_reg[14]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[15]  (
+	.CLK(CTS_77),
+	.D(n_10347),
+	.Q(u_soc_baud_reg[15]),
+	.RESET_B(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[0]  (
+	.CLK_N(CTS_52),
+	.D(n_10533),
+	.Q(u_soc_data_addr[0]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[1]  (
+	.CLK_N(CTS_52),
+	.D(n_10539),
+	.Q(u_soc_data_addr[1]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[2]  (
+	.CLK_N(CTS_52),
+	.D(n_10538),
+	.Q(u_soc_data_addr[2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[3]  (
+	.CLK_N(CTS_52),
+	.D(n_10536),
+	.Q(u_soc_data_addr[3]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[4]  (
+	.CLK_N(CTS_52),
+	.D(n_10537),
+	.Q(u_soc_data_addr[4]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[5]  (
+	.CLK_N(CTS_52),
+	.D(n_10535),
+	.Q(u_soc_data_addr[5]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[6]  (
+	.CLK_N(CTS_52),
+	.D(n_10534),
+	.Q(u_soc_data_addr[6]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[7]  (
+	.CLK_N(CTS_52),
+	.D(n_10531),
+	.Q(u_soc_data_addr[7]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[8]  (
+	.CLK_N(CTS_31),
+	.D(n_10532),
+	.Q(u_soc_data_addr[8]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[9]  (
+	.CLK_N(CTS_31),
+	.D(n_10530),
+	.Q(u_soc_data_addr[9]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfbbn_1 u_soc_dccm_adapter_csb_reg (
+	.CLK_N(CTS_31),
+	.D(u_soc_dccm_adapter_data_csbD),
+	.Q_N(u_soc_data_csb),
+	.RESET_B(n_17933),
+	.SET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[0]  (
+	.CLK_N(CTS_52),
+	.D(n_10682),
+	.Q(u_soc_data_wdata[0]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[1]  (
+	.CLK_N(CTS_52),
+	.D(n_10681),
+	.Q(u_soc_data_wdata[1]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[2]  (
+	.CLK_N(CTS_52),
+	.D(n_10680),
+	.Q(u_soc_data_wdata[2]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[3]  (
+	.CLK_N(CTS_52),
+	.D(n_10679),
+	.Q(u_soc_data_wdata[3]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[4]  (
+	.CLK_N(CTS_52),
+	.D(n_10683),
+	.Q(u_soc_data_wdata[4]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[5]  (
+	.CLK_N(CTS_52),
+	.D(n_10686),
+	.Q(u_soc_data_wdata[5]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[6]  (
+	.CLK_N(CTS_52),
+	.D(n_10685),
+	.Q(u_soc_data_wdata[6]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[7]  (
+	.CLK_N(CTS_52),
+	.D(n_10684),
+	.Q(u_soc_data_wdata[7]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[8]  (
+	.CLK_N(CTS_52),
+	.D(n_10662),
+	.Q(u_soc_data_wdata[8]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[9]  (
+	.CLK_N(CTS_52),
+	.D(n_10661),
+	.Q(u_soc_data_wdata[9]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[10]  (
+	.CLK_N(CTS_52),
+	.D(n_10660),
+	.Q(u_soc_data_wdata[10]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[11]  (
+	.CLK_N(CTS_52),
+	.D(n_10655),
+	.Q(u_soc_data_wdata[11]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[12]  (
+	.CLK_N(CTS_52),
+	.D(n_10659),
+	.Q(u_soc_data_wdata[12]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[13]  (
+	.CLK_N(CTS_52),
+	.D(n_10658),
+	.Q(u_soc_data_wdata[13]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[14]  (
+	.CLK_N(CTS_52),
+	.D(n_10657),
+	.Q(u_soc_data_wdata[14]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[15]  (
+	.CLK_N(CTS_52),
+	.D(n_10656),
+	.Q(u_soc_data_wdata[15]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[16]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4864_n_10678),
+	.Q(u_soc_data_wdata[16]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[17]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4867_n_10677),
+	.Q(u_soc_data_wdata[17]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[18]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4866_n_10676),
+	.Q(u_soc_data_wdata[18]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[19]  (
+	.CLK_N(CTS_52),
+	.D(n_10675),
+	.Q(u_soc_data_wdata[19]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[20]  (
+	.CLK_N(CTS_52),
+	.D(n_10674),
+	.Q(u_soc_data_wdata[20]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[21]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4869_n_10673),
+	.Q(u_soc_data_wdata[21]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[22]  (
+	.CLK_N(CTS_52),
+	.D(n_10672),
+	.Q(u_soc_data_wdata[22]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[23]  (
+	.CLK_N(CTS_52),
+	.D(n_10671),
+	.Q(u_soc_data_wdata[23]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[24]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4568_n_10670),
+	.Q(u_soc_data_wdata[24]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[25]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4569_n_10669),
+	.Q(u_soc_data_wdata[25]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[26]  (
+	.CLK_N(CTS_52),
+	.D(n_10668),
+	.Q(u_soc_data_wdata[26]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[27]  (
+	.CLK_N(CTS_52),
+	.D(n_10667),
+	.Q(u_soc_data_wdata[27]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[28]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4561_n_10666),
+	.Q(u_soc_data_wdata[28]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[29]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4871_n_10665),
+	.Q(u_soc_data_wdata[29]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[30]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4563_n_10664),
+	.Q(u_soc_data_wdata[30]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[31]  (
+	.CLK_N(CTS_52),
+	.D(FE_COEN4564_n_10663),
+	.Q(u_soc_data_wdata[31]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 u_soc_dccm_adapter_we_o_reg (
+	.CLK_N(CTS_31),
+	.D(FE_OFN18232_u_soc_dccm_adapter_data_weD),
+	.Q(u_soc_data_we),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[0]  (
+	.CLK_N(CTS_52),
+	.D(n_10555),
+	.Q(u_soc_data_wmask[0]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[1]  (
+	.CLK_N(CTS_52),
+	.D(n_10547),
+	.Q(u_soc_data_wmask[1]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[2]  (
+	.CLK_N(CTS_31),
+	.D(n_10554),
+	.Q(u_soc_data_wmask[2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[3]  (
+	.CLK_N(CTS_52),
+	.D(n_10523),
+	.Q(u_soc_data_wmask[3]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[0]  (
+	.CLK_N(CTS_23),
+	.D(n_10400),
+	.Q(u_soc_instr_addr[0]),
+	.RESET_B(n_17934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[1]  (
+	.CLK_N(CTS_23),
+	.D(n_10399),
+	.Q(u_soc_instr_addr[1]),
+	.RESET_B(n_17935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[2]  (
+	.CLK_N(CTS_23),
+	.D(n_10398),
+	.Q(u_soc_instr_addr[2]),
+	.RESET_B(n_17936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[3]  (
+	.CLK_N(CTS_23),
+	.D(n_10397),
+	.Q(u_soc_instr_addr[3]),
+	.RESET_B(FE_PDN4048_n_17937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[4]  (
+	.CLK_N(CTS_23),
+	.D(n_10396),
+	.Q(u_soc_instr_addr[4]),
+	.RESET_B(FE_PDN3948_n_17938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[5]  (
+	.CLK_N(CTS_23),
+	.D(n_10395),
+	.Q(u_soc_instr_addr[5]),
+	.RESET_B(FE_PDN3953_n_17939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[6]  (
+	.CLK_N(FE_USKN4851_CTS_23),
+	.D(n_10551),
+	.Q(u_soc_instr_addr[6]),
+	.RESET_B(FE_PDN3937_n_17940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[7]  (
+	.CLK_N(CTS_23),
+	.D(n_10558),
+	.Q(u_soc_instr_addr[7]),
+	.RESET_B(FE_PDN3941_n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[8]  (
+	.CLK_N(CTS_23),
+	.D(n_10559),
+	.Q(u_soc_instr_addr[8]),
+	.RESET_B(n_17942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[9]  (
+	.CLK_N(CTS_23),
+	.D(n_10561),
+	.Q(u_soc_instr_addr[9]),
+	.RESET_B(n_17943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_csbD_reg (
+	.CLK_N(CTS_20),
+	.D(n_10340),
+	.Q(u_soc_iccm_adapter_instr_csbD),
+	.RESET_B(n_17944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[0]  (
+	.CLK_N(CTS_20),
+	.D(n_8906),
+	.Q(u_soc_instr_wdata[0]),
+	.RESET_B(n_17945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[1]  (
+	.CLK_N(CTS_21),
+	.D(n_8889),
+	.Q(u_soc_instr_wdata[1]),
+	.RESET_B(n_17956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[2]  (
+	.CLK_N(CTS_17),
+	.D(n_8909),
+	.Q(u_soc_instr_wdata[2]),
+	.RESET_B(n_17967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[3]  (
+	.CLK_N(CTS_18),
+	.D(n_8888),
+	.Q(u_soc_instr_wdata[3]),
+	.RESET_B(n_17970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[4]  (
+	.CLK_N(CTS_18),
+	.D(FE_OFN1027_n_8894),
+	.Q(u_soc_instr_wdata[4]),
+	.RESET_B(n_17971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[5]  (
+	.CLK_N(CTS_18),
+	.D(FE_OFN1026_n_8886),
+	.Q(u_soc_instr_wdata[5]),
+	.RESET_B(n_17972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[6]  (
+	.CLK_N(CTS_18),
+	.D(FE_OFN1030_n_8905),
+	.Q(u_soc_instr_wdata[6]),
+	.RESET_B(n_17973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[7]  (
+	.CLK_N(CTS_18),
+	.D(FE_OFN1028_n_8899),
+	.Q(u_soc_instr_wdata[7]),
+	.RESET_B(n_17974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[8]  (
+	.CLK_N(CTS_18),
+	.D(FE_OFN1029_n_8904),
+	.Q(u_soc_instr_wdata[8]),
+	.RESET_B(n_17975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[9]  (
+	.CLK_N(CTS_18),
+	.D(FE_OFN1031_n_8910),
+	.Q(u_soc_instr_wdata[9]),
+	.RESET_B(n_17976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[10]  (
+	.CLK_N(CTS_20),
+	.D(n_8831),
+	.Q(u_soc_instr_wdata[10]),
+	.RESET_B(n_17946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[11]  (
+	.CLK_N(CTS_20),
+	.D(n_8834),
+	.Q(u_soc_instr_wdata[11]),
+	.RESET_B(n_17947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[12]  (
+	.CLK_N(CTS_20),
+	.D(n_8903),
+	.Q(u_soc_instr_wdata[12]),
+	.RESET_B(n_17948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[13]  (
+	.CLK_N(CTS_20),
+	.D(n_8828),
+	.Q(u_soc_instr_wdata[13]),
+	.RESET_B(n_17949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[14]  (
+	.CLK_N(CTS_20),
+	.D(n_8825),
+	.Q(u_soc_instr_wdata[14]),
+	.RESET_B(n_17950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[15]  (
+	.CLK_N(CTS_21),
+	.D(n_8826),
+	.Q(u_soc_instr_wdata[15]),
+	.RESET_B(n_17951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[16]  (
+	.CLK_N(CTS_17),
+	.D(n_8902),
+	.Q(u_soc_instr_wdata[16]),
+	.RESET_B(n_17952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[17]  (
+	.CLK_N(CTS_17),
+	.D(n_8829),
+	.Q(u_soc_instr_wdata[17]),
+	.RESET_B(n_17953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[18]  (
+	.CLK_N(CTS_17),
+	.D(n_8901),
+	.Q(u_soc_instr_wdata[18]),
+	.RESET_B(n_17954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[19]  (
+	.CLK_N(CTS_17),
+	.D(n_8891),
+	.Q(u_soc_instr_wdata[19]),
+	.RESET_B(n_17955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[20]  (
+	.CLK_N(CTS_21),
+	.D(n_8900),
+	.Q(u_soc_instr_wdata[20]),
+	.RESET_B(n_17957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[21]  (
+	.CLK_N(CTS_21),
+	.D(n_8887),
+	.Q(u_soc_instr_wdata[21]),
+	.RESET_B(n_17958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[22]  (
+	.CLK_N(CTS_21),
+	.D(n_8890),
+	.Q(u_soc_instr_wdata[22]),
+	.RESET_B(n_17959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[23]  (
+	.CLK_N(CTS_17),
+	.D(n_8907),
+	.Q(u_soc_instr_wdata[23]),
+	.RESET_B(n_17960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[24]  (
+	.CLK_N(CTS_17),
+	.D(n_8898),
+	.Q(u_soc_instr_wdata[24]),
+	.RESET_B(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[25]  (
+	.CLK_N(CTS_17),
+	.D(n_8908),
+	.Q(u_soc_instr_wdata[25]),
+	.RESET_B(n_17962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[26]  (
+	.CLK_N(CTS_17),
+	.D(n_8833),
+	.Q(u_soc_instr_wdata[26]),
+	.RESET_B(n_17963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[27]  (
+	.CLK_N(CTS_17),
+	.D(n_8897),
+	.Q(u_soc_instr_wdata[27]),
+	.RESET_B(n_17964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[28]  (
+	.CLK_N(CTS_17),
+	.D(n_8892),
+	.Q(u_soc_instr_wdata[28]),
+	.RESET_B(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[29]  (
+	.CLK_N(CTS_17),
+	.D(n_8896),
+	.Q(u_soc_instr_wdata[29]),
+	.RESET_B(n_17966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[30]  (
+	.CLK_N(CTS_17),
+	.D(n_8893),
+	.Q(u_soc_instr_wdata[30]),
+	.RESET_B(n_17968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[31]  (
+	.CLK_N(CTS_17),
+	.D(n_8895),
+	.Q(u_soc_instr_wdata[31]),
+	.RESET_B(n_17969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_weD_reg (
+	.CLK_N(CTS_18),
+	.D(n_8944),
+	.Q(u_soc_iccm_adapter_instr_weD),
+	.RESET_B(n_17977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wmaskD_reg[0]  (
+	.CLK_N(FE_USKN4489_CTS_18),
+	.D(FE_PSBN19824_n_10150),
+	.Q(u_soc_instr_wmask[0]),
+	.RESET_B(n_17978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_u_top_u_core_core_busy_q_reg (
+	.CLK(CTS_23),
+	.D(n_10143),
+	.Q(u_soc_u_top_u_core_core_busy_q),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_u_top_u_core_fetch_enable_q_reg (
+	.CLK(CTS_22),
+	.D(n_17987),
+	.Q(u_soc_u_top_u_core_fetch_enable_q),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[0]  (
+	.D(n_10946),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[1]  (
+	.D(n_10927),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[2]  (
+	.D(n_10926),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[3]  (
+	.D(FE_OFN1635_n_10943),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[4]  (
+	.D(FE_OFN1636_n_10944),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[5]  (
+	.D(n_10925),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[6]  (
+	.D(n_10924),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[7]  (
+	.D(n_10942),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[8]  (
+	.D(n_10941),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[9]  (
+	.D(n_10940),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[10]  (
+	.D(n_10939),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[11]  (
+	.D(n_10923),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[12]  (
+	.D(n_10938),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[13]  (
+	.D(n_10937),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[14]  (
+	.D(n_10922),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[15]  (
+	.D(n_10936),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[16]  (
+	.D(n_10935),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[17]  (
+	.D(n_10921),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[18]  (
+	.D(n_10920),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[19]  (
+	.D(n_10934),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[20]  (
+	.D(n_10919),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[21]  (
+	.D(n_10933),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[22]  (
+	.D(n_10932),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[23]  (
+	.D(n_10918),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[24]  (
+	.D(FE_OFN19502_n_10931),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[25]  (
+	.D(n_10917),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[26]  (
+	.D(n_10930),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[27]  (
+	.D(n_10916),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[28]  (
+	.D(n_10929),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[29]  (
+	.D(n_10928),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[30]  (
+	.D(n_10945),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[31]  (
+	.D(n_10949),
+	.GATE_N(n_10950),
+	.Q(u_soc_u_uart_u_uart_core_rx_val[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[1]  (
+	.D(n_10915),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[2]  (
+	.D(n_10914),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[3]  (
+	.D(n_10913),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[4]  (
+	.D(n_10912),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[5]  (
+	.D(n_10911),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[6]  (
+	.D(n_10910),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[7]  (
+	.D(n_10952),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[8]  (
+	.D(n_10909),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g339741 (
+	.A1(n_13379),
+	.A2(n_10965),
+	.B1(n_10962),
+	.Y(u_soc_u_top_u_core_id_stage_i_csr_pipe_flush), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g339742 (
+	.A1(u_soc_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.A2(u_soc_u_top_u_core_illegal_csr_insn_id),
+	.B1(u_soc_u_top_u_core_instr_valid_id),
+	.X(u_soc_u_top_u_core_id_stage_i_n_932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g339743 (
+	.A(n_10964),
+	.B(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.C(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.D(FE_OFN18414_n),
+	.Y(n_10965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g339744 (
+	.A1(n_10963),
+	.A2(u_soc_u_top_u_core_csr_access),
+	.B1(n_8956),
+	.X(u_soc_u_top_u_core_illegal_csr_insn_id), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g339745 (
+	.A(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22),
+	.B(n_8991),
+	.C(FE_PSN4166_n_13338),
+	.D(n_10960),
+	.Y(n_10964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g339746 (
+	.A1(u_soc_u_top_u_core_debug_mode),
+	.A2(n_13485),
+	.B1(n_10901),
+	.C1(n_10961),
+	.Y(n_10963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 g339747 (
+	.A_N(n_8991),
+	.B_N(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.C(n_10960),
+	.D(n_15889),
+	.Y(n_10962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g339748 (
+	.A_N(n_13379),
+	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.C(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.Y(n_10961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339749 (
+	.A1(u_soc_u_top_u_core_csr_op[1]),
+	.A2(u_soc_u_top_u_core_csr_op[0]),
+	.B1(n_13379),
+	.Y(n_10960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g339750 (
+	.A1(u_soc_u_top_u_core_csr_op[0]),
+	.A2(u_soc_u_top_u_core_csr_op[1]),
+	.B1(u_soc_u_top_u_core_csr_access),
+	.C1(u_soc_u_top_u_core_ready_wb),
+	.D1(FE_OFN1105_n_13380),
+	.Y(n_13379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g339751 (
+	.A(u_soc_u_top_u_core_ready_wb),
+	.B(FE_OFN19534_n_13380),
+	.Y(u_soc_u_top_u_core_id_stage_i_stall_wb), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g339753 (
+	.A(u_soc_u_top_u_core_id_stage_i_flush_id),
+	.B(n_13885),
+	.C(u_soc_u_top_u_core_id_stage_i_stall_id),
+	.X(n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g339754 (
+	.A1(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.A2(n_13874),
+	.B1(n_16009),
+	.C1(n_10955),
+	.D1(n_10958),
+	.Y(u_soc_u_top_u_core_id_stage_i_stall_id), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g339755 (
+	.A_N(n_13885),
+	.B(n_10957),
+	.C(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.Y(n_10958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g339756 (
+	.A1(u_soc_u_top_u_core_id_stage_i_branch_in_dec),
+	.A2(u_soc_u_top_u_core_id_stage_i_jump_in_dec),
+	.A3(n_13381),
+	.B1(n_13488),
+	.B2(n_10956),
+	.X(n_10957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g339758 (
+	.A1_N(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.A2_N(n_13486),
+	.B1(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B2(n_10955),
+	.Y(n_10956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339759 (
+	.A(n_10954),
+	.B(u_soc_u_top_u_core_instr_valid_id),
+	.Y(n_10955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339760 (
+	.A(FE_OFN1580_n_10953),
+	.B(n_13453),
+	.Y(n_10954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g339761 (
+	.A1(n_8996),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_n_939),
+	.A3(u_soc_u_top_u_core_load_store_unit_i_n_937),
+	.A4(u_soc_u_top_u_core_load_store_unit_i_n_938),
+	.B1(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_10953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g339763 (
+	.A(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
+	.B(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.Y(u_soc_u_top_u_core_if_stage_i_instr_is_compressed), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339764 (
+	.A(n_10951),
+	.B(n_13375),
+	.Y(u_soc_u_top_u_core_load_store_unit_i_n_938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339765 (
+	.A1(n_8871),
+	.A2(n_10517),
+	.B1(n_13346),
+	.B2(n_10782),
+	.C1(n_10908),
+	.Y(n_10952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g339766 (
+	.A1(n_13466),
+	.A2(n_13382),
+	.B1(n_13369),
+	.C1(n_10947),
+	.Y(u_soc_u_top_u_core_load_store_unit_i_n_939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g339767 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.A2(n_8993),
+	.A3(n_10907),
+	.B1(n_10948),
+	.Y(u_soc_u_top_u_core_load_store_unit_i_n_937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g339768 (
+	.A1(n_13377),
+	.A2(n_9964),
+	.B1(n_10907),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.C1(n_10903),
+	.Y(n_10951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_4 g339769 (
+	.A0(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]),
+	.A1(n_13609),
+	.S(u_soc_u_top_u_core_pc_if[1]),
+	.X(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_4 g339770 (
+	.A0(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]),
+	.A1(n_13608),
+	.S(u_soc_u_top_u_core_pc_if[1]),
+	.X(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339810 (
+	.A1(n_10811),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10813),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10899),
+	.X(n_10949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339811 (
+	.A(n_10907),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_10948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339812 (
+	.A(n_10907),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_10947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339813 (
+	.A1(FE_OFN1049_n_9003),
+	.A2(n_10816),
+	.B1(n_9001),
+	.B2(n_10819),
+	.C1(n_10884),
+	.Y(n_10946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339814 (
+	.A1(n_9001),
+	.A2(n_10817),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10818),
+	.C1(n_10885),
+	.Y(n_10945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339815 (
+	.A1(n_9001),
+	.A2(n_10775),
+	.B1(FE_OFN1047_n_9000),
+	.B2(n_10777),
+	.C1(n_10882),
+	.Y(n_10944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339816 (
+	.A1(n_9001),
+	.A2(n_10783),
+	.B1(FE_OFN1047_n_9000),
+	.B2(n_10785),
+	.C1(n_10883),
+	.Y(n_10943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339817 (
+	.A1(n_9001),
+	.A2(n_10764),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10765),
+	.C1(n_10881),
+	.Y(n_10942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339818 (
+	.A1(n_9001),
+	.A2(n_10761),
+	.B1(n_9003),
+	.B2(FE_OFN1632_n_10762),
+	.C1(n_10880),
+	.Y(n_10941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339819 (
+	.A1(n_9001),
+	.A2(n_10758),
+	.B1(FE_OFN1047_n_9000),
+	.B2(n_10759),
+	.C1(n_10879),
+	.Y(n_10940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339820 (
+	.A1(n_9001),
+	.A2(n_10755),
+	.B1(FE_OFN1049_n_9003),
+	.B2(FE_OFN1631_n_10756),
+	.C1(n_10878),
+	.Y(n_10939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339821 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(FE_OFN1629_n_10748),
+	.B1(n_9001),
+	.B2(n_10749),
+	.C1(n_10877),
+	.Y(n_10938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339822 (
+	.A1(n_9001),
+	.A2(n_10745),
+	.B1(FE_OFN1049_n_9003),
+	.B2(FE_OFN1628_n_10746),
+	.C1(n_10876),
+	.Y(n_10937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339823 (
+	.A1(n_9001),
+	.A2(n_10738),
+	.B1(FE_OFN1047_n_9000),
+	.B2(n_10739),
+	.C1(n_10875),
+	.Y(n_10936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339824 (
+	.A1(n_9001),
+	.A2(n_10735),
+	.B1(n_9003),
+	.B2(FE_OFN1626_n_10736),
+	.C1(n_10874),
+	.Y(n_10935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339825 (
+	.A1(n_9001),
+	.A2(n_10724),
+	.B1(n_9000),
+	.B2(n_10725),
+	.C1(n_10873),
+	.Y(n_10934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339826 (
+	.A1(n_9001),
+	.A2(n_10717),
+	.B1(n_9003),
+	.B2(FE_OFN19035_n_10718),
+	.C1(n_10872),
+	.Y(n_10933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339827 (
+	.A1(n_9001),
+	.A2(n_10714),
+	.B1(n_9000),
+	.B2(n_10715),
+	.C1(n_10871),
+	.Y(n_10932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339828 (
+	.A1(n_9001),
+	.A2(n_10707),
+	.B1(FE_OFN1047_n_9000),
+	.B2(n_10708),
+	.C1(n_10870),
+	.Y(n_10931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339829 (
+	.A1(n_9001),
+	.A2(n_10700),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10701),
+	.C1(n_10869),
+	.Y(n_10930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339830 (
+	.A1(n_9001),
+	.A2(n_10825),
+	.B1(FE_OFN1047_n_9000),
+	.B2(n_10826),
+	.C1(n_10868),
+	.Y(n_10929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339831 (
+	.A1(n_10821),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10822),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10900),
+	.X(n_10928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g339832 (
+	.A1(n_15903),
+	.A2(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B1(n_13324),
+	.X(n_10950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339833 (
+	.A1(n_10834),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10831),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10898),
+	.X(n_10927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339834 (
+	.A1(n_10792),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10795),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10897),
+	.X(n_10926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339835 (
+	.A1(n_10771),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10772),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10896),
+	.X(n_10925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339836 (
+	.A1(n_10767),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10768),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10906),
+	.X(n_10924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339837 (
+	.A1(n_10751),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10752),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10894),
+	.X(n_10923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339838 (
+	.A1(n_10741),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10742),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10893),
+	.X(n_10922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339839 (
+	.A1(n_10731),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10732),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10892),
+	.X(n_10921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339840 (
+	.A1(n_10727),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10728),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10891),
+	.X(n_10920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339841 (
+	.A1(n_10720),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10721),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10890),
+	.X(n_10919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339842 (
+	.A1(n_10710),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10711),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10889),
+	.X(n_10918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339843 (
+	.A1(n_10703),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10704),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10888),
+	.X(n_10917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339844 (
+	.A1(n_10696),
+	.A2(FE_OFN1048_n_9002),
+	.B1(n_10697),
+	.B2(FE_OFN1046_n_8999),
+	.C1(n_10895),
+	.X(n_10916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339845 (
+	.A1(n_10806),
+	.A2(n_8870),
+	.B1(n_10808),
+	.B2(n_8935),
+	.C1(n_10902),
+	.X(n_10915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339846 (
+	.A1(n_10832),
+	.A2(n_8870),
+	.B1(n_10829),
+	.B2(n_8935),
+	.C1(n_10904),
+	.X(n_10914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339847 (
+	.A1(n_10799),
+	.A2(n_8870),
+	.B1(n_10801),
+	.B2(n_8935),
+	.C1(n_10865),
+	.X(n_10913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339848 (
+	.A1(n_8871),
+	.A2(n_10796),
+	.B1(n_8936),
+	.B2(n_10797),
+	.C1(n_10867),
+	.Y(n_10912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g339849 (
+	.A1(n_10789),
+	.A2(n_8870),
+	.B1(n_10790),
+	.B2(n_8935),
+	.C1(n_10886),
+	.X(n_10911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339850 (
+	.A1(n_8936),
+	.A2(n_10786),
+	.B1(n_8871),
+	.B2(n_10788),
+	.C1(n_10866),
+	.Y(n_10910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g339851 (
+	.A1(n_8871),
+	.A2(n_10778),
+	.B1(n_8936),
+	.B2(n_10780),
+	.C1(n_10887),
+	.Y(n_10909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339852 (
+	.A1(n_10781),
+	.A2(n_8870),
+	.B1(n_10905),
+	.Y(n_10908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g339853 (
+	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B2(n_10861),
+	.Y(n_13609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_4 g339854 (
+	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B2(n_10862),
+	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_4 g339855 (
+	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B2(FE_OFN18974_n_10863),
+	.Y(n_13608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_4 g339856 (
+	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B2(FE_PSN4559_FE_OFN1633_n_10864),
+	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339857 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10769),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10770),
+	.Y(n_10906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339858 (
+	.A1(n_10695),
+	.A2(n_9409),
+	.B1(n_8936),
+	.Y(n_10905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339859 (
+	.A1(n_8871),
+	.A2(n_10803),
+	.B1(n_13346),
+	.B2(n_10805),
+	.Y(n_10904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g339860 (
+	.A(n_13367),
+	.B(n_13377),
+	.Y(n_10903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339861 (
+	.A1(n_8871),
+	.A2(n_10809),
+	.B1(n_13346),
+	.B2(n_10810),
+	.Y(n_10902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g339862 (
+	.A1(n_13473),
+	.A2(n_9351),
+	.B1(n_10151),
+	.C1(n_13483),
+	.D1(n_10830),
+	.Y(n_10901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339863 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10823),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10824),
+	.Y(n_10900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339864 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10814),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10815),
+	.Y(n_10899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339865 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10804),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10807),
+	.Y(n_10898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339866 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10798),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10800),
+	.Y(n_10897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339867 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10773),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10774),
+	.Y(n_10896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339868 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10698),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10699),
+	.Y(n_10895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339869 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10753),
+	.B1(FE_OFN1049_n_9003),
+	.B2(FE_OFN1630_n_10754),
+	.Y(n_10894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339870 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10743),
+	.B1(FE_OFN1049_n_9003),
+	.B2(FE_OFN1627_n_10744),
+	.Y(n_10893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339871 (
+	.A1(n_9000),
+	.A2(n_10733),
+	.B1(n_9003),
+	.B2(n_10734),
+	.Y(n_10892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339872 (
+	.A1(n_9000),
+	.A2(n_10729),
+	.B1(n_9003),
+	.B2(n_10730),
+	.Y(n_10891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339873 (
+	.A1(n_9000),
+	.A2(n_10722),
+	.B1(n_9003),
+	.B2(n_10723),
+	.Y(n_10890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339874 (
+	.A1(n_9000),
+	.A2(n_10712),
+	.B1(n_9003),
+	.B2(n_10713),
+	.Y(n_10889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339875 (
+	.A1(FE_OFN1047_n_9000),
+	.A2(n_10705),
+	.B1(FE_OFN1049_n_9003),
+	.B2(n_10706),
+	.Y(n_10888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g339876 (
+	.A(FE_OFN1142_u_soc_u_top_data_we),
+	.B(n_13490),
+	.X(n_13324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339877 (
+	.A(n_13377),
+	.B(n_13491),
+	.Y(n_13369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339878 (
+	.A1(n_13382),
+	.A2(n_13465),
+	.B1(n_8993),
+	.Y(n_10907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339879 (
+	.A1(n_10776),
+	.A2(n_8870),
+	.B1(n_10851),
+	.Y(n_10887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339880 (
+	.A1(n_8871),
+	.A2(n_10791),
+	.B1(n_13346),
+	.B2(n_10793),
+	.Y(n_10886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339881 (
+	.A1(n_10820),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10857),
+	.Y(n_10885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339882 (
+	.A1(n_10812),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10856),
+	.Y(n_10884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339883 (
+	.A1(n_10787),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10854),
+	.Y(n_10883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339884 (
+	.A1(n_10779),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10852),
+	.Y(n_10882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339885 (
+	.A1(n_10766),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10850),
+	.Y(n_10881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339886 (
+	.A1(n_10763),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10849),
+	.Y(n_10880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339887 (
+	.A1(n_10760),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10860),
+	.Y(n_10879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339888 (
+	.A1(n_10757),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10848),
+	.Y(n_10878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339889 (
+	.A1(n_10750),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10847),
+	.Y(n_10877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339890 (
+	.A1(n_10747),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10846),
+	.Y(n_10876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339891 (
+	.A1(n_10740),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10845),
+	.Y(n_10875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339892 (
+	.A1(n_10737),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10844),
+	.Y(n_10874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339893 (
+	.A1(n_10726),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10843),
+	.Y(n_10873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339894 (
+	.A1(n_10719),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10842),
+	.Y(n_10872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339895 (
+	.A1(n_10716),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10841),
+	.Y(n_10871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339896 (
+	.A1(n_10709),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10840),
+	.Y(n_10870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339897 (
+	.A1(n_10702),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10858),
+	.Y(n_10869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339898 (
+	.A1(n_10827),
+	.A2(FE_OFN1046_n_8999),
+	.B1(n_10859),
+	.Y(n_10868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339899 (
+	.A1(n_10794),
+	.A2(n_8870),
+	.B1(n_10855),
+	.Y(n_10867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g339900 (
+	.A1(n_10784),
+	.A2(n_8870),
+	.B1(n_10853),
+	.Y(n_10866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g339901 (
+	.A1(n_8871),
+	.A2(n_10802),
+	.B1(n_13346),
+	.B2(n_10833),
+	.Y(n_10865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g339902 (
+	.A(n_10837),
+	.B_N(FE_OFN19616_u_soc_instr_addr_4),
+	.Y(u_soc_u_iccm_addr4[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339903 (
+	.A(n_17394),
+	.B(u_soc_iccm_adapter_instr_csbD),
+	.Y(u_soc_u_iccm_csb3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339904 (
+	.A(n_10835),
+	.B(u_soc_iccm_adapter_instr_csbD),
+	.Y(u_soc_u_iccm_csb1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339905 (
+	.A(n_10836),
+	.B(u_soc_iccm_adapter_instr_csbD),
+	.Y(u_soc_u_iccm_csb4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339906 (
+	.A(n_17393),
+	.B(u_soc_iccm_adapter_instr_csbD),
+	.Y(u_soc_u_iccm_csb2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339907 (
+	.A(n_17393),
+	.B(u_soc_instr_addr[7]),
+	.X(u_soc_u_iccm_addr2[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339908 (
+	.A(n_17393),
+	.B(u_soc_instr_addr[6]),
+	.X(u_soc_u_iccm_addr2[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339909 (
+	.A(n_17393),
+	.B(u_soc_instr_addr[5]),
+	.X(u_soc_u_iccm_addr2[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339910 (
+	.A(n_17393),
+	.B(FE_OFN19616_u_soc_instr_addr_4),
+	.X(u_soc_u_iccm_addr2[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339911 (
+	.A(n_17393),
+	.B(u_soc_instr_addr[3]),
+	.X(u_soc_u_iccm_addr2[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339912 (
+	.A(n_17393),
+	.B(u_soc_instr_addr[2]),
+	.X(u_soc_u_iccm_addr2[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339913 (
+	.A(n_17393),
+	.B(u_soc_instr_addr[1]),
+	.X(u_soc_u_iccm_addr2[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339914 (
+	.A(n_17393),
+	.B(FE_OFN19710_u_soc_instr_addr_0),
+	.X(u_soc_u_iccm_addr2[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g339915 (
+	.A(n_10837),
+	.B_N(u_soc_instr_addr[7]),
+	.Y(u_soc_u_iccm_addr4[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g339916 (
+	.A(n_10837),
+	.B_N(FE_OFN19615_u_soc_instr_addr_5),
+	.Y(u_soc_u_iccm_addr4[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g339917 (
+	.A(n_10837),
+	.B_N(u_soc_instr_addr[6]),
+	.Y(u_soc_u_iccm_addr4[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g339919 (
+	.A(n_10837),
+	.B_N(FE_OFN19614_u_soc_instr_addr_3),
+	.Y(u_soc_u_iccm_addr4[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g339920 (
+	.A(n_10837),
+	.B_N(FE_OFN19602_u_soc_instr_addr_2),
+	.Y(u_soc_u_iccm_addr4[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g339921 (
+	.A(n_10837),
+	.B_N(u_soc_instr_addr[1]),
+	.Y(u_soc_u_iccm_addr4[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g339922 (
+	.A(n_10837),
+	.B_N(FE_OFN19710_u_soc_instr_addr_0),
+	.Y(u_soc_u_iccm_addr4[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g339923 (
+	.A(n_10835),
+	.B(u_soc_instr_addr[7]),
+	.X(u_soc_u_iccm_addr1[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339924 (
+	.A(n_10835),
+	.B(u_soc_instr_addr[6]),
+	.X(u_soc_u_iccm_addr1[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339925 (
+	.A(n_10835),
+	.B(FE_OFN19615_u_soc_instr_addr_5),
+	.X(u_soc_u_iccm_addr1[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339926 (
+	.A(n_10835),
+	.B(u_soc_instr_addr[4]),
+	.X(u_soc_u_iccm_addr1[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339927 (
+	.A(n_10835),
+	.B(u_soc_instr_addr[3]),
+	.X(u_soc_u_iccm_addr1[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339928 (
+	.A(n_10835),
+	.B(u_soc_instr_addr[2]),
+	.X(u_soc_u_iccm_addr1[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339929 (
+	.A(n_10835),
+	.B(u_soc_instr_addr[1]),
+	.X(u_soc_u_iccm_addr1[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339930 (
+	.A(n_10835),
+	.B(FE_OFN19710_u_soc_instr_addr_0),
+	.X(u_soc_u_iccm_addr1[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339931 (
+	.A(n_17394),
+	.B(u_soc_instr_addr[7]),
+	.X(u_soc_u_iccm_addr3[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339932 (
+	.A(n_17394),
+	.B(u_soc_instr_addr[6]),
+	.X(u_soc_u_iccm_addr3[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339933 (
+	.A(n_17394),
+	.B(FE_OFN19615_u_soc_instr_addr_5),
+	.X(u_soc_u_iccm_addr3[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g339934 (
+	.A(FE_PSN4558_FE_OFN1633_n_10864),
+	.Y(\u_soc_iccm_to_xbar[d_data] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g339935 (
+	.A(FE_OFN18974_n_10863),
+	.Y(\u_soc_iccm_to_xbar[d_data] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g339936 (
+	.A(n_10862),
+	.Y(\u_soc_iccm_to_xbar[d_data] [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g339937 (
+	.A(n_10861),
+	.Y(\u_soc_iccm_to_xbar[d_data] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339938 (
+	.A1(n_10543),
+	.A2(n_9551),
+	.A3(n_9552),
+	.A4(n_9553),
+	.B1(n_9003),
+	.Y(n_10860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339939 (
+	.A(n_17394),
+	.B(FE_OFN19614_u_soc_instr_addr_3),
+	.X(u_soc_u_iccm_addr3[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339940 (
+	.A(n_17394),
+	.B(u_soc_instr_addr[1]),
+	.X(u_soc_u_iccm_addr3[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339941 (
+	.A(n_17394),
+	.B(FE_OFN19602_u_soc_instr_addr_2),
+	.X(u_soc_u_iccm_addr3[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339942 (
+	.A(n_17394),
+	.B(FE_OFN19710_u_soc_instr_addr_0),
+	.X(u_soc_u_iccm_addr3[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339943 (
+	.A1(n_10401),
+	.A2(n_9356),
+	.A3(n_9355),
+	.A4(n_9357),
+	.B1(FE_OFN1049_n_9003),
+	.Y(n_10859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339944 (
+	.A1(n_10409),
+	.A2(n_9377),
+	.A3(n_9376),
+	.A4(n_9375),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339945 (
+	.A1(n_10474),
+	.A2(n_9727),
+	.A3(n_9725),
+	.A4(n_9726),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339946 (
+	.A1(n_10390),
+	.A2(n_9701),
+	.A3(n_9473),
+	.A4(n_9453),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339947 (
+	.A1(n_10499),
+	.A2(n_9657),
+	.A3(n_9659),
+	.A4(n_9658),
+	.B1(n_13346),
+	.Y(n_10855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339948 (
+	.A1(n_10381),
+	.A2(n_9642),
+	.A3(n_9641),
+	.A4(n_9637),
+	.B1(FE_OFN1049_n_9003),
+	.Y(n_10854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339949 (
+	.A1(n_10491),
+	.A2(n_9628),
+	.A3(n_9630),
+	.A4(n_9629),
+	.B1(n_13346),
+	.Y(n_10853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339950 (
+	.A1(n_10377),
+	.A2(n_9613),
+	.A3(n_9617),
+	.A4(n_9615),
+	.B1(FE_OFN1049_n_9003),
+	.Y(n_10852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339951 (
+	.A1(n_10484),
+	.A2(n_9606),
+	.A3(n_9607),
+	.A4(n_9605),
+	.B1(n_13346),
+	.Y(n_10851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339952 (
+	.A1(n_10365),
+	.A2(n_9573),
+	.A3(n_9574),
+	.A4(n_9575),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339953 (
+	.A1(n_10525),
+	.A2(n_9564),
+	.A3(n_9562),
+	.A4(n_9565),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g339954 (
+	.A(n_17394),
+	.B(FE_OFN19616_u_soc_instr_addr_4),
+	.X(u_soc_u_iccm_addr3[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339955 (
+	.A1(n_10468),
+	.A2(n_9542),
+	.A3(n_9540),
+	.A4(n_9541),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339956 (
+	.A1(n_10460),
+	.A2(n_9522),
+	.A3(n_9520),
+	.A4(n_9521),
+	.B1(n_9003),
+	.Y(n_10847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339957 (
+	.A1(n_10457),
+	.A2(n_9512),
+	.A3(n_9510),
+	.A4(n_9511),
+	.B1(FE_OFN1047_n_9000),
+	.Y(n_10846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339958 (
+	.A1(n_10449),
+	.A2(n_9489),
+	.A3(n_9490),
+	.A4(n_9491),
+	.B1(n_9003),
+	.Y(n_10845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339959 (
+	.A1(n_10444),
+	.A2(n_9478),
+	.A3(n_9476),
+	.A4(n_9477),
+	.B1(n_9000),
+	.Y(n_10844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339960 (
+	.A1(n_10433),
+	.A2(n_9449),
+	.A3(n_9448),
+	.A4(n_9447),
+	.B1(n_9003),
+	.Y(n_10843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339961 (
+	.A1(n_10424),
+	.A2(n_9425),
+	.A3(n_9424),
+	.A4(n_9426),
+	.B1(n_9000),
+	.Y(n_10842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339962 (
+	.A1(n_10421),
+	.A2(n_9417),
+	.A3(n_9418),
+	.A4(n_9419),
+	.B1(n_9003),
+	.Y(n_10841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g339963 (
+	.A1(n_10360),
+	.A2(n_9397),
+	.A3(n_9398),
+	.A4(n_9396),
+	.B1(FE_OFN1049_n_9003),
+	.Y(n_10840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339964 (
+	.A(n_13382),
+	.B(n_13383),
+	.Y(n_13375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g339965 (
+	.A(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.B(FE_COEN4270_n_13378),
+	.C(n_13558),
+	.D_N(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.X(n_13490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g339966 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.A2(n_13494),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.C1(n_13492),
+	.C2(n_10334),
+	.Y(n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g339967 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.A2(n_13494),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.C1(n_13492),
+	.C2(n_10335),
+	.Y(n_10863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g339970 (
+	.A(n_13382),
+	.B(n_9349),
+	.Y(n_13377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g339971 (
+	.A(n_10837),
+	.Y(n_10836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340007 (
+	.A(n_17395),
+	.B(FE_OFN19314_u_soc_data_addr_1),
+	.X(u_soc_u_dccm_addr2[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340008 (
+	.A(n_17395),
+	.B(FE_OFN19316_u_soc_data_addr_2),
+	.X(u_soc_u_dccm_addr2[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340009 (
+	.A(n_17395),
+	.B(u_soc_data_addr[0]),
+	.X(u_soc_u_dccm_addr2[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340010 (
+	.A(n_17396),
+	.B(u_soc_data_addr[7]),
+	.X(u_soc_u_dccm_addr3[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340011 (
+	.A(n_17396),
+	.B(u_soc_data_addr[6]),
+	.X(u_soc_u_dccm_addr3[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340012 (
+	.A(n_17396),
+	.B(u_soc_data_addr[5]),
+	.X(u_soc_u_dccm_addr3[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340013 (
+	.A(FE_PSN4556_n_17396),
+	.B(u_soc_data_addr[3]),
+	.X(u_soc_u_dccm_addr3[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g340014 (
+	.A(n_17396),
+	.B(u_soc_data_addr[4]),
+	.X(u_soc_u_dccm_addr3[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340015 (
+	.A(FE_PSN4556_n_17396),
+	.B(u_soc_data_addr[2]),
+	.X(u_soc_u_dccm_addr3[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340016 (
+	.A(FE_PSN4556_n_17396),
+	.B(u_soc_data_addr[1]),
+	.X(u_soc_u_dccm_addr3[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340017 (
+	.A(FE_PSN4556_n_17396),
+	.B(u_soc_data_addr[0]),
+	.X(u_soc_u_dccm_addr3[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340018 (
+	.A(n_10386),
+	.B(n_9945),
+	.C(n_9948),
+	.D(n_9946),
+	.Y(n_10834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340019 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
+	.C1(n_10637),
+	.Y(n_10833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340020 (
+	.A(n_10692),
+	.B(FE_OFN19317_u_soc_data_addr_7),
+	.X(u_soc_u_dccm_addr1[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340021 (
+	.A(n_10692),
+	.B(FE_PDN3913_FE_OFN19014_u_soc_data_addr_6),
+	.X(u_soc_u_dccm_addr1[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340022 (
+	.A(n_10692),
+	.B(FE_OFN19323_u_soc_data_addr_5),
+	.X(u_soc_u_dccm_addr1[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340023 (
+	.A(n_10692),
+	.B(FE_OFN19285_u_soc_data_addr_4),
+	.X(u_soc_u_dccm_addr1[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340024 (
+	.A(n_10692),
+	.B(FE_OFN19319_u_soc_data_addr_3),
+	.X(u_soc_u_dccm_addr1[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340025 (
+	.A(n_10692),
+	.B(FE_OFN19316_u_soc_data_addr_2),
+	.X(u_soc_u_dccm_addr1[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340026 (
+	.A(n_10692),
+	.B(FE_OFN19314_u_soc_data_addr_1),
+	.X(u_soc_u_dccm_addr1[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g340027 (
+	.A(n_10692),
+	.B(u_soc_data_addr[0]),
+	.X(u_soc_u_dccm_addr1[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340028 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[7]),
+	.Y(u_soc_u_dccm_addr4[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340029 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[6]),
+	.Y(u_soc_u_dccm_addr4[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340030 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[5]),
+	.Y(u_soc_u_dccm_addr4[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340031 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[4]),
+	.Y(u_soc_u_dccm_addr4[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340032 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[3]),
+	.Y(u_soc_u_dccm_addr4[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340033 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[1]),
+	.Y(u_soc_u_dccm_addr4[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340034 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[2]),
+	.Y(u_soc_u_dccm_addr4[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340035 (
+	.A(n_10691),
+	.B_N(u_soc_data_addr[0]),
+	.Y(u_soc_u_dccm_addr4[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340036 (
+	.A(n_17395),
+	.B(FE_OFN19317_u_soc_data_addr_7),
+	.X(u_soc_u_dccm_addr2[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340037 (
+	.A(n_17395),
+	.B(FE_OFN19014_u_soc_data_addr_6),
+	.X(u_soc_u_dccm_addr2[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340038 (
+	.A(n_17395),
+	.B(FE_OFN19323_u_soc_data_addr_5),
+	.X(u_soc_u_dccm_addr2[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340039 (
+	.A(n_17395),
+	.B(FE_OFN19285_u_soc_data_addr_4),
+	.X(u_soc_u_dccm_addr2[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340040 (
+	.A(n_17395),
+	.B(u_soc_data_addr[3]),
+	.X(u_soc_u_dccm_addr2[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340041 (
+	.A(n_10506),
+	.B(n_9676),
+	.C(n_9807),
+	.D(n_9678),
+	.Y(n_10832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340042 (
+	.A(n_10387),
+	.B(n_9749),
+	.C(n_9677),
+	.D(n_9679),
+	.Y(n_10831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340043 (
+	.A(n_10690),
+	.B(u_soc_data_csb),
+	.Y(u_soc_u_dccm_csb4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340044 (
+	.A(n_10692),
+	.B(FE_OFN19654_u_soc_data_csb),
+	.Y(u_soc_u_dccm_csb1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g340045 (
+	.A1(n_13484),
+	.A2(n_10519),
+	.B1(FE_OFN18488_n_15943),
+	.B2(n_13478),
+	.C1(n_10552),
+	.X(n_10830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340046 (
+	.A(n_17396),
+	.B(u_soc_data_csb),
+	.Y(u_soc_u_dccm_csb3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340047 (
+	.A(n_17395),
+	.B(FE_OFN19654_u_soc_data_csb),
+	.Y(u_soc_u_dccm_csb2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340048 (
+	.A(n_10507),
+	.B(n_9682),
+	.C(n_9681),
+	.D(n_9683),
+	.Y(n_10829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g340049 (
+	.A1(n_10560),
+	.A2(n_10154),
+	.B1(la_data_in[0]),
+	.B2(FE_OFN1574_n_10248),
+	.X(n_10828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340050 (
+	.A(n_10482),
+	.B(n_9748),
+	.C(n_9747),
+	.D(n_9746),
+	.Y(n_10827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340051 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
+	.C1(n_10612),
+	.Y(n_10826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340052 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
+	.C1(n_10652),
+	.Y(n_10825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340053 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
+	.C1(n_10651),
+	.Y(n_10824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340054 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
+	.C1(n_10650),
+	.Y(n_10823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340055 (
+	.A(n_10477),
+	.B(n_9735),
+	.C(n_9734),
+	.D(n_9736),
+	.Y(n_10822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340056 (
+	.A(n_10476),
+	.B(n_9732),
+	.C(n_9731),
+	.D(n_9733),
+	.Y(n_10821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340057 (
+	.A(n_10475),
+	.B(n_9730),
+	.C(n_9729),
+	.D(n_9728),
+	.Y(n_10820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340058 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
+	.C1(n_10649),
+	.Y(n_10819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340059 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
+	.C1(n_10648),
+	.Y(n_10818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340060 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
+	.C1(n_10647),
+	.Y(n_10817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340061 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
+	.C1(n_10645),
+	.Y(n_10816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340062 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
+	.C1(n_10646),
+	.Y(n_10815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340063 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
+	.C1(n_10644),
+	.Y(n_10814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340064 (
+	.A(n_10522),
+	.B(n_9711),
+	.C(n_9712),
+	.D(n_9710),
+	.Y(n_10813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340065 (
+	.A(n_10391),
+	.B(n_9709),
+	.C(n_9707),
+	.D(n_9704),
+	.Y(n_10812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340066 (
+	.A(n_10524),
+	.B(n_9706),
+	.C(n_9708),
+	.D(n_9705),
+	.Y(n_10811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340067 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
+	.C1(n_10643),
+	.Y(n_10810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340068 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
+	.C1(n_10642),
+	.Y(n_10809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340069 (
+	.A(n_10511),
+	.B(n_9698),
+	.C(n_9697),
+	.D(n_9696),
+	.Y(n_10808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340070 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
+	.C1(n_10641),
+	.Y(n_10807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340071 (
+	.A(n_10510),
+	.B(n_9693),
+	.C(n_9691),
+	.D(n_9694),
+	.Y(n_10806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340072 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
+	.C1(n_10640),
+	.Y(n_10805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340073 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
+	.C1(n_10639),
+	.Y(n_10804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340074 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
+	.C1(n_10638),
+	.Y(n_10803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g340075 (
+	.A1(FE_PSN4144_n_10330),
+	.A2(FE_COEN4684_n_10562),
+	.B1(n_10342),
+	.X(n_13382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340078 (
+	.A(u_soc_instr_addr[9]),
+	.B(u_soc_instr_addr[8]),
+	.Y(n_10837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340079 (
+	.A(u_soc_instr_addr[8]),
+	.B(u_soc_instr_addr[9]),
+	.Y(n_10835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340080 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
+	.C1(n_10636),
+	.Y(n_10802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340081 (
+	.A(n_10503),
+	.B(n_9673),
+	.C(n_9674),
+	.D(n_9672),
+	.Y(n_10801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340082 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
+	.C1(n_10635),
+	.Y(n_10800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340083 (
+	.A(n_10502),
+	.B(n_9669),
+	.C(n_9671),
+	.D(n_9668),
+	.Y(n_10799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340084 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
+	.C1(n_10633),
+	.Y(n_10798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340085 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
+	.C1(n_10634),
+	.Y(n_10797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340086 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
+	.C1(n_10632),
+	.Y(n_10796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340087 (
+	.A(n_10383),
+	.B(n_9654),
+	.C(n_9656),
+	.D(n_9546),
+	.Y(n_10795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340088 (
+	.A(n_10498),
+	.B(n_9653),
+	.C(n_9655),
+	.D(n_9652),
+	.Y(n_10794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340089 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
+	.C1(n_10631),
+	.Y(n_10793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340090 (
+	.A(n_10382),
+	.B(n_9647),
+	.C(n_9648),
+	.D(n_9649),
+	.Y(n_10792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340091 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
+	.C1(n_10630),
+	.Y(n_10791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340092 (
+	.A(n_10495),
+	.B(n_9644),
+	.C(n_9645),
+	.D(n_9643),
+	.Y(n_10790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340093 (
+	.A(n_10494),
+	.B(n_9639),
+	.C(n_9638),
+	.D(n_9640),
+	.Y(n_10789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340094 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
+	.C1(n_10629),
+	.Y(n_10788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340095 (
+	.A(n_10380),
+	.B(n_9563),
+	.C(n_9632),
+	.D(n_9593),
+	.Y(n_10787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340096 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
+	.C1(n_10628),
+	.Y(n_10786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340097 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.C1(n_10627),
+	.Y(n_10785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340098 (
+	.A(n_10490),
+	.B(n_9625),
+	.C(n_9558),
+	.D(n_9626),
+	.Y(n_10784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340099 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
+	.C1(n_10626),
+	.Y(n_10783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340100 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
+	.C1(n_10625),
+	.Y(n_10782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340101 (
+	.A(n_10487),
+	.B(n_9680),
+	.C(n_9616),
+	.D(n_9614),
+	.Y(n_10781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340102 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
+	.C1(n_10624),
+	.Y(n_10780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340103 (
+	.A(n_10376),
+	.B(n_9608),
+	.C(n_9611),
+	.D(n_9610),
+	.Y(n_10779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340104 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
+	.C1(n_10623),
+	.Y(n_10778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340105 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
+	.C1(n_10622),
+	.Y(n_10777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340106 (
+	.A(n_10483),
+	.B(n_9604),
+	.C(n_9602),
+	.D(n_9601),
+	.Y(n_10776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340107 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
+	.C1(n_10621),
+	.Y(n_10775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340108 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
+	.C1(n_10620),
+	.Y(n_10774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340109 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
+	.C1(n_10619),
+	.Y(n_10773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340110 (
+	.A(n_10371),
+	.B(n_9592),
+	.C(n_9590),
+	.D(n_9591),
+	.Y(n_10772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340111 (
+	.A(n_10370),
+	.B(n_9589),
+	.C(n_9587),
+	.D(n_9588),
+	.Y(n_10771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340112 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
+	.C1(n_10618),
+	.Y(n_10770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340113 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
+	.C1(n_10617),
+	.Y(n_10769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340114 (
+	.A(n_10367),
+	.B(n_9580),
+	.C(n_9581),
+	.D(n_9579),
+	.Y(n_10768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340115 (
+	.A(n_10366),
+	.B(n_9576),
+	.C(n_9578),
+	.D(n_9577),
+	.Y(n_10767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340116 (
+	.A(n_10364),
+	.B(n_9572),
+	.C(n_9570),
+	.D(n_9571),
+	.Y(n_10766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340117 (
+	.A1(FE_OFN1548_n_8968),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
+	.C1(n_10616),
+	.Y(n_10765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340118 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
+	.C1(n_10615),
+	.Y(n_10764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340119 (
+	.A(n_10526),
+	.B(n_9561),
+	.C(n_9559),
+	.D(n_9560),
+	.Y(n_10763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340120 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.C1(n_10614),
+	.Y(n_10762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340121 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.C1(n_10613),
+	.Y(n_10761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340122 (
+	.A(n_10413),
+	.B(n_9550),
+	.C(n_9549),
+	.D(n_9548),
+	.Y(n_10760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340123 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
+	.C1(n_10653),
+	.Y(n_10759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340124 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.C1(n_10611),
+	.Y(n_10758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340125 (
+	.A(n_10467),
+	.B(n_9539),
+	.C(n_9538),
+	.D(n_9537),
+	.Y(n_10757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340126 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
+	.C1(n_10610),
+	.Y(n_10756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340127 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
+	.C1(n_10609),
+	.Y(n_10755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340128 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.C1(n_10608),
+	.Y(n_10754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340129 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
+	.C1(n_10607),
+	.Y(n_10753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340130 (
+	.A(n_10462),
+	.B(n_9528),
+	.C(n_9527),
+	.D(n_9526),
+	.Y(n_10752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340131 (
+	.A(n_10461),
+	.B(n_9525),
+	.C(n_9523),
+	.D(n_9524),
+	.Y(n_10751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340132 (
+	.A(n_10459),
+	.B(n_9518),
+	.C(n_9519),
+	.D(n_9517),
+	.Y(n_10750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340133 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
+	.C1(n_10606),
+	.Y(n_10749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340134 (
+	.A1(FE_OFN1553_n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.C1(n_10605),
+	.Y(n_10748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340135 (
+	.A(n_10456),
+	.B(n_9508),
+	.C(n_9509),
+	.D(n_9507),
+	.Y(n_10747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340136 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
+	.C1(n_10604),
+	.Y(n_10746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340137 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
+	.C1(n_10603),
+	.Y(n_10745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340138 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
+	.C1(n_10602),
+	.Y(n_10744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340139 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
+	.C1(n_10601),
+	.Y(n_10743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340140 (
+	.A(n_10451),
+	.B(n_9497),
+	.C(n_9495),
+	.D(n_9496),
+	.Y(n_10742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340141 (
+	.A(n_10450),
+	.B(n_9494),
+	.C(n_9492),
+	.D(n_9493),
+	.Y(n_10741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340142 (
+	.A(n_10448),
+	.B(n_9488),
+	.C(n_9487),
+	.D(n_9486),
+	.Y(n_10740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340143 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
+	.C1(n_10600),
+	.Y(n_10739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340144 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
+	.C1(n_10599),
+	.Y(n_10738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340145 (
+	.A(n_10445),
+	.B(n_9480),
+	.C(n_9479),
+	.D(n_9481),
+	.Y(n_10737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340146 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
+	.C1(n_10598),
+	.Y(n_10736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340147 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
+	.C1(n_10597),
+	.Y(n_10735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340148 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
+	.C1(n_10596),
+	.Y(n_10734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340149 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
+	.C1(n_10595),
+	.Y(n_10733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340150 (
+	.A(n_10439),
+	.B(n_9466),
+	.C(n_9465),
+	.D(n_9464),
+	.Y(n_10732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340151 (
+	.A(n_10438),
+	.B(n_9462),
+	.C(n_9463),
+	.D(n_9461),
+	.Y(n_10731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340152 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
+	.C1(n_10594),
+	.Y(n_10730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340153 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
+	.C1(n_10593),
+	.Y(n_10729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340154 (
+	.A(n_10435),
+	.B(n_9456),
+	.C(n_9455),
+	.D(n_9454),
+	.Y(n_10728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340155 (
+	.A(n_10434),
+	.B(n_9451),
+	.C(n_9452),
+	.D(n_9450),
+	.Y(n_10727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340156 (
+	.A(n_10432),
+	.B(n_9446),
+	.C(n_9445),
+	.D(n_9444),
+	.Y(n_10726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340157 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
+	.C1(n_10592),
+	.Y(n_10725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340158 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
+	.C1(n_10591),
+	.Y(n_10724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340159 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.C1(n_10590),
+	.Y(n_10723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340160 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
+	.C1(n_10589),
+	.Y(n_10722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340161 (
+	.A(n_10427),
+	.B(n_9434),
+	.C(n_9435),
+	.D(n_9433),
+	.Y(n_10721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340162 (
+	.A(n_10426),
+	.B(n_9431),
+	.C(n_9432),
+	.D(n_9430),
+	.Y(n_10720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340163 (
+	.A(n_10425),
+	.B(n_9428),
+	.C(n_9429),
+	.D(n_9427),
+	.Y(n_10719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340164 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
+	.C1(n_10588),
+	.Y(n_10718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340165 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
+	.C1(n_10587),
+	.Y(n_10717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340166 (
+	.A(n_10420),
+	.B(n_9415),
+	.C(n_9414),
+	.D(n_9416),
+	.Y(n_10716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340167 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
+	.C1(n_10586),
+	.Y(n_10715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340168 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
+	.C1(n_10585),
+	.Y(n_10714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340169 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
+	.C1(n_10584),
+	.Y(n_10713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340170 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
+	.C1(n_10583),
+	.Y(n_10712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340171 (
+	.A(n_10415),
+	.B(n_9404),
+	.C(n_9403),
+	.D(n_9402),
+	.Y(n_10711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340172 (
+	.A(n_10414),
+	.B(n_9400),
+	.C(n_9401),
+	.D(n_9399),
+	.Y(n_10710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340173 (
+	.A(n_10359),
+	.B(n_9394),
+	.C(n_9395),
+	.D(n_9393),
+	.Y(n_10709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340174 (
+	.A1(n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
+	.C1(n_10582),
+	.Y(n_10708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340175 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
+	.B1(FE_OFN1552_n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
+	.C1(n_10581),
+	.Y(n_10707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340176 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
+	.C1(n_10580),
+	.Y(n_10706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340177 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
+	.C1(n_10579),
+	.Y(n_10705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340178 (
+	.A(n_10411),
+	.B(n_9383),
+	.C(n_9382),
+	.D(n_9381),
+	.Y(n_10704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340179 (
+	.A(n_10410),
+	.B(n_9380),
+	.C(n_9378),
+	.D(n_9379),
+	.Y(n_10703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340180 (
+	.A(n_10408),
+	.B(n_9374),
+	.C(n_9373),
+	.D(n_9372),
+	.Y(n_10702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340181 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
+	.C1(n_10578),
+	.Y(n_10701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340182 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
+	.C1(n_10577),
+	.Y(n_10700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340183 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
+	.C1(n_10576),
+	.Y(n_10699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340184 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
+	.C1(n_10575),
+	.Y(n_10698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340185 (
+	.A(n_10403),
+	.B(n_9362),
+	.C(n_9363),
+	.D(n_9361),
+	.Y(n_10697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340186 (
+	.A(n_10402),
+	.B(n_9359),
+	.C(n_9358),
+	.D(n_9360),
+	.Y(n_10696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340187 (
+	.A1(FE_OFN1558_n_8978),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
+	.B1(FE_OFN1562_n_8982),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
+	.C1(n_10553),
+	.Y(n_10695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340190 (
+	.A(n_10691),
+	.Y(n_10690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340191 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [23]),
+	.Y(u_soc_u_tcam_data_wdata[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g340198 (
+	.A(n_10353),
+	.B(n_10333),
+	.C(n_8815),
+	.D(n_10154),
+	.Y(n_10687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340199 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [8]),
+	.X(u_soc_u_tcam_data_wdata[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340200 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [9]),
+	.X(u_soc_u_tcam_data_wdata[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340201 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [10]),
+	.X(u_soc_u_tcam_data_wdata[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340203 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [11]),
+	.X(u_soc_u_tcam_data_wdata[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340204 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [12]),
+	.X(u_soc_u_tcam_data_wdata[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340205 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [5]),
+	.Y(n_10686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340206 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [6]),
+	.Y(n_10685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340207 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [7]),
+	.Y(n_10684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340208 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [4]),
+	.Y(n_10683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340209 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Y(n_10682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340210 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [1]),
+	.Y(n_10681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340211 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [2]),
+	.Y(n_10680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340212 (
+	.A(n_10570),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [3]),
+	.Y(n_10679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340213 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [7]),
+	.Y(u_soc_u_tcam_data_wdata[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340214 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [6]),
+	.Y(u_soc_u_tcam_data_wdata[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340215 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [5]),
+	.Y(u_soc_u_tcam_data_wdata[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340216 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [4]),
+	.Y(u_soc_u_tcam_data_wdata[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340217 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [2]),
+	.Y(u_soc_u_tcam_data_wdata[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340218 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [3]),
+	.Y(u_soc_u_tcam_data_wdata[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340219 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [1]),
+	.Y(u_soc_u_tcam_data_wdata[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340220 (
+	.A(FE_PSN4855_n_10568),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Y(u_soc_u_tcam_data_wdata[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g340221 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [13]),
+	.X(u_soc_u_tcam_data_wdata[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g340222 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [14]),
+	.X(u_soc_u_tcam_data_wdata[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340223 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [16]),
+	.Y(n_10678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340224 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [17]),
+	.Y(n_10677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340225 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [18]),
+	.Y(n_10676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340226 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [19]),
+	.Y(n_10675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340227 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [20]),
+	.Y(n_10674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340228 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [21]),
+	.Y(n_10673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340229 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [22]),
+	.Y(n_10672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340230 (
+	.A(n_10569),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [23]),
+	.Y(n_10671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340232 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [22]),
+	.Y(u_soc_u_tcam_data_wdata[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g340233 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [21]),
+	.Y(u_soc_u_tcam_data_wdata[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340234 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [20]),
+	.Y(u_soc_u_tcam_data_wdata[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340235 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [19]),
+	.Y(u_soc_u_tcam_data_wdata[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340236 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [18]),
+	.Y(u_soc_u_tcam_data_wdata[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340237 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [17]),
+	.Y(u_soc_u_tcam_data_wdata[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340238 (
+	.A(n_10563),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [16]),
+	.Y(u_soc_u_tcam_data_wdata[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340239 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [24]),
+	.Y(n_10670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340240 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [25]),
+	.Y(n_10669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340241 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [26]),
+	.Y(n_10668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340242 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [27]),
+	.Y(n_10667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340243 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [28]),
+	.Y(n_10666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340244 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [29]),
+	.Y(n_10665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340245 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [30]),
+	.Y(n_10664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340246 (
+	.A(n_10566),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [31]),
+	.Y(n_10663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g340247 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [31]),
+	.Y(u_soc_u_tcam_data_wdata[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340248 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [30]),
+	.Y(u_soc_u_tcam_data_wdata[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340249 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [29]),
+	.Y(u_soc_u_tcam_data_wdata[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g340250 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [28]),
+	.Y(u_soc_u_tcam_data_wdata[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340251 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [27]),
+	.Y(u_soc_u_tcam_data_wdata[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340252 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [26]),
+	.Y(u_soc_u_tcam_data_wdata[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340253 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [25]),
+	.Y(u_soc_u_tcam_data_wdata[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340254 (
+	.A(n_10565),
+	.B_N(\u_soc_xbar_to_dccm[a_data] [24]),
+	.Y(u_soc_u_tcam_data_wdata[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340255 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [8]),
+	.X(n_10662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340256 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [9]),
+	.X(n_10661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340257 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [10]),
+	.X(n_10660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340258 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [12]),
+	.X(n_10659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340259 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [13]),
+	.X(n_10658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340260 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [14]),
+	.X(n_10657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340261 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [15]),
+	.X(n_10656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g340262 (
+	.A(n_10567),
+	.B(\u_soc_xbar_to_dccm[a_data] [11]),
+	.X(n_10655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g340263 (
+	.A(FE_COEN4572_n_10564),
+	.B(\u_soc_xbar_to_dccm[a_data] [15]),
+	.X(u_soc_u_tcam_data_wdata[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g340268 (
+	.A(FE_PSN4554_u_soc_data_addr_8),
+	.B(FE_PSN4553_u_soc_data_addr_9),
+	.Y(n_10692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340269 (
+	.A(u_soc_data_addr[9]),
+	.B(u_soc_data_addr[8]),
+	.Y(n_10691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340272 (
+	.A(n_10470),
+	.B(n_9547),
+	.C(n_9545),
+	.Y(n_10653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340273 (
+	.A(n_10480),
+	.B(n_9742),
+	.C(n_9743),
+	.Y(n_10652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340274 (
+	.A(n_10479),
+	.B(n_9741),
+	.C(n_9740),
+	.Y(n_10651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340275 (
+	.A(n_10478),
+	.B(n_9738),
+	.C(n_9739),
+	.Y(n_10650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340276 (
+	.A(n_10393),
+	.B(n_9724),
+	.C(n_9721),
+	.Y(n_10649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340277 (
+	.A(n_10473),
+	.B(n_9723),
+	.C(n_9722),
+	.Y(n_10648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340278 (
+	.A(n_10472),
+	.B(n_9720),
+	.C(n_9719),
+	.Y(n_10647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340279 (
+	.A(n_10515),
+	.B(n_9718),
+	.C(n_9717),
+	.Y(n_10646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340280 (
+	.A(n_10392),
+	.B(n_9716),
+	.C(n_9715),
+	.Y(n_10645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340281 (
+	.A(n_10516),
+	.B(n_9714),
+	.C(n_9713),
+	.Y(n_10644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340282 (
+	.A(n_10513),
+	.B(n_9702),
+	.C(n_9703),
+	.Y(n_10643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340283 (
+	.A(n_10512),
+	.B(n_9699),
+	.C(n_9700),
+	.Y(n_10642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340284 (
+	.A(n_10389),
+	.B(n_9692),
+	.C(n_9695),
+	.Y(n_10641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340285 (
+	.A(n_10509),
+	.B(n_9688),
+	.C(n_9689),
+	.Y(n_10640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340286 (
+	.A(n_10388),
+	.B(n_9687),
+	.C(n_9685),
+	.Y(n_10639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340287 (
+	.A(n_10508),
+	.B(n_9686),
+	.C(n_9684),
+	.Y(n_10638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340288 (
+	.A(n_10505),
+	.B(n_9942),
+	.C(n_9944),
+	.Y(n_10637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340289 (
+	.A(n_10504),
+	.B(n_9675),
+	.C(n_9947),
+	.Y(n_10636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340290 (
+	.A(n_10385),
+	.B(n_9667),
+	.C(n_9670),
+	.Y(n_10635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340291 (
+	.A(n_10501),
+	.B(n_9664),
+	.C(n_9665),
+	.Y(n_10634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340292 (
+	.A(n_10384),
+	.B(n_9661),
+	.C(n_9663),
+	.Y(n_10633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340293 (
+	.A(n_10500),
+	.B(n_9660),
+	.C(n_9662),
+	.Y(n_10632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340294 (
+	.A(n_10497),
+	.B(n_9943),
+	.C(n_9650),
+	.Y(n_10631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340295 (
+	.A(n_10496),
+	.B(n_9646),
+	.C(n_9584),
+	.Y(n_10630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340296 (
+	.A(n_10493),
+	.B(n_9634),
+	.C(n_9635),
+	.Y(n_10629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340297 (
+	.A(n_10492),
+	.B(n_9631),
+	.C(n_9633),
+	.Y(n_10628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340298 (
+	.A(n_10379),
+	.B(n_9624),
+	.C(n_9627),
+	.Y(n_10627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340299 (
+	.A(n_10378),
+	.B(n_9622),
+	.C(n_9619),
+	.Y(n_10626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340300 (
+	.A(n_10489),
+	.B(n_9621),
+	.C(n_9620),
+	.Y(n_10625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340301 (
+	.A(n_10486),
+	.B(n_9390),
+	.C(n_9618),
+	.Y(n_10624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340302 (
+	.A(n_10485),
+	.B(n_9737),
+	.C(n_9609),
+	.Y(n_10623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340303 (
+	.A(n_10375),
+	.B(n_9603),
+	.C(n_9506),
+	.Y(n_10622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340304 (
+	.A(n_10374),
+	.B(n_9598),
+	.C(n_9599),
+	.Y(n_10621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340305 (
+	.A(n_10373),
+	.B(n_9597),
+	.C(n_9596),
+	.Y(n_10620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340306 (
+	.A(n_10372),
+	.B(n_9594),
+	.C(n_9595),
+	.Y(n_10619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340307 (
+	.A(n_10369),
+	.B(n_9585),
+	.C(n_9586),
+	.Y(n_10618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340308 (
+	.A(n_10368),
+	.B(n_9582),
+	.C(n_9583),
+	.Y(n_10617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340309 (
+	.A(n_10363),
+	.B(n_9568),
+	.C(n_9569),
+	.Y(n_10616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340310 (
+	.A(n_10362),
+	.B(n_9567),
+	.C(n_9566),
+	.Y(n_10615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340311 (
+	.A(n_10527),
+	.B(n_9557),
+	.C(n_9556),
+	.Y(n_10614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340312 (
+	.A(n_10540),
+	.B(n_9555),
+	.C(n_9554),
+	.Y(n_10613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340313 (
+	.A(n_10481),
+	.B(n_9744),
+	.C(n_9745),
+	.Y(n_10612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340314 (
+	.A(n_10469),
+	.B(n_9543),
+	.C(n_9544),
+	.Y(n_10611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340315 (
+	.A(n_10466),
+	.B(n_9535),
+	.C(n_9536),
+	.Y(n_10610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340316 (
+	.A(n_10465),
+	.B(n_9533),
+	.C(n_9534),
+	.Y(n_10609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340317 (
+	.A(n_10464),
+	.B(n_9531),
+	.C(n_9532),
+	.Y(n_10608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340318 (
+	.A(n_10463),
+	.B(n_9529),
+	.C(n_9530),
+	.Y(n_10607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340319 (
+	.A(n_10458),
+	.B(n_9516),
+	.C(n_9515),
+	.Y(n_10606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340320 (
+	.A(n_10361),
+	.B(n_9514),
+	.C(n_9513),
+	.Y(n_10605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340321 (
+	.A(n_10455),
+	.B(n_9505),
+	.C(n_9504),
+	.Y(n_10604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340322 (
+	.A(n_10454),
+	.B(n_9502),
+	.C(n_9503),
+	.Y(n_10603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340323 (
+	.A(n_10453),
+	.B(n_9501),
+	.C(n_9500),
+	.Y(n_10602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340324 (
+	.A(n_10452),
+	.B(n_9498),
+	.C(n_9499),
+	.Y(n_10601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340325 (
+	.A(FE_OFN19499_n_10447),
+	.B(n_9484),
+	.C(n_9485),
+	.Y(n_10600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340326 (
+	.A(n_10446),
+	.B(n_9483),
+	.C(n_9482),
+	.Y(n_10599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340327 (
+	.A(n_10443),
+	.B(n_9475),
+	.C(n_9474),
+	.Y(n_10598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340328 (
+	.A(n_10442),
+	.B(n_9472),
+	.C(n_9471),
+	.Y(n_10597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340329 (
+	.A(n_10441),
+	.B(n_9470),
+	.C(n_9469),
+	.Y(n_10596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340330 (
+	.A(n_10440),
+	.B(n_9468),
+	.C(n_9467),
+	.Y(n_10595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340331 (
+	.A(n_10437),
+	.B(n_9460),
+	.C(n_9459),
+	.Y(n_10594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340332 (
+	.A(n_10436),
+	.B(n_9457),
+	.C(n_9458),
+	.Y(n_10593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340333 (
+	.A(n_10431),
+	.B(n_9443),
+	.C(n_9442),
+	.Y(n_10592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340334 (
+	.A(n_10430),
+	.B(n_9441),
+	.C(n_9440),
+	.Y(n_10591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340335 (
+	.A(n_10429),
+	.B(n_9439),
+	.C(n_9438),
+	.Y(n_10590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340336 (
+	.A(n_10428),
+	.B(n_9437),
+	.C(n_9436),
+	.Y(n_10589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340337 (
+	.A(n_10423),
+	.B(n_9422),
+	.C(n_9423),
+	.Y(n_10588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340338 (
+	.A(n_10422),
+	.B(n_9420),
+	.C(n_9421),
+	.Y(n_10587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340339 (
+	.A(n_10419),
+	.B(n_9413),
+	.C(n_9412),
+	.Y(n_10586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340340 (
+	.A(n_10418),
+	.B(n_9411),
+	.C(n_9410),
+	.Y(n_10585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340341 (
+	.A(n_10417),
+	.B(n_9408),
+	.C(n_9407),
+	.Y(n_10584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340342 (
+	.A(n_10416),
+	.B(n_9406),
+	.C(n_9405),
+	.Y(n_10583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340343 (
+	.A(n_10358),
+	.B(n_9392),
+	.C(n_9391),
+	.Y(n_10582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340344 (
+	.A(n_10357),
+	.B(n_9388),
+	.C(n_9389),
+	.Y(n_10581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340345 (
+	.A(n_10471),
+	.B(n_9387),
+	.C(n_9386),
+	.Y(n_10580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340346 (
+	.A(n_10412),
+	.B(n_9384),
+	.C(n_9385),
+	.Y(n_10579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340347 (
+	.A(n_10407),
+	.B(n_9370),
+	.C(n_9371),
+	.Y(n_10578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340348 (
+	.A(n_10406),
+	.B(n_9369),
+	.C(n_9368),
+	.Y(n_10577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340349 (
+	.A(n_10405),
+	.B(n_9366),
+	.C(n_9367),
+	.Y(n_10576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340350 (
+	.A(n_10404),
+	.B(n_9365),
+	.C(n_9364),
+	.Y(n_10575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g340351 (
+	.A1(n_10346),
+	.A2(n_9963),
+	.A3(n_8985),
+	.B1(la_data_in[4]),
+	.B2(FE_OFN1574_n_10248),
+	.X(n_10574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g340352 (
+	.A1(n_10346),
+	.A2(n_10154),
+	.A3(n_9787),
+	.B1(la_data_in[1]),
+	.B2(FE_OFN1574_n_10248),
+	.X(n_10573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g340353 (
+	.A_N(n_13458),
+	.B(n_10394),
+	.C(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.X(n_13492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340378 (
+	.A(n_10544),
+	.B(FE_OFN1625_n_10155),
+	.Y(n_10570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g340379 (
+	.A(n_10544),
+	.B(FE_OFN1624_n_10146),
+	.Y(n_10569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g340380 (
+	.A(n_10545),
+	.B(FE_OFN1625_n_10155),
+	.Y(n_10568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340381 (
+	.A(n_10528),
+	.B(n_13323),
+	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_2 g340382 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.A2(n_10163),
+	.A3(FE_OFN19541_n),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.B2(n_10343),
+	.Y(n_10562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340384 (
+	.A(n_8984),
+	.B(n_10546),
+	.Y(n_10560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340387 (
+	.A(n_10355),
+	.B(n_10546),
+	.Y(n_10557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340388 (
+	.A(n_10514),
+	.B(n_8942),
+	.Y(n_10556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340389 (
+	.A(n_10542),
+	.B(n_13322),
+	.Y(n_10555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340390 (
+	.A(n_10529),
+	.B(n_13322),
+	.Y(n_10554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g340391 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
+	.C1(n_10488),
+	.X(n_10553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g340392 (
+	.A1(n_10141),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A3(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B1(n_10144),
+	.C1(n_10164),
+	.Y(n_10552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g340393 (
+	.A1_N(u_soc_iccm_ctrl_addr_o[6]),
+	.A2_N(n_8808),
+	.B1(FE_PSN4111_n_10338),
+	.B2(FE_PSN4664_n_10153),
+	.Y(n_10551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340394 (
+	.A(n_10541),
+	.B(n_13323),
+	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340395 (
+	.A(n_10356),
+	.B(n_10154),
+	.Y(n_10550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g340396 (
+	.A1(la_data_in[5]),
+	.A2(FE_OFN1574_n_10248),
+	.B1(n_9350),
+	.B2(FE_OFN18959_io_in_28),
+	.C1(n_8984),
+	.X(n_10549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g340397 (
+	.A(n_10354),
+	.B(n_10154),
+	.C(n_8985),
+	.Y(n_10548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g340398 (
+	.A1(FE_OFN1623_n_10145),
+	.A2(FE_COEN4870_n_10345),
+	.B1(n_13322),
+	.Y(n_10547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g340399 (
+	.A1(FE_OFN1623_n_10145),
+	.A2(FE_COEN4566_FE_OFN18445_n_15897),
+	.B1(n_13323),
+	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g340400 (
+	.A(\u_soc_uart_to_xbar[d_valid] ),
+	.B(n_15896),
+	.C_N(FE_OFN19541_n),
+	.X(n_13558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g340401 (
+	.A(FE_OFN1623_n_10145),
+	.B(FE_OFN18232_u_soc_dccm_adapter_data_weD),
+	.Y(n_10567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g340402 (
+	.A(n_10544),
+	.B(FE_OFN1622_n_10044),
+	.Y(n_10566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g340403 (
+	.A(n_10545),
+	.B(FE_OFN1622_n_10044),
+	.Y(n_10565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340404 (
+	.A(FE_OFN1623_n_10145),
+	.B(u_soc_u_tcam_n_27),
+	.Y(n_10564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g340405 (
+	.A(n_10545),
+	.B(FE_OFN1624_n_10146),
+	.Y(n_10563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340412 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
+	.C1(n_10265),
+	.Y(n_10543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340413 (
+	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
+	.B(FE_OFN1625_n_10155),
+	.Y(n_10542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340414 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.Y(u_soc_u_tcam_data_addr[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340415 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.Y(u_soc_u_tcam_data_addr[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340416 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+	.Y(u_soc_u_tcam_data_addr[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g340417 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+	.Y(u_soc_u_tcam_data_addr[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340418 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.Y(u_soc_u_tcam_data_addr[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340419 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.Y(u_soc_u_tcam_data_addr[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340420 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.Y(u_soc_u_tcam_data_addr[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340421 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.Y(u_soc_u_tcam_data_addr[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340422 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.Y(u_soc_u_tcam_data_addr[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340423 (
+	.A(FE_COEN4334_n_10344),
+	.B_N(\u_soc_lsu_to_xbar[a_address] [20]),
+	.Y(u_soc_u_tcam_data_addr[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340424 (
+	.A(n_10344),
+	.B_N(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.Y(u_soc_u_tcam_data_addr[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340425 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(\u_soc_lsu_to_xbar[a_address] [17]),
+	.Y(u_soc_u_tcam_data_addr[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340426 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(\u_soc_lsu_to_xbar[a_address] [16]),
+	.Y(u_soc_u_tcam_data_addr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340427 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.Y(u_soc_u_tcam_data_addr[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340428 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(\u_soc_lsu_to_xbar[a_address] [15]),
+	.Y(u_soc_u_tcam_data_addr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340429 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.Y(u_soc_u_tcam_data_addr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340430 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(\u_soc_xbar_to_dccm[a_address] [13]),
+	.Y(u_soc_u_tcam_data_addr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340431 (
+	.A(FE_OFN18200_n_15897),
+	.B_N(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.Y(u_soc_u_tcam_data_addr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340432 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.Y(u_soc_u_tcam_data_addr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340433 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.Y(u_soc_u_tcam_data_addr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g340434 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.Y(u_soc_u_tcam_data_addr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g340435 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN18479_u_soc_xbar_to_dccm_a_address__10),
+	.Y(u_soc_u_tcam_data_addr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340436 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.Y(u_soc_u_tcam_data_addr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340437 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.Y(u_soc_u_tcam_data_addr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340438 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.Y(u_soc_u_tcam_data_addr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340439 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.Y(u_soc_u_tcam_data_addr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340440 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.Y(u_soc_u_tcam_data_addr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340441 (
+	.A(FE_COEN4566_FE_OFN18445_n_15897),
+	.B_N(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.Y(u_soc_u_tcam_data_addr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340442 (
+	.A(FE_OFN18501_n),
+	.B(FE_OFN1625_n_10155),
+	.Y(n_10541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340443 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
+	.C1(n_10230),
+	.Y(n_10540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340444 (
+	.A(FE_COEN4870_n_10345),
+	.B_N(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.Y(n_10539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340445 (
+	.A(FE_COEN4870_n_10345),
+	.B_N(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.Y(n_10538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340446 (
+	.A(FE_COEN4870_n_10345),
+	.B_N(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.Y(n_10537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340447 (
+	.A(FE_COEN4870_n_10345),
+	.B_N(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.Y(n_10536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340448 (
+	.A(FE_COEN4870_n_10345),
+	.B_N(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.Y(n_10535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340449 (
+	.A(n_10345),
+	.B_N(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.Y(n_10534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340450 (
+	.A(FE_COEN4870_n_10345),
+	.B_N(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.Y(n_10533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340451 (
+	.A(n_10345),
+	.B_N(FE_OFN18479_u_soc_xbar_to_dccm_a_address__10),
+	.Y(n_10532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340452 (
+	.A(n_10345),
+	.B_N(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.Y(n_10531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340453 (
+	.A(n_10345),
+	.B_N(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.Y(n_10530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340454 (
+	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
+	.B(FE_OFN1624_n_10146),
+	.Y(n_10529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340455 (
+	.A(FE_OFN18501_n),
+	.B(FE_OFN1624_n_10146),
+	.Y(n_10528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340456 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
+	.C1(n_10231),
+	.Y(n_10527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340457 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.B1(n_8961),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
+	.C1(n_10266),
+	.Y(n_10526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340458 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
+	.C1(n_10232),
+	.Y(n_10525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340459 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
+	.C1(n_10267),
+	.Y(n_10524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340460 (
+	.A(n_10156),
+	.B(n_10345),
+	.Y(n_10523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340461 (
+	.A(n_10156),
+	.B(FE_COEN4566_FE_OFN18445_n_15897),
+	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340462 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
+	.C1(n_10268),
+	.Y(n_10522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g340463 (
+	.A(n_13319),
+	.B(FE_COEN4566_FE_OFN18445_n_15897),
+	.X(u_soc_u_tcam_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340464 (
+	.A(n_10351),
+	.B(n_9325),
+	.Y(n_10521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340465 (
+	.A(n_10350),
+	.B(n_10333),
+	.Y(n_10520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g340466 (
+	.A1(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A2(n_633),
+	.A3(n_10140),
+	.B1(n_13474),
+	.X(n_10519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g340467 (
+	.A1(la_data_in[7]),
+	.A2(FE_OFN1574_n_10248),
+	.B1(n_8984),
+	.X(n_10518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g340468 (
+	.A1(FE_OFN1559_n_8979),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
+	.B1(n_10339),
+	.Y(n_10517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340469 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
+	.C1(n_10269),
+	.Y(n_10516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340470 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
+	.C1(n_10270),
+	.Y(n_10515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g340471 (
+	.A1(n_8885),
+	.A2(n_8815),
+	.A3(FE_OFN18959_io_in_28),
+	.B1(la_data_in[10]),
+	.B2(FE_OFN1574_n_10248),
+	.Y(n_10514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340472 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
+	.C1(n_10183),
+	.Y(n_10513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340473 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
+	.C1(n_10195),
+	.Y(n_10512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340474 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
+	.C1(n_10182),
+	.Y(n_10511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340475 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
+	.C1(n_10181),
+	.Y(n_10510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340476 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
+	.C1(n_10194),
+	.Y(n_10509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340477 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
+	.C1(n_10180),
+	.Y(n_10508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340478 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
+	.C1(n_10179),
+	.Y(n_10507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340479 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
+	.C1(n_10178),
+	.Y(n_10506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340480 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
+	.C1(n_10193),
+	.Y(n_10505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340481 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
+	.C1(n_10177),
+	.Y(n_10504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340482 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
+	.C1(n_10176),
+	.Y(n_10503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340483 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
+	.C1(n_10175),
+	.Y(n_10502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340484 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
+	.C1(n_10174),
+	.Y(n_10501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340485 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
+	.C1(n_10192),
+	.Y(n_10500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340486 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
+	.C1(n_10191),
+	.Y(n_10499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340487 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
+	.C1(n_10173),
+	.Y(n_10498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340488 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
+	.C1(n_10190),
+	.Y(n_10497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340489 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
+	.C1(n_10172),
+	.Y(n_10496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340490 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
+	.C1(n_10189),
+	.Y(n_10495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340491 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
+	.C1(n_10171),
+	.Y(n_10494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340492 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
+	.C1(n_10170),
+	.Y(n_10493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340493 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
+	.C1(n_10169),
+	.Y(n_10492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340494 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
+	.C1(n_10188),
+	.Y(n_10491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340495 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
+	.C1(n_10168),
+	.Y(n_10490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340496 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
+	.C1(n_10187),
+	.Y(n_10489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g340497 (
+	.A1(FE_OFN1565_n_9006),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
+	.B1(FE_OFN1564_n_9005),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
+	.C1(n_10186),
+	.X(n_10488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340498 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
+	.C1(n_10167),
+	.Y(n_10487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340499 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
+	.C1(n_10185),
+	.Y(n_10486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340500 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
+	.C1(n_10166),
+	.Y(n_10485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340501 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
+	.C1(n_10184),
+	.Y(n_10484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340502 (
+	.A1(FE_OFN1564_n_9005),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
+	.B1(FE_OFN1565_n_9006),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
+	.C1(n_10165),
+	.Y(n_10483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340503 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
+	.C1(n_10276),
+	.Y(n_10482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340504 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
+	.C1(n_10237),
+	.Y(n_10481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340505 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
+	.C1(n_10275),
+	.Y(n_10480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340506 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
+	.C1(n_10236),
+	.Y(n_10479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340507 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
+	.C1(n_10274),
+	.Y(n_10478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340508 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
+	.C1(n_10235),
+	.Y(n_10477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340509 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
+	.C1(n_10234),
+	.Y(n_10476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340510 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
+	.C1(n_10233),
+	.Y(n_10475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340511 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
+	.C1(n_10273),
+	.Y(n_10474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340512 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
+	.C1(n_10272),
+	.Y(n_10473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340513 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
+	.C1(n_10271),
+	.Y(n_10472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340514 (
+	.A(n_10346),
+	.B(n_8942),
+	.Y(n_10546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g340515 (
+	.A(n_13316),
+	.B(n_10345),
+	.X(u_soc_dccm_adapter_data_csbD), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340517 (
+	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
+	.B(n_640),
+	.Y(n_13322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g340518 (
+	.A(FE_OFN18501_n),
+	.B(n_640),
+	.Y(n_13323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g340519 (
+	.A(n_10328),
+	.B(n_13320),
+	.C(FE_OFN878_n_641),
+	.X(n_13494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g340520 (
+	.A(FE_PSN4662_FE_OFN1590_n_15897),
+	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.Y(u_soc_u_tcam_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g340521 (
+	.A_N(n_13309),
+	.B(n_13312),
+	.C(FE_OFN878_n_641),
+	.X(n_13493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g340522 (
+	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
+	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.Y(u_soc_dccm_adapter_data_weD), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340523 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
+	.C1(n_10204),
+	.Y(n_10471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340524 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
+	.C1(n_10264),
+	.Y(n_10470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340525 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
+	.C1(n_10263),
+	.Y(n_10469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340526 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
+	.C1(n_10262),
+	.Y(n_10468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340527 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
+	.C1(FE_OFN1572_n_10228),
+	.Y(n_10467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340528 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
+	.C1(n_10227),
+	.Y(n_10466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340529 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.B1(FE_OFN1535_n_8961),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
+	.C1(FE_OFN19524_n_10261),
+	.Y(n_10465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340530 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
+	.C1(n_10260),
+	.Y(n_10464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340531 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
+	.C1(n_10226),
+	.Y(n_10463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340532 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
+	.C1(n_10259),
+	.Y(n_10462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340533 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
+	.C1(n_10258),
+	.Y(n_10461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340534 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
+	.C1(n_10257),
+	.Y(n_10460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340535 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
+	.C1(FE_OFN1571_n_10225),
+	.Y(n_10459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340536 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
+	.C1(n_10224),
+	.Y(n_10458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340537 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
+	.C1(n_10223),
+	.Y(n_10457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340538 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
+	.C1(FE_OFN1576_n_10256),
+	.Y(n_10456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340539 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
+	.C1(n_10255),
+	.Y(n_10455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340540 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
+	.C1(n_10222),
+	.Y(n_10454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340541 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
+	.C1(n_10254),
+	.Y(n_10453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340542 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
+	.C1(n_10221),
+	.Y(n_10452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340543 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
+	.C1(FE_OFN1575_n_10253),
+	.Y(n_10451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340544 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
+	.C1(n_10252),
+	.Y(n_10450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340545 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
+	.C1(n_10251),
+	.Y(n_10449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340546 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
+	.C1(FE_OFN1570_n_10220),
+	.Y(n_10448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340547 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
+	.C1(n_10250),
+	.Y(n_10447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340548 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
+	.C1(n_10249),
+	.Y(n_10446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340549 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
+	.C1(n_10293),
+	.Y(n_10445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340550 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
+	.C1(n_10317),
+	.Y(n_10444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340551 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
+	.C1(n_10318),
+	.Y(n_10443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340552 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
+	.C1(n_10319),
+	.Y(n_10442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340553 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
+	.C1(n_10219),
+	.Y(n_10441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340554 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
+	.C1(n_10320),
+	.Y(n_10440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340555 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
+	.C1(n_10321),
+	.Y(n_10439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340556 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
+	.C1(n_10218),
+	.Y(n_10438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340557 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
+	.C1(n_10322),
+	.Y(n_10437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340558 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
+	.C1(n_10217),
+	.Y(n_10436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340559 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
+	.C1(n_10323),
+	.Y(n_10435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340560 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
+	.C1(n_10324),
+	.Y(n_10434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340561 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
+	.C1(n_10216),
+	.Y(n_10433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340562 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
+	.C1(n_10215),
+	.Y(n_10432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340563 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
+	.C1(n_10214),
+	.Y(n_10431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340564 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
+	.C1(n_10325),
+	.Y(n_10430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340565 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
+	.C1(n_10326),
+	.Y(n_10429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340566 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
+	.C1(n_10213),
+	.Y(n_10428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340567 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
+	.C1(n_10201),
+	.Y(n_10427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340568 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
+	.C1(n_10212),
+	.Y(n_10426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340569 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
+	.C1(n_10211),
+	.Y(n_10425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340570 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
+	.C1(n_10210),
+	.Y(n_10424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340571 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
+	.C1(n_10209),
+	.Y(n_10423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340572 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
+	.C1(n_10246),
+	.Y(n_10422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340573 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
+	.C1(n_10245),
+	.Y(n_10421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340574 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
+	.C1(n_10208),
+	.Y(n_10420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340575 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
+	.C1(n_10207),
+	.Y(n_10419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340576 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
+	.C1(n_10244),
+	.Y(n_10418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340577 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
+	.C1(n_10243),
+	.Y(n_10417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340578 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
+	.C1(n_10206),
+	.Y(n_10416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340579 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
+	.C1(n_10242),
+	.Y(n_10415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340580 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
+	.C1(n_10205),
+	.Y(n_10414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340581 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
+	.B1(FE_OFN1540_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
+	.C1(FE_OFN1573_n_10229),
+	.Y(n_10413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340582 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
+	.C1(n_10203),
+	.Y(n_10412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340583 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
+	.C1(n_10202),
+	.Y(n_10411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340584 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
+	.C1(n_10247),
+	.Y(n_10410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340585 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
+	.C1(n_10241),
+	.Y(n_10409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340586 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
+	.C1(n_10240),
+	.Y(n_10408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340587 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
+	.C1(n_10239),
+	.Y(n_10407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340588 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
+	.C1(n_10238),
+	.Y(n_10406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340589 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
+	.C1(n_10200),
+	.Y(n_10405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340590 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
+	.C1(n_10199),
+	.Y(n_10404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340591 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
+	.C1(n_10198),
+	.Y(n_10403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340592 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
+	.C1(n_10197),
+	.Y(n_10402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340593 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
+	.C1(n_10196),
+	.Y(n_10401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g340595 (
+	.A1_N(u_soc_iccm_ctrl_addr_o[1]),
+	.A2_N(n_8808),
+	.B1(FE_PSN4466_n_10160),
+	.B2(FE_PSN4664_n_10153),
+	.Y(n_10399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g340596 (
+	.A1_N(u_soc_iccm_ctrl_addr_o[2]),
+	.A2_N(n_8808),
+	.B1(n_10159),
+	.B2(FE_PSN4664_n_10153),
+	.Y(n_10398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g340597 (
+	.A1_N(u_soc_iccm_ctrl_addr_o[3]),
+	.A2_N(n_8808),
+	.B1(FE_PSN4112_n_10158),
+	.B2(FE_PSN4664_n_10153),
+	.Y(n_10397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_2 g340598 (
+	.A1_N(u_soc_iccm_ctrl_addr_o[4]),
+	.A2_N(n_8808),
+	.B1(FE_OCPN19183_n_10157),
+	.B2(FE_PSN4664_n_10153),
+	.Y(n_10396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g340600 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2_N(n_10328),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B2(n_13309),
+	.Y(n_10394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340601 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
+	.B1(FE_OFN1539_n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
+	.C1(n_10292),
+	.Y(n_10393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340602 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
+	.C1(n_10291),
+	.Y(n_10392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340603 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
+	.C1(n_10290),
+	.Y(n_10391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340604 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
+	.C1(n_10289),
+	.Y(n_10390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340605 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
+	.C1(n_10314),
+	.Y(n_10389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340606 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
+	.C1(n_10313),
+	.Y(n_10388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340607 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
+	.C1(n_10288),
+	.Y(n_10387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340608 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
+	.C1(n_10312),
+	.Y(n_10386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340609 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
+	.C1(n_10311),
+	.Y(n_10385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340610 (
+	.A1(FE_OFN1556_n_8976),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
+	.B1(FE_OFN1555_n_8975),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
+	.C1(n_10287),
+	.Y(n_10384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340611 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
+	.C1(n_10310),
+	.Y(n_10383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340612 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
+	.C1(n_10309),
+	.Y(n_10382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340613 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
+	.C1(n_10308),
+	.Y(n_10381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340614 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
+	.C1(n_10307),
+	.Y(n_10380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340615 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
+	.B1(FE_OFN1552_n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
+	.C1(n_10306),
+	.Y(n_10379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340616 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
+	.C1(n_10286),
+	.Y(n_10378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340617 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
+	.C1(n_10305),
+	.Y(n_10377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340618 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
+	.C1(n_10304),
+	.Y(n_10376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340619 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
+	.C1(n_10285),
+	.Y(n_10375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340620 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
+	.C1(n_10303),
+	.Y(n_10374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340621 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
+	.C1(n_10284),
+	.Y(n_10373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340622 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
+	.C1(n_10302),
+	.Y(n_10372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340623 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
+	.C1(n_10283),
+	.Y(n_10371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340624 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
+	.C1(n_10301),
+	.Y(n_10370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340625 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
+	.C1(n_10327),
+	.Y(n_10369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340626 (
+	.A1(FE_OFN1556_n_8976),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
+	.B1(FE_OFN1555_n_8975),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
+	.C1(n_10300),
+	.Y(n_10368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340627 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
+	.C1(n_10299),
+	.Y(n_10367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340628 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
+	.C1(n_10298),
+	.Y(n_10366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340629 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
+	.C1(n_10297),
+	.Y(n_10365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340630 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
+	.C1(n_10282),
+	.Y(n_10364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340631 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.B1(n_8961),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
+	.C1(n_10281),
+	.Y(n_10363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340632 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
+	.C1(n_10280),
+	.Y(n_10362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340633 (
+	.A1(n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
+	.B1(n_8963),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
+	.C1(n_10279),
+	.Y(n_10361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340634 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
+	.B1(FE_OFN1552_n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
+	.C1(n_10296),
+	.Y(n_10360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340635 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
+	.B1(FE_OFN1552_n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
+	.C1(n_10295),
+	.Y(n_10359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340636 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
+	.B1(FE_OFN1552_n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
+	.C1(n_10278),
+	.Y(n_10358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340637 (
+	.A1(FE_OFN1556_n_8976),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
+	.B1(FE_OFN1555_n_8975),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.C1(n_10294),
+	.Y(n_10357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g340638 (
+	.A1(la_data_in[8]),
+	.A2(FE_OFN1574_n_10248),
+	.B1(n_9950),
+	.B2(FE_OFN154_io_in_29),
+	.Y(n_10356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340639 (
+	.A(la_data_in[2]),
+	.B(FE_OFN1574_n_10248),
+	.Y(n_10355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340640 (
+	.A(la_data_in[3]),
+	.B(FE_OFN1574_n_10248),
+	.Y(n_10354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340641 (
+	.A(la_data_in[6]),
+	.B(FE_OFN1574_n_10248),
+	.Y(n_10353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340642 (
+	.A(la_data_in[9]),
+	.B(FE_OFN1574_n_10248),
+	.X(n_10352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340643 (
+	.A(la_data_in[11]),
+	.B(FE_OFN1574_n_10248),
+	.Y(n_10351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340644 (
+	.A(la_data_in[12]),
+	.B(FE_OFN1574_n_10248),
+	.Y(n_10350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340645 (
+	.A(la_data_in[13]),
+	.B(FE_OFN1574_n_10248),
+	.X(n_10349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340646 (
+	.A(la_data_in[14]),
+	.B(FE_OFN1574_n_10248),
+	.X(n_10348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g340647 (
+	.A(la_data_in[15]),
+	.B(FE_OFN1574_n_10248),
+	.X(n_10347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g340651 (
+	.A1(n_13336),
+	.A2(n_9354),
+	.B1(n_13882),
+	.C1(n_15902),
+	.D1(FE_OFN19541_n),
+	.Y(n_10343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g340652 (
+	.A1(FE_OFN19541_n),
+	.A2(\u_soc_uart_to_xbar[d_valid] ),
+	.B1(n_15898),
+	.Y(n_10342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g340653 (
+	.A1(n_13528),
+	.A2(n_8868),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
+	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
+	.Y(n_10341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g340654 (
+	.A1(n_13318),
+	.A2(FE_PSN4854_n_10153),
+	.B1(n_8943),
+	.Y(n_10340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g340655 (
+	.A1(n_8866),
+	.A2(n_9891),
+	.B1(n_8933),
+	.B2(n_9892),
+	.C1(n_10277),
+	.Y(n_10339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g340656 (
+	.A1(n_13527),
+	.A2(n_8868),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
+	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
+	.Y(n_10338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g340659 (
+	.A1(n_8987),
+	.A2(FE_OFN156_io_in_27),
+	.B1(FE_OFN1574_n_10248),
+	.Y(n_10346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 g340660 (
+	.A(FE_PSN4661_n_10330),
+	.B(FE_OFN18496_n),
+	.C(n_9349),
+	.Y(u_soc_dccm_adapter_data_mem_error_internal), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340662 (
+	.A(n_10337),
+	.Y(n_13354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340663 (
+	.A(n_10336),
+	.Y(n_13357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340664 (
+	.A(n_10335),
+	.Y(n_13355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340665 (
+	.A(n_10334),
+	.Y(n_13356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340668 (
+	.A(n_10328),
+	.Y(n_13308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340669 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9877),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10063),
+	.Y(n_10327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340671 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10008),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9819),
+	.Y(n_10326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340672 (
+	.A1(n_8855),
+	.A2(n_10009),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9820),
+	.Y(n_10325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340673 (
+	.A1(n_8855),
+	.A2(n_10013),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9824),
+	.Y(n_10324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340674 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10014),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9825),
+	.Y(n_10323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340675 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10016),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9827),
+	.Y(n_10322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340676 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10018),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9829),
+	.Y(n_10321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340677 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10019),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9830),
+	.Y(n_10320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340678 (
+	.A1(n_8855),
+	.A2(n_10021),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9832),
+	.Y(n_10319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340679 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10022),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9833),
+	.Y(n_10318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340680 (
+	.A1(n_8855),
+	.A2(n_10023),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9834),
+	.Y(n_10317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340681 (
+	.A(FE_OFN18443_n_13363),
+	.B_N(n_13529),
+	.Y(n_10316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340682 (
+	.A(FE_OFN18443_n_13363),
+	.B_N(n_13530),
+	.Y(n_10315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340683 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10106),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9916),
+	.Y(n_10314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340684 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10104),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9914),
+	.Y(n_10313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340685 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10098),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9909),
+	.Y(n_10312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340686 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10096),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9906),
+	.Y(n_10311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340687 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10090),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9902),
+	.Y(n_10310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340688 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10087),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9900),
+	.Y(n_10309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340689 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10085),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9896),
+	.Y(n_10308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340690 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10083),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9895),
+	.Y(n_10307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340691 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10080),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9897),
+	.Y(n_10306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340692 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10075),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9887),
+	.Y(n_10305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340693 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10072),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9912),
+	.Y(n_10304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340694 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10068),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9882),
+	.Y(n_10303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340695 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10066),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9880),
+	.Y(n_10302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340696 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10064),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9878),
+	.Y(n_10301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340697 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10062),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9876),
+	.Y(n_10300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340698 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10061),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9875),
+	.Y(n_10299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340699 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10060),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9874),
+	.Y(n_10298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340700 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10059),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9873),
+	.Y(n_10297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340701 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9992),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9801),
+	.Y(n_10296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340702 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9991),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_9800),
+	.Y(n_10295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340703 (
+	.A1(n_8932),
+	.A2(n_9989),
+	.B1(n_8860),
+	.B2(n_9798),
+	.Y(n_10294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340704 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10024),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9835),
+	.Y(n_10293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340705 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_10117),
+	.B1(n_8853),
+	.B2(n_9928),
+	.Y(n_10292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340706 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9924),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10115),
+	.Y(n_10291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340707 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9921),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10112),
+	.Y(n_10290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340708 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9918),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10109),
+	.Y(n_10289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340709 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9911),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10101),
+	.Y(n_10288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340710 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9904),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10094),
+	.Y(n_10287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340711 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9889),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10079),
+	.Y(n_10286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340712 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9883),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10069),
+	.Y(n_10285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340713 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9881),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10067),
+	.Y(n_10284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340714 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9879),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10065),
+	.Y(n_10283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340716 (
+	.A1(FE_OFN1044_n_8932),
+	.A2(n_9872),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10058),
+	.Y(n_10282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340717 (
+	.A1(n_8853),
+	.A2(n_9871),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10057),
+	.Y(n_10281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340718 (
+	.A1(n_8853),
+	.A2(n_9870),
+	.B1(FE_OFN1025_n_8860),
+	.B2(n_10056),
+	.Y(n_10280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340719 (
+	.A1(n_8853),
+	.A2(n_10037),
+	.B1(n_8932),
+	.B2(n_9849),
+	.Y(n_10279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340720 (
+	.A1(n_8932),
+	.A2(n_9799),
+	.B1(n_8860),
+	.B2(n_9990),
+	.Y(n_10278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340721 (
+	.A1(FE_OFN1558_n_8978),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
+	.B1(FE_OFN1561_n_8981),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
+	.C1(n_10142),
+	.Y(n_10277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340722 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10129),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9938),
+	.Y(n_10276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340723 (
+	.A1(n_8855),
+	.A2(n_10126),
+	.B1(n_8853),
+	.B2(n_9936),
+	.Y(n_10275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340724 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10124),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9934),
+	.Y(n_10274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340725 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10120),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9930),
+	.Y(n_10273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340726 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10119),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9929),
+	.Y(n_10272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340727 (
+	.A1(n_8855),
+	.A2(n_10118),
+	.B1(n_8853),
+	.B2(n_9927),
+	.Y(n_10271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340728 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10116),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9926),
+	.Y(n_10270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340729 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10114),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9925),
+	.Y(n_10269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340730 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10113),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9923),
+	.Y(n_10268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340731 (
+	.A1(n_8855),
+	.A2(n_10111),
+	.B1(n_8853),
+	.B2(n_9922),
+	.Y(n_10267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340732 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10054),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9868),
+	.Y(n_10266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340733 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10051),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9864),
+	.Y(n_10265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340734 (
+	.A1(n_8855),
+	.A2(n_10049),
+	.B1(n_8853),
+	.B2(n_9862),
+	.Y(n_10264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340735 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10048),
+	.B1(n_8853),
+	.B2(n_9861),
+	.Y(n_10263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340736 (
+	.A1(n_8855),
+	.A2(n_10047),
+	.B1(n_8853),
+	.B2(n_9860),
+	.Y(n_10262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340737 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10134),
+	.B1(n_8853),
+	.B2(n_9857),
+	.Y(n_10261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340738 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10135),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9856),
+	.Y(n_10260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340739 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10043),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9854),
+	.Y(n_10259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340740 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10042),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9853),
+	.Y(n_10258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340741 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10041),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9852),
+	.Y(n_10257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340742 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10035),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9847),
+	.Y(n_10256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340743 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10034),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9846),
+	.Y(n_10255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340744 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10032),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9844),
+	.Y(n_10254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340745 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10030),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9842),
+	.Y(n_10253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340746 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10029),
+	.B1(n_8853),
+	.B2(n_9841),
+	.Y(n_10252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340747 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10028),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9840),
+	.Y(n_10251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340748 (
+	.A1(n_8855),
+	.A2(n_10026),
+	.B1(n_8853),
+	.B2(n_9838),
+	.Y(n_10250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340749 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_10025),
+	.B1(n_8853),
+	.B2(n_9836),
+	.Y(n_10249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g340750 (
+	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B(n_13479),
+	.X(n_13478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g340751 (
+	.A1(n_9025),
+	.A2(FE_PSN4117_n_9163),
+	.B1_N(n_13385),
+	.Y(n_10337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g340752 (
+	.A1(n_9113),
+	.A2(FE_OFN1566_n_9159),
+	.B1_N(n_13384),
+	.Y(n_10336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g340753 (
+	.A1(n_9280),
+	.A2(FE_OFN18350_n_9277),
+	.B1_N(n_13385),
+	.Y(n_10335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g340754 (
+	.A1(n_9299),
+	.A2(FE_OFN1569_n_9296),
+	.B1_N(n_13384),
+	.Y(n_10334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g340755 (
+	.A_N(io_in[30]),
+	.B(n_10139),
+	.C(io_in[31]),
+	.Y(n_10333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g340756 (
+	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B(n_13474),
+	.X(n_13483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g340758 (
+	.A(FE_COEN4697_FE_OFN18361_n),
+	.B(n_10148),
+	.Y(n_10330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340759 (
+	.A(n_13310),
+	.B(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_13309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340760 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_10147),
+	.Y(n_10328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340761 (
+	.A1(n_8855),
+	.A2(n_9793),
+	.B1(n_8853),
+	.B2(n_9985),
+	.Y(n_10247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340762 (
+	.A1(n_8855),
+	.A2(n_10001),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9810),
+	.Y(n_10246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340763 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10000),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9809),
+	.Y(n_10245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340764 (
+	.A1(n_8855),
+	.A2(n_9997),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9806),
+	.Y(n_10244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340765 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9996),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9805),
+	.Y(n_10243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340766 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9994),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9803),
+	.Y(n_10242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340767 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9984),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9792),
+	.Y(n_10241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340768 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9983),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9791),
+	.Y(n_10240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340769 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9982),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9790),
+	.Y(n_10239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340770 (
+	.A1(n_8855),
+	.A2(n_9981),
+	.B1(n_8853),
+	.B2(n_9789),
+	.Y(n_10238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340771 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9937),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10128),
+	.Y(n_10237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340772 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9935),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10125),
+	.Y(n_10236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340773 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9933),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10123),
+	.Y(n_10235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340774 (
+	.A1(n_8855),
+	.A2(n_9932),
+	.B1(n_8853),
+	.B2(n_10122),
+	.Y(n_10234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340775 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9931),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10121),
+	.Y(n_10233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340776 (
+	.A1(n_8855),
+	.A2(n_9869),
+	.B1(n_8853),
+	.B2(n_10055),
+	.Y(n_10232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340777 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9867),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10053),
+	.Y(n_10231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340778 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9866),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10052),
+	.Y(n_10230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340779 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9863),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10050),
+	.Y(n_10229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340780 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9859),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10046),
+	.Y(n_10228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340781 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9858),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10133),
+	.Y(n_10227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340782 (
+	.A1(n_8855),
+	.A2(n_9855),
+	.B1(n_8853),
+	.B2(n_10136),
+	.Y(n_10226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340783 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9851),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10040),
+	.Y(n_10225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340784 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9850),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10038),
+	.Y(n_10224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340785 (
+	.A1(n_8855),
+	.A2(n_9848),
+	.B1(n_8853),
+	.B2(n_10036),
+	.Y(n_10223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340786 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9845),
+	.B1(n_8853),
+	.B2(n_10033),
+	.Y(n_10222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340787 (
+	.A1(n_8855),
+	.A2(n_9843),
+	.B1(n_8853),
+	.B2(n_10031),
+	.Y(n_10221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340788 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9839),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10027),
+	.Y(n_10220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340789 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9831),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10020),
+	.Y(n_10219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340790 (
+	.A1(n_8855),
+	.A2(n_9828),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10017),
+	.Y(n_10218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340791 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9826),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10015),
+	.Y(n_10217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340792 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9823),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10012),
+	.Y(n_10216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340793 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9822),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10011),
+	.Y(n_10215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340794 (
+	.A1(n_8855),
+	.A2(n_9821),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10010),
+	.Y(n_10214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340795 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9817),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10007),
+	.Y(n_10213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340796 (
+	.A1(n_8855),
+	.A2(n_9814),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10005),
+	.Y(n_10212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340797 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9813),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10004),
+	.Y(n_10211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340798 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9812),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10003),
+	.Y(n_10210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340799 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9811),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_10002),
+	.Y(n_10209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340800 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_9808),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9999),
+	.Y(n_10208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340801 (
+	.A1(n_8855),
+	.A2(n_9949),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9998),
+	.Y(n_10207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340802 (
+	.A1(n_8855),
+	.A2(n_9804),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9995),
+	.Y(n_10206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340803 (
+	.A1(n_8855),
+	.A2(n_9802),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9993),
+	.Y(n_10205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340804 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9797),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9988),
+	.Y(n_10204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340805 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9796),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9987),
+	.Y(n_10203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340806 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9794),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9986),
+	.Y(n_10202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340807 (
+	.A1(FE_OFN1022_n_8855),
+	.A2(n_10006),
+	.B1(FE_OFN1020_n_8853),
+	.B2(n_9815),
+	.Y(n_10201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340808 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9788),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9980),
+	.Y(n_10200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340809 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9786),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9978),
+	.Y(n_10199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340810 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9785),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_9977),
+	.Y(n_10198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340811 (
+	.A1(n_8855),
+	.A2(n_9784),
+	.B1(n_8853),
+	.B2(n_9976),
+	.Y(n_10197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340812 (
+	.A1(FE_OFN1021_n_8855),
+	.A2(n_9939),
+	.B1(FE_OFN1019_n_8853),
+	.B2(n_10132),
+	.Y(n_10196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340813 (
+	.A1(n_8866),
+	.A2(n_10108),
+	.B1(n_8933),
+	.B2(n_9919),
+	.Y(n_10195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340814 (
+	.A1(n_8866),
+	.A2(n_10105),
+	.B1(n_8933),
+	.B2(n_9915),
+	.Y(n_10194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340815 (
+	.A1(n_8866),
+	.A2(n_10100),
+	.B1(n_8933),
+	.B2(n_9910),
+	.Y(n_10193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340816 (
+	.A1(n_8866),
+	.A2(n_10093),
+	.B1(n_8933),
+	.B2(n_9818),
+	.Y(n_10192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340817 (
+	.A1(n_8866),
+	.A2(n_10092),
+	.B1(n_8933),
+	.B2(n_9903),
+	.Y(n_10191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340818 (
+	.A1(n_8866),
+	.A2(n_10089),
+	.B1(n_8933),
+	.B2(n_9901),
+	.Y(n_10190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340819 (
+	.A1(n_8866),
+	.A2(n_10086),
+	.B1(n_8933),
+	.B2(n_9898),
+	.Y(n_10189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340820 (
+	.A1(n_8866),
+	.A2(n_10082),
+	.B1(n_8933),
+	.B2(n_9893),
+	.Y(n_10188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340821 (
+	.A1(n_8866),
+	.A2(n_10078),
+	.B1(n_8933),
+	.B2(n_9816),
+	.Y(n_10187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340822 (
+	.A1(n_8866),
+	.A2(n_10077),
+	.B1(n_8933),
+	.B2(n_9888),
+	.Y(n_10186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340823 (
+	.A1(n_8866),
+	.A2(n_10074),
+	.B1(n_8933),
+	.B2(n_9886),
+	.Y(n_10185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340824 (
+	.A1(n_8866),
+	.A2(n_10071),
+	.B1(n_8933),
+	.B2(n_9884),
+	.Y(n_10184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340825 (
+	.A1(n_8866),
+	.A2(n_9920),
+	.B1(n_8933),
+	.B2(n_10110),
+	.Y(n_10183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340826 (
+	.A1(n_8866),
+	.A2(n_9917),
+	.B1(n_8933),
+	.B2(n_10107),
+	.Y(n_10182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340827 (
+	.A1(n_8866),
+	.A2(n_9974),
+	.B1(n_8933),
+	.B2(n_10127),
+	.Y(n_10181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340828 (
+	.A1(n_8866),
+	.A2(n_9795),
+	.B1(n_8933),
+	.B2(n_9979),
+	.Y(n_10180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340829 (
+	.A1(n_8866),
+	.A2(n_9913),
+	.B1(n_8933),
+	.B2(n_10103),
+	.Y(n_10179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340830 (
+	.A1(n_8866),
+	.A2(n_9973),
+	.B1(n_8933),
+	.B2(n_10102),
+	.Y(n_10178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340831 (
+	.A1(n_8866),
+	.A2(n_9908),
+	.B1(n_8933),
+	.B2(n_10099),
+	.Y(n_10177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340832 (
+	.A1(n_8866),
+	.A2(n_9907),
+	.B1(n_8933),
+	.B2(n_10130),
+	.Y(n_10176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340833 (
+	.A1(n_8866),
+	.A2(n_9967),
+	.B1(n_8933),
+	.B2(n_10097),
+	.Y(n_10175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340834 (
+	.A1(n_8866),
+	.A2(n_9905),
+	.B1(n_8933),
+	.B2(n_10095),
+	.Y(n_10174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340835 (
+	.A1(n_8866),
+	.A2(n_9972),
+	.B1(n_8933),
+	.B2(n_10091),
+	.Y(n_10173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340836 (
+	.A1(n_8866),
+	.A2(n_9899),
+	.B1(n_8933),
+	.B2(n_10088),
+	.Y(n_10172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340837 (
+	.A1(n_8866),
+	.A2(n_9971),
+	.B1(n_8933),
+	.B2(n_10131),
+	.Y(n_10171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340838 (
+	.A1(n_8866),
+	.A2(n_9865),
+	.B1(n_8933),
+	.B2(n_10039),
+	.Y(n_10170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340839 (
+	.A1(n_8866),
+	.A2(n_9894),
+	.B1(n_8933),
+	.B2(n_10084),
+	.Y(n_10169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340840 (
+	.A1(n_8866),
+	.A2(n_9970),
+	.B1(n_8933),
+	.B2(n_10081),
+	.Y(n_10168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340841 (
+	.A1(n_8866),
+	.A2(n_9969),
+	.B1(n_8933),
+	.B2(n_10076),
+	.Y(n_10167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340842 (
+	.A1(n_8866),
+	.A2(n_9885),
+	.B1(n_8933),
+	.B2(n_10073),
+	.Y(n_10166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340843 (
+	.A1(n_8866),
+	.A2(n_9975),
+	.B1(n_8933),
+	.B2(n_10070),
+	.Y(n_10165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g340844 (
+	.A1(n_9952),
+	.A2(n_9968),
+	.B1(n_8832),
+	.B2(n_10045),
+	.Y(n_10164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g340845 (
+	.A1(n_13337),
+	.A2(n_9352),
+	.B1(n_13884),
+	.C1(n_15901),
+	.Y(n_10163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g340849 (
+	.A1(n_13532),
+	.A2(n_8868),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
+	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
+	.Y(n_10159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g340850 (
+	.A1(n_13349),
+	.A2(n_8868),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
+	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
+	.Y(n_10158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g340851 (
+	.A1(n_13533),
+	.A2(n_8868),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
+	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
+	.Y(n_10157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g340852 (
+	.A(io_in[31]),
+	.B(io_in[30]),
+	.C_N(n_10139),
+	.Y(n_10248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g340854 (
+	.A1(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.A2(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B1(n_8881),
+	.C1(n_9951),
+	.Y(n_10151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g340855 (
+	.A_N(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.B(u_soc_prog_rst_ni),
+	.Y(n_10150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g340856 (
+	.A(n_640),
+	.B(FE_OFN1622_n_10044),
+	.Y(n_10156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340857 (
+	.A(n_9341),
+	.B(n_9962),
+	.Y(n_13528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340858 (
+	.A(n_9342),
+	.B(n_9961),
+	.Y(n_13527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g340859 (
+	.A(n_9343),
+	.B(n_9959),
+	.Y(n_13530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g340860 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B(n_9351),
+	.C(n_13480),
+	.X(n_13485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340861 (
+	.A(n_9344),
+	.B(n_9960),
+	.Y(n_13529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g340862 (
+	.A1(n_9010),
+	.A2(n_8862),
+	.B1(n_8997),
+	.C1(n_13340),
+	.D1(n_9324),
+	.Y(n_10155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g340863 (
+	.A(n_10139),
+	.B(io_in[30]),
+	.Y(n_10154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g340864 (
+	.A1(n_15904),
+	.A2(u_soc_u_uart_u_uart_core_fifo_read_size[8]),
+	.B1(n_9353),
+	.Y(u_soc_u_uart_u_uart_core_write_fifo_n_1309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 g340865 (
+	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.B(u_soc_prog_rst_ni),
+	.Y(n_10153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g340867 (
+	.A(n_10147),
+	.Y(n_13310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g340868 (
+	.A1(n_8882),
+	.A2(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B1(n_10045),
+	.Y(n_10144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g340869 (
+	.A(FE_OFN18371_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1),
+	.B(n_13461),
+	.C(n_8960),
+	.D(FE_OFN19543_u_soc_iccm_adapter_inst_mem_wmask_int_0__30),
+	.X(n_10143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g340870 (
+	.A1_N(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
+	.A2_N(FE_OFN1560_n_8980),
+	.B1(n_8874),
+	.B2(n_9890),
+	.Y(n_10142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g340871 (
+	.A1(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A2(n_8986),
+	.A3(n_9351),
+	.B1(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B2(n_9940),
+	.Y(n_10141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g340872 (
+	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.C(n_12),
+	.D(n_13473),
+	.X(n_10140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g340874 (
+	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.C(n_13481),
+	.X(n_13479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g340875 (
+	.A1(n_8817),
+	.A2(n_13314),
+	.B1(n_10137),
+	.Y(n_13384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g340876 (
+	.A1(n_8818),
+	.A2(n_13314),
+	.B1(n_10138),
+	.Y(n_13385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g340877 (
+	.A1(n_13311),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A3(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.B1(n_9965),
+	.Y(n_10147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g340878 (
+	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.C(n_9783),
+	.X(n_13474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g340879 (
+	.A1(n_8863),
+	.A2(n_9837),
+	.B1(n_9010),
+	.B2(n_8864),
+	.C1(n_8998),
+	.Y(n_10146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g340880 (
+	.A1(n_8865),
+	.A2(u_soc_u_top_u_core_lsu_type[1]),
+	.B1(n_9966),
+	.Y(n_10145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g340881 (
+	.A_N(n_13317),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.Y(n_10138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g340882 (
+	.A_N(n_13317),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_10137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340883 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
+	.C1(n_9176),
+	.Y(n_10136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340884 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
+	.C1(n_9177),
+	.Y(n_10135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340885 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
+	.C1(n_9180),
+	.Y(n_10134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340886 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
+	.C1(n_9184),
+	.Y(n_10133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340887 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
+	.C1(n_9319),
+	.Y(n_10132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340888 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
+	.B1_N(n_9762),
+	.Y(n_10131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340889 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
+	.B1_N(n_9771),
+	.Y(n_10130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340890 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
+	.C1(n_9315),
+	.Y(n_10129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340891 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
+	.C1(n_9314),
+	.Y(n_10128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340892 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
+	.B1_N(n_9778),
+	.Y(n_10127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340893 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
+	.C1(n_9312),
+	.Y(n_10126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340894 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
+	.C1(n_9311),
+	.Y(n_10125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340895 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
+	.C1(n_9308),
+	.Y(n_10124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340896 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
+	.C1(n_9307),
+	.Y(n_10123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340897 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.C1(n_9305),
+	.Y(n_10122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340898 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
+	.C1(n_9303),
+	.Y(n_10121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340899 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
+	.C1(n_9300),
+	.Y(n_10120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340900 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
+	.C1(n_9297),
+	.Y(n_10119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340901 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
+	.C1(n_9293),
+	.Y(n_10118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340902 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
+	.C1(n_9292),
+	.Y(n_10117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340903 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
+	.C1(n_9274),
+	.Y(n_10116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340904 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
+	.C1(n_9289),
+	.Y(n_10115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340905 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
+	.C1(n_9288),
+	.Y(n_10114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340906 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
+	.C1(n_9285),
+	.Y(n_10113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340907 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
+	.C1(n_9282),
+	.Y(n_10112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340908 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
+	.C1(n_9283),
+	.Y(n_10111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340909 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
+	.B1_N(n_9781),
+	.Y(n_10110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340910 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
+	.C1(n_9276),
+	.Y(n_10109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340911 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
+	.B1_N(n_9780),
+	.Y(n_10108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340912 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
+	.B1_N(n_9779),
+	.Y(n_10107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340913 (
+	.A1(FE_OFN1034_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
+	.C1(n_9273),
+	.Y(n_10106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340914 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
+	.B1_N(n_9777),
+	.Y(n_10105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340915 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
+	.C1(n_9269),
+	.Y(n_10104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340916 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
+	.B1_N(n_9775),
+	.Y(n_10103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340917 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
+	.B1_N(n_9774),
+	.Y(n_10102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340918 (
+	.A1(FE_OFN1034_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
+	.C1(n_9267),
+	.Y(n_10101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340919 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
+	.B1_N(n_9773),
+	.Y(n_10100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340920 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
+	.B1_N(n_9772),
+	.Y(n_10099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340921 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
+	.C1(n_9253),
+	.Y(n_10098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340922 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
+	.B1_N(n_9770),
+	.Y(n_10097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340923 (
+	.A1(FE_OFN1034_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.C1(n_9260),
+	.Y(n_10096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340924 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
+	.B1_N(n_9769),
+	.Y(n_10095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340925 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
+	.C1(n_9258),
+	.Y(n_10094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340926 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
+	.B1_N(n_9768),
+	.Y(n_10093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340927 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
+	.B1_N(n_9767),
+	.Y(n_10092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340928 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
+	.B1_N(n_9766),
+	.Y(n_10091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340929 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
+	.C1(n_9254),
+	.Y(n_10090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340930 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
+	.B1_N(n_9765),
+	.Y(n_10089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340931 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
+	.B1_N(n_9764),
+	.Y(n_10088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340932 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
+	.C1(n_9052),
+	.Y(n_10087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340933 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
+	.B1_N(n_9763),
+	.Y(n_10086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340934 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
+	.C1(n_9170),
+	.Y(n_10085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340935 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
+	.B1_N(n_9760),
+	.Y(n_10084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340936 (
+	.A1(FE_OFN1034_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
+	.C1(n_9244),
+	.Y(n_10083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340937 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
+	.B1_N(n_9759),
+	.Y(n_10082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340938 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
+	.B1_N(n_9758),
+	.Y(n_10081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340939 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
+	.C1(n_9241),
+	.Y(n_10080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340940 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
+	.C1(n_9238),
+	.Y(n_10079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340941 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
+	.B1_N(n_9757),
+	.Y(n_10078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340942 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
+	.B1_N(n_9756),
+	.Y(n_10077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340943 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
+	.B1_N(n_9755),
+	.Y(n_10076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340944 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
+	.C1(n_9232),
+	.Y(n_10075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340945 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
+	.B1_N(n_9754),
+	.Y(n_10074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340946 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
+	.B1_N(n_9753),
+	.Y(n_10073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340947 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
+	.C1(n_9216),
+	.Y(n_10072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340948 (
+	.A1(FE_OFN1023_n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
+	.B1_N(n_9752),
+	.Y(n_10071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340949 (
+	.A1(n_8856),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
+	.B1_N(n_9751),
+	.Y(n_10070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340950 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
+	.C1(n_9226),
+	.Y(n_10069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340951 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
+	.C1(n_9223),
+	.Y(n_10068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340952 (
+	.A1(FE_OFN1034_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
+	.C1(n_9221),
+	.Y(n_10067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340953 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
+	.C1(n_9218),
+	.Y(n_10066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340954 (
+	.A1(FE_OFN1034_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
+	.C1(n_9217),
+	.Y(n_10065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340955 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
+	.C1(n_9212),
+	.Y(n_10064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340956 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
+	.C1(n_9211),
+	.Y(n_10063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340957 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
+	.B1(FE_OFN1015_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
+	.C1(n_9208),
+	.Y(n_10062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340958 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
+	.C1(n_9205),
+	.Y(n_10061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340959 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
+	.C1(n_9203),
+	.Y(n_10060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340960 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
+	.C1(n_9199),
+	.Y(n_10059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340961 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
+	.C1(n_9198),
+	.Y(n_10058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340962 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
+	.C1(n_9196),
+	.Y(n_10057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340963 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
+	.C1(n_9193),
+	.Y(n_10056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340964 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
+	.C1(n_9261),
+	.Y(n_10055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340965 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
+	.C1(n_9328),
+	.Y(n_10054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340966 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
+	.C1(n_9329),
+	.Y(n_10053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340967 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
+	.C1(n_9333),
+	.Y(n_10052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340968 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
+	.C1(n_9336),
+	.Y(n_10051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340969 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
+	.C1(n_9338),
+	.Y(n_10050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340970 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
+	.C1(n_9346),
+	.Y(n_10049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340971 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
+	.C1(n_9102),
+	.Y(n_10048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340972 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
+	.C1(n_9188),
+	.Y(n_10047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340973 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
+	.C1(n_9186),
+	.Y(n_10046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g340974 (
+	.A_N(n_13321),
+	.B(n_641),
+	.Y(n_13316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g340975 (
+	.A_N(n_13344),
+	.B(n_641),
+	.Y(n_13319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g340976 (
+	.A(FE_OFN154_io_in_29),
+	.B_N(n_9950),
+	.Y(n_10139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 g340977 (
+	.A(n_13362),
+	.B(n_8819),
+	.Y(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g340978 (
+	.A(n_9958),
+	.Y(n_13348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g340979 (
+	.A(n_9957),
+	.Y(n_13533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g340980 (
+	.A(n_9956),
+	.Y(n_13532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g340981 (
+	.A(n_9955),
+	.Y(n_13531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g340983 (
+	.A(n_9953),
+	.Y(n_13349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340984 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
+	.C1(n_9172),
+	.Y(n_10043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340985 (
+	.A1(FE_OFN19495_FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
+	.C1(n_9169),
+	.Y(n_10042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340986 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
+	.C1(n_9166),
+	.Y(n_10041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340987 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.C1(n_9165),
+	.Y(n_10040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g340988 (
+	.A1(n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
+	.B1_N(n_9761),
+	.Y(n_10039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340989 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
+	.C1(n_9161),
+	.Y(n_10038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340990 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
+	.C1(n_9157),
+	.Y(n_10037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340991 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
+	.C1(n_9155),
+	.Y(n_10036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340992 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
+	.C1(n_9152),
+	.Y(n_10035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340993 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
+	.C1(n_9149),
+	.Y(n_10034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340994 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
+	.C1(n_9148),
+	.Y(n_10033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340995 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
+	.C1(n_9144),
+	.Y(n_10032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340996 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
+	.C1(n_9143),
+	.Y(n_10031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340997 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
+	.C1(n_9138),
+	.Y(n_10030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340998 (
+	.A1(FE_OFN19495_FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
+	.C1(n_9135),
+	.Y(n_10029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g340999 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
+	.C1(n_9133),
+	.Y(n_10028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341000 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.C1(n_9131),
+	.Y(n_10027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341001 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
+	.C1(n_9128),
+	.Y(n_10026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341002 (
+	.A1(FE_OFN19495_FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
+	.C1(n_9125),
+	.Y(n_10025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341003 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
+	.C1(n_9123),
+	.Y(n_10024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341004 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
+	.C1(n_9120),
+	.Y(n_10023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341005 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
+	.C1(n_9118),
+	.Y(n_10022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341006 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
+	.C1(n_9115),
+	.Y(n_10021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341007 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
+	.C1(n_9114),
+	.Y(n_10020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341008 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
+	.C1(n_9108),
+	.Y(n_10019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341009 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
+	.C1(n_9106),
+	.Y(n_10018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341010 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.C1(n_9104),
+	.Y(n_10017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341011 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
+	.C1(n_9101),
+	.Y(n_10016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341012 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
+	.C1(n_9099),
+	.Y(n_10015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341013 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
+	.C1(n_9096),
+	.Y(n_10014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341014 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
+	.C1(n_9093),
+	.Y(n_10013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341015 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
+	.C1(n_9092),
+	.Y(n_10012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341016 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
+	.C1(n_9090),
+	.Y(n_10011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341017 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
+	.C1(n_9088),
+	.Y(n_10010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341018 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
+	.C1(n_9085),
+	.Y(n_10009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341019 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
+	.C1(n_9083),
+	.Y(n_10008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341020 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
+	.C1(n_9082),
+	.Y(n_10007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341021 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
+	.C1(n_9079),
+	.Y(n_10006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341022 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
+	.C1(n_9078),
+	.Y(n_10005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341023 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.C1(n_9076),
+	.Y(n_10004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341024 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
+	.C1(n_9074),
+	.Y(n_10003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341025 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
+	.C1(n_9072),
+	.Y(n_10002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341026 (
+	.A1(n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
+	.C1(n_9069),
+	.Y(n_10001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341027 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.B1(FE_OFN1018_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
+	.C1(n_9066),
+	.Y(n_10000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341028 (
+	.A1(FE_OFN1036_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.C1(n_9065),
+	.Y(n_9999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341029 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
+	.C1(n_9063),
+	.Y(n_9998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341030 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.B1(n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
+	.C1(n_9059),
+	.Y(n_9997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341031 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
+	.C1(n_9057),
+	.Y(n_9996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341032 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
+	.C1(n_9056),
+	.Y(n_9995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341033 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
+	.C1(n_9053),
+	.Y(n_9994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341034 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
+	.C1(n_9051),
+	.Y(n_9993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341035 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
+	.C1(n_9048),
+	.Y(n_9992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341036 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
+	.C1(n_9046),
+	.Y(n_9991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341037 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
+	.C1(n_9045),
+	.Y(n_9990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341038 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
+	.C1(n_9042),
+	.Y(n_9989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341039 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
+	.C1(n_9041),
+	.Y(n_9988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341040 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
+	.C1(n_9039),
+	.Y(n_9987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341041 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
+	.C1(n_9037),
+	.Y(n_9986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341042 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
+	.C1(n_9035),
+	.Y(n_9985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341043 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
+	.C1(n_9032),
+	.Y(n_9984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341044 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
+	.C1(n_9029),
+	.Y(n_9983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341045 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
+	.C1(n_9027),
+	.Y(n_9982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341046 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
+	.C1(n_9024),
+	.Y(n_9981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341047 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
+	.C1(n_9023),
+	.Y(n_9980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g341048 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
+	.B1_N(n_9776),
+	.Y(n_9979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341049 (
+	.A1(FE_OFN1035_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
+	.B1(FE_OFN1017_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
+	.C1(n_9021),
+	.Y(n_9978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341050 (
+	.A1(FE_OFN1033_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
+	.B1(FE_OFN1016_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
+	.C1(n_9019),
+	.Y(n_9977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341051 (
+	.A1(FE_OFN1032_n_8911),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
+	.B1(FE_OFN1014_n_8844),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.C1(n_9017),
+	.Y(n_9976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341052 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
+	.C1(n_9600),
+	.Y(n_9975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341053 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
+	.C1(n_9690),
+	.Y(n_9974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341054 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
+	.C1(n_9941),
+	.Y(n_9973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341055 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
+	.C1(n_9651),
+	.Y(n_9972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341056 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
+	.C1(n_9636),
+	.Y(n_9971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341057 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
+	.C1(n_9623),
+	.Y(n_9970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341058 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
+	.C1(n_9612),
+	.Y(n_9969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g341059 (
+	.A1_N(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A2_N(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B1(n_8954),
+	.B2(n_8881),
+	.Y(n_9968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341060 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
+	.C1(n_9666),
+	.Y(n_9967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g341061 (
+	.A1(n_9331),
+	.A2(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.B1(n_9010),
+	.B2(n_8810),
+	.Y(n_9966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g341062 (
+	.A_N(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_13313),
+	.C(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.X(n_9965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g341063 (
+	.A1(n_13466),
+	.A2(n_9191),
+	.B1_N(n_13491),
+	.Y(n_9964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g341064 (
+	.A1(FE_OFN154_io_in_29),
+	.A2(n_8823),
+	.B1(n_9950),
+	.Y(n_9963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341065 (
+	.A1(u_soc_u_top_u_core_csr_mtvec[9]),
+	.A2(FE_OFN1106_n_13489),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[9]),
+	.C1(n_13534),
+	.C2(u_soc_u_top_u_core_csr_depc[9]),
+	.Y(n_9962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341066 (
+	.A1(u_soc_u_top_u_core_csr_mtvec[8]),
+	.A2(FE_OFN1106_n_13489),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[8]),
+	.C1(n_13534),
+	.C2(u_soc_u_top_u_core_csr_depc[8]),
+	.Y(n_9961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341067 (
+	.A1(u_soc_u_top_u_core_csr_mtvec[10]),
+	.A2(FE_OFN1106_n_13489),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[10]),
+	.C1(n_13534),
+	.C2(u_soc_u_top_u_core_csr_depc[10]),
+	.Y(n_9960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341068 (
+	.A1(u_soc_u_top_u_core_csr_mtvec[11]),
+	.A2(FE_OFN1106_n_13489),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[11]),
+	.C1(n_13534),
+	.C2(u_soc_u_top_u_core_csr_depc[11]),
+	.Y(n_9959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g341069 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.D(n_8989),
+	.X(n_13882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341070 (
+	.A1(u_soc_u_top_u_core_csr_depc[3]),
+	.A2(n_13534),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[3]),
+	.C1(n_13745),
+	.C2(FE_OFN1045_n_8983),
+	.Y(n_9958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341071 (
+	.A1(u_soc_u_top_u_core_csr_depc[6]),
+	.A2(n_13534),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[6]),
+	.C1(n_13748),
+	.C2(FE_OFN1045_n_8983),
+	.Y(n_9957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341072 (
+	.A1(u_soc_u_top_u_core_csr_depc[4]),
+	.A2(n_13534),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[4]),
+	.C1(n_13746),
+	.C2(FE_OFN1045_n_8983),
+	.Y(n_9956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341073 (
+	.A1(u_soc_u_top_u_core_csr_depc[2]),
+	.A2(n_13534),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[2]),
+	.C1(n_13744),
+	.C2(FE_OFN1045_n_8983),
+	.Y(n_9955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341074 (
+	.A1(u_soc_u_top_u_core_csr_depc[7]),
+	.A2(n_13534),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[7]),
+	.C1(n_13749),
+	.C2(FE_OFN1045_n_8983),
+	.Y(n_9954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341075 (
+	.A1(u_soc_u_top_u_core_csr_depc[5]),
+	.A2(n_13534),
+	.B1(n_9007),
+	.B2(u_soc_u_top_u_core_csr_mepc[5]),
+	.C1(n_13747),
+	.C2(FE_OFN1045_n_8983),
+	.Y(n_9953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g341076 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.D(n_8994),
+	.X(n_13884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g341077 (
+	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B(n_633),
+	.C(n_13482),
+	.X(n_10045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g341080 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.A2(n_9323),
+	.B1(n_8814),
+	.B2(n_8864),
+	.Y(n_10044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g341081 (
+	.A(n_9951),
+	.Y(n_9952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341082 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.C1(n_9062),
+	.Y(n_9949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341083 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
+	.Y(n_9948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341084 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
+	.Y(n_9947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341085 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
+	.Y(n_9946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341086 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
+	.Y(n_9945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341087 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
+	.Y(n_9944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341088 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
+	.Y(n_9943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341089 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
+	.Y(n_9942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341090 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
+	.X(n_9941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g341091 (
+	.A(n_9013),
+	.B(n_8882),
+	.C(n_8988),
+	.Y(n_9940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341092 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.C1(n_9317),
+	.Y(n_9939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341093 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
+	.C1(n_9316),
+	.Y(n_9938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341094 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.C1(n_9348),
+	.Y(n_9937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341095 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
+	.C1(n_9313),
+	.Y(n_9936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341096 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.C1(n_9310),
+	.Y(n_9935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341097 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
+	.C1(n_9309),
+	.Y(n_9934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341098 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.C1(n_9306),
+	.Y(n_9933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341099 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.C1(n_9304),
+	.Y(n_9932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341100 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.C1(n_9302),
+	.Y(n_9931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341101 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
+	.C1(n_9301),
+	.Y(n_9930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341102 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
+	.C1(n_9298),
+	.Y(n_9929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341103 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
+	.C1(n_9294),
+	.Y(n_9928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341104 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
+	.C1(n_9295),
+	.Y(n_9927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341105 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
+	.C1(n_9291),
+	.Y(n_9926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341106 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
+	.C1(n_9290),
+	.Y(n_9925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341107 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
+	.C1(n_9287),
+	.Y(n_9924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341108 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
+	.C1(n_9286),
+	.Y(n_9923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341109 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
+	.C1(n_9284),
+	.Y(n_9922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341110 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
+	.C1(n_9281),
+	.Y(n_9921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341111 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
+	.C1(n_9279),
+	.Y(n_9920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341112 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
+	.C1(n_9278),
+	.Y(n_9919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341113 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
+	.C1(n_9236),
+	.Y(n_9918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341114 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
+	.C1(n_9275),
+	.Y(n_9917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341115 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
+	.C1(n_9249),
+	.Y(n_9916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341116 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
+	.C1(n_9272),
+	.Y(n_9915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341117 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
+	.C1(n_9270),
+	.Y(n_9914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341118 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
+	.C1(n_9268),
+	.Y(n_9913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341119 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
+	.C1(n_9229),
+	.Y(n_9912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341120 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
+	.C1(n_9266),
+	.Y(n_9911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341121 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
+	.C1(n_9265),
+	.Y(n_9910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341122 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
+	.C1(n_9262),
+	.Y(n_9909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341123 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
+	.C1(n_9263),
+	.Y(n_9908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341124 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
+	.C1(n_9031),
+	.Y(n_9907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341125 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
+	.C1(n_9318),
+	.Y(n_9906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341126 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
+	.C1(n_9259),
+	.Y(n_9905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341127 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
+	.C1(n_9257),
+	.Y(n_9904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341128 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
+	.C1(n_9256),
+	.Y(n_9903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341129 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
+	.C1(n_9235),
+	.Y(n_9902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341130 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
+	.C1(n_9255),
+	.Y(n_9901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341131 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
+	.C1(n_9250),
+	.Y(n_9900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341132 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
+	.C1(n_9251),
+	.Y(n_9899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341133 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
+	.C1(n_9247),
+	.Y(n_9898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341134 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
+	.C1(n_9264),
+	.Y(n_9897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341135 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
+	.C1(n_9061),
+	.Y(n_9896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341136 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
+	.C1(n_9139),
+	.Y(n_9895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341137 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
+	.C1(n_9245),
+	.Y(n_9894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341138 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
+	.C1(n_9243),
+	.Y(n_9893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341139 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
+	.C1(n_9242),
+	.Y(n_9892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341140 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
+	.C1(n_9240),
+	.Y(n_9891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341141 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
+	.C1(n_9068),
+	.Y(n_9890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341142 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.C1(n_9237),
+	.Y(n_9889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341143 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
+	.C1(n_9234),
+	.Y(n_9888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341144 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
+	.C1(n_9233),
+	.Y(n_9887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341145 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
+	.C1(n_9230),
+	.Y(n_9886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341146 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
+	.C1(n_9228),
+	.Y(n_9885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341147 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
+	.C1(n_9227),
+	.Y(n_9884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341148 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
+	.C1(n_9225),
+	.Y(n_9883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341149 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
+	.C1(n_9224),
+	.Y(n_9882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341150 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
+	.C1(n_9220),
+	.Y(n_9881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341151 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
+	.C1(n_9219),
+	.Y(n_9880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341152 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
+	.C1(n_9215),
+	.Y(n_9879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341153 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
+	.C1(n_9213),
+	.Y(n_9878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341154 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
+	.C1(n_9210),
+	.Y(n_9877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341155 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
+	.C1(n_9209),
+	.Y(n_9876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341156 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
+	.C1(n_9206),
+	.Y(n_9875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341157 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
+	.C1(n_9204),
+	.Y(n_9874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341158 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
+	.C1(n_9200),
+	.Y(n_9873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341159 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
+	.C1(n_9197),
+	.Y(n_9872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341160 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
+	.C1(n_9195),
+	.Y(n_9871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341161 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
+	.C1(n_9192),
+	.Y(n_9870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341162 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.C1(n_9320),
+	.Y(n_9869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341163 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
+	.C1(n_9327),
+	.Y(n_9868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341164 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.C1(n_9330),
+	.Y(n_9867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341165 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
+	.C1(n_9334),
+	.Y(n_9866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341166 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
+	.C1(n_9246),
+	.Y(n_9865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341167 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
+	.C1(n_9335),
+	.Y(n_9864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341168 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.C1(n_9340),
+	.Y(n_9863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341169 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
+	.C1(n_9345),
+	.Y(n_9862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341170 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
+	.C1(n_9015),
+	.Y(n_9861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341171 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
+	.C1(n_9189),
+	.Y(n_9860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341172 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.C1(n_9185),
+	.Y(n_9859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341173 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.C1(n_9183),
+	.Y(n_9858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341174 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
+	.C1(n_9181),
+	.Y(n_9857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341175 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
+	.C1(n_9178),
+	.Y(n_9856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341176 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.C1(n_9175),
+	.Y(n_9855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341177 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
+	.C1(n_9173),
+	.Y(n_9854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341178 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
+	.C1(n_9171),
+	.Y(n_9853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341179 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
+	.C1(n_9167),
+	.Y(n_9852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341180 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.C1(n_9164),
+	.Y(n_9851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341181 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.C1(n_9160),
+	.Y(n_9850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341182 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
+	.C1(n_9158),
+	.Y(n_9849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341183 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.C1(n_9154),
+	.Y(n_9848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341184 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
+	.C1(n_9153),
+	.Y(n_9847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341185 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
+	.C1(n_9150),
+	.Y(n_9846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341186 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.C1(n_9147),
+	.Y(n_9845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341187 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
+	.C1(n_9145),
+	.Y(n_9844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341188 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.C1(n_9141),
+	.Y(n_9843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341189 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
+	.C1(n_9140),
+	.Y(n_9842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341190 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
+	.C1(n_9136),
+	.Y(n_9841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341191 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
+	.C1(n_9134),
+	.Y(n_9840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341192 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.C1(n_9130),
+	.Y(n_9839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341193 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
+	.C1(n_9129),
+	.Y(n_9838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g341194 (
+	.A1(n_8861),
+	.A2(n_9012),
+	.B1(n_9011),
+	.Y(n_9837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341195 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
+	.C1(n_9126),
+	.Y(n_9836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341196 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
+	.C1(n_9124),
+	.Y(n_9835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341197 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
+	.C1(n_9122),
+	.Y(n_9834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341198 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
+	.C1(n_9119),
+	.Y(n_9833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341199 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
+	.C1(n_9116),
+	.Y(n_9832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341200 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.C1(n_9112),
+	.Y(n_9831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341201 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
+	.C1(n_9109),
+	.Y(n_9830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341202 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
+	.C1(n_9107),
+	.Y(n_9829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341203 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.C1(n_9103),
+	.Y(n_9828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341204 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
+	.C1(n_9190),
+	.Y(n_9827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341205 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.C1(n_9098),
+	.Y(n_9826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341206 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
+	.C1(n_9097),
+	.Y(n_9825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341207 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
+	.C1(n_9094),
+	.Y(n_9824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341208 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.C1(n_9091),
+	.Y(n_9823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341209 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.C1(n_9089),
+	.Y(n_9822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341210 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.C1(n_9087),
+	.Y(n_9821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341211 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
+	.C1(n_9086),
+	.Y(n_9820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341212 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
+	.C1(n_9084),
+	.Y(n_9819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341213 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
+	.C1(n_9111),
+	.Y(n_9818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341214 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.C1(n_9081),
+	.Y(n_9817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341215 (
+	.A1(n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
+	.C1(n_9239),
+	.Y(n_9816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341216 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
+	.C1(n_9080),
+	.Y(n_9815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341217 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.C1(n_9077),
+	.Y(n_9814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341218 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.C1(n_9075),
+	.Y(n_9813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341219 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.C1(n_9073),
+	.Y(n_9812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341220 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.C1(n_9071),
+	.Y(n_9811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341221 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
+	.C1(n_9070),
+	.Y(n_9810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341222 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
+	.C1(n_9067),
+	.Y(n_9809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341223 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.C1(n_9064),
+	.Y(n_9808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341224 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
+	.Y(n_9807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341225 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
+	.C1(n_9060),
+	.Y(n_9806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341226 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
+	.C1(n_9058),
+	.Y(n_9805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341227 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.C1(n_9055),
+	.Y(n_9804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341228 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
+	.C1(n_9054),
+	.Y(n_9803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341229 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.C1(n_9050),
+	.Y(n_9802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341230 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
+	.C1(n_9049),
+	.Y(n_9801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341231 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.C1(n_9047),
+	.Y(n_9800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341232 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
+	.C1(n_9044),
+	.Y(n_9799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341233 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
+	.C1(n_9043),
+	.Y(n_9798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341234 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.C1(n_9040),
+	.Y(n_9797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341235 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.C1(n_9038),
+	.Y(n_9796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341236 (
+	.A1(FE_OFN1024_n_8857),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
+	.C1(n_9271),
+	.Y(n_9795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341237 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.C1(n_9036),
+	.Y(n_9794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341238 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.C1(n_9034),
+	.Y(n_9793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341239 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
+	.C1(n_9033),
+	.Y(n_9792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341240 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
+	.C1(n_9030),
+	.Y(n_9791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341241 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
+	.C1(n_9028),
+	.Y(n_9790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341242 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
+	.C1(n_9026),
+	.Y(n_9789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341243 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.C1(n_9022),
+	.Y(n_9788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g341244 (
+	.A1(FE_OFN18959_io_in_28),
+	.A2(FE_OFN154_io_in_29),
+	.B1(n_9350),
+	.Y(n_9787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341245 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.C1(n_9020),
+	.Y(n_9786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341246 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.C1(n_9018),
+	.Y(n_9785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g341247 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.C1(n_9016),
+	.Y(n_9784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g341248 (
+	.A(n_8881),
+	.B(n_8880),
+	.C(n_8988),
+	.Y(n_9783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g341249 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.C(n_8946),
+	.D(n_8953),
+	.Y(n_9782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341250 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
+	.Y(n_9781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341251 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
+	.A2(n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
+	.Y(n_9780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341252 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
+	.Y(n_9779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341253 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
+	.A2(n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
+	.Y(n_9778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341254 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
+	.A2(n_8857),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
+	.C1(FE_OFN879_n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
+	.Y(n_9777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341255 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
+	.A2(FE_OFN1024_n_8857),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
+	.C1(n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
+	.Y(n_9776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341256 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
+	.Y(n_9775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341257 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
+	.Y(n_9774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341258 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
+	.Y(n_9773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341259 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
+	.A2(FE_OFN1024_n_8857),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
+	.C1(n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
+	.Y(n_9772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341260 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
+	.A2(n_8857),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
+	.C1(FE_OFN879_n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
+	.Y(n_9771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341261 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
+	.Y(n_9770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341262 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
+	.Y(n_9769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341263 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
+	.A2(n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
+	.Y(n_9768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341264 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
+	.Y(n_9767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341265 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
+	.A2(n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
+	.Y(n_9766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341266 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
+	.Y(n_9765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341267 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
+	.Y(n_9764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341268 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
+	.Y(n_9763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341269 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
+	.Y(n_9762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341270 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
+	.A2(FE_OFN1024_n_8857),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
+	.C1(n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
+	.Y(n_9761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341271 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
+	.A2(n_8857),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
+	.C1(FE_OFN879_n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
+	.Y(n_9760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341272 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
+	.Y(n_9759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341273 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
+	.Y(n_9758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341274 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
+	.Y(n_9757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341275 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
+	.A2(n_8857),
+	.B1(FE_OFN1023_n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
+	.C1(FE_OFN879_n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
+	.Y(n_9756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341276 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
+	.Y(n_9755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341277 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
+	.Y(n_9754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341278 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
+	.A2(FE_OFN1024_n_8857),
+	.B1(n_8856),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
+	.C1(n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
+	.Y(n_9753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341279 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
+	.A2(FE_OFN880_n_831),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
+	.C1(n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
+	.Y(n_9752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g341280 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
+	.A2(FE_OFN881_n_831),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
+	.C1(FE_OFN1024_n_8857),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
+	.Y(n_9751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_4 g341281 (
+	.A_N(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.B(n_8992),
+	.C(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.D(FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q),
+	.X(n_13676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341283 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.Y(n_9749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341284 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
+	.Y(n_9748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341285 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
+	.Y(n_9747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341286 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
+	.Y(n_9746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341287 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
+	.Y(n_9745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341288 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
+	.Y(n_9744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341289 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
+	.Y(n_9743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341290 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
+	.Y(n_9742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341291 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
+	.Y(n_9741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341292 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.Y(n_9740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341293 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
+	.Y(n_9739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341294 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
+	.Y(n_9738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341295 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
+	.Y(n_9737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341296 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
+	.Y(n_9736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341297 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
+	.Y(n_9735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341298 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
+	.Y(n_9734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341299 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
+	.Y(n_9733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341300 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
+	.Y(n_9732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341301 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
+	.Y(n_9731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341302 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
+	.Y(n_9730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341303 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
+	.Y(n_9729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341304 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
+	.Y(n_9728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341305 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
+	.Y(n_9727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341306 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
+	.Y(n_9726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341307 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
+	.Y(n_9725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341308 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
+	.Y(n_9724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341309 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
+	.Y(n_9723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341310 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.Y(n_9722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341311 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
+	.Y(n_9721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341312 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
+	.Y(n_9720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341313 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
+	.Y(n_9719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341314 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
+	.Y(n_9718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341315 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.Y(n_9717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341316 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.Y(n_9716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341317 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
+	.Y(n_9715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341318 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
+	.Y(n_9714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341319 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
+	.Y(n_9713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341320 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
+	.Y(n_9712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341321 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
+	.Y(n_9711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341322 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
+	.Y(n_9710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341323 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.Y(n_9709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341324 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
+	.Y(n_9708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341325 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
+	.Y(n_9707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341326 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
+	.Y(n_9706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341327 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
+	.Y(n_9705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341328 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
+	.Y(n_9704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341329 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
+	.Y(n_9703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341330 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
+	.Y(n_9702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341331 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.Y(n_9701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341332 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
+	.Y(n_9700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341333 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
+	.Y(n_9699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341334 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
+	.Y(n_9698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341335 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
+	.Y(n_9697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341336 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
+	.Y(n_9696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341337 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
+	.Y(n_9695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341338 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
+	.Y(n_9694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341339 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
+	.Y(n_9693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341340 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.Y(n_9692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341341 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
+	.Y(n_9691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341342 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
+	.X(n_9690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341343 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
+	.Y(n_9689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341344 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
+	.Y(n_9688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341345 (
+	.A1(FE_OFN1553_n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.Y(n_9687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341346 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
+	.Y(n_9686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341347 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
+	.Y(n_9685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341348 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
+	.Y(n_9684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341349 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
+	.Y(n_9683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341350 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
+	.Y(n_9682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341351 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
+	.Y(n_9681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341352 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
+	.Y(n_9680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341353 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
+	.Y(n_9679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341354 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
+	.Y(n_9678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341355 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
+	.Y(n_9677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341356 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
+	.Y(n_9676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g341357 (
+	.A1(n_8950),
+	.A2(u_soc_u_top_u_core_pc_set),
+	.B1(n_8884),
+	.Y(n_13362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g341358 (
+	.A_N(n_13473),
+	.B(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.Y(n_13480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g341359 (
+	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.B(n_30),
+	.C(n_8986),
+	.Y(n_9951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g341360 (
+	.A(n_8883),
+	.B(n_13875),
+	.X(n_13874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g341361 (
+	.A(n_8948),
+	.B(n_9352),
+	.Y(n_13344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g341362 (
+	.A(n_8949),
+	.B(n_9354),
+	.Y(n_13321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341363 (
+	.A(n_9191),
+	.B(n_9009),
+	.Y(n_13367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341364 (
+	.A(n_13325),
+	.B(FE_OFN878_n_641),
+	.Y(n_13318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g341365 (
+	.A(n_13475),
+	.B(n_13482),
+	.X(n_13481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g341366 (
+	.A(u_soc_u_top_u_core_ready_wb),
+	.B_N(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.Y(n_13488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341367 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13405),
+	.B1(n_8863),
+	.B2(FE_COEN4304_n_13397),
+	.C1(n_9117),
+	.X(\u_soc_xbar_to_dccm[a_data] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341368 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13415),
+	.B1(n_8863),
+	.B2(FE_PSN4147_n_13407),
+	.C1(n_9168),
+	.X(\u_soc_xbar_to_dccm[a_data] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341369 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN18312_n_13393),
+	.B1(n_8863),
+	.B2(FE_OFN18484_n_13416),
+	.C1(n_9202),
+	.X(\u_soc_xbar_to_dccm[a_data] [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341370 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13404),
+	.B1(n_8863),
+	.B2(FE_PSN4777_FE_OFN1082_n_13075),
+	.C1(n_9110),
+	.X(\u_soc_xbar_to_dccm[a_data] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341371 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN1089_n_13086),
+	.B1(n_8863),
+	.B2(n_13406),
+	.C1(n_9162),
+	.X(\u_soc_xbar_to_dccm[a_data] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341372 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_PSN4341_FE_OFN18495_n_13389),
+	.B1(n_8863),
+	.B2(FE_OFN1093_n_13090),
+	.C1(n_9337),
+	.X(\u_soc_xbar_to_dccm[a_data] [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341373 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN1086_n_13082),
+	.B1(n_8863),
+	.B2(FE_PSN4129_n_13395),
+	.C1(n_9105),
+	.X(\u_soc_xbar_to_dccm[a_data] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g341374 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_9339),
+	.X(n_13317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341375 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN1083_n_13076),
+	.B1(n_8863),
+	.B2(FE_PSN4380_n_13390),
+	.C1(n_9201),
+	.X(\u_soc_xbar_to_dccm[a_data] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341376 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN1093_n_13090),
+	.B1(n_8863),
+	.B2(n_13405),
+	.C1(n_9156),
+	.X(\u_soc_xbar_to_dccm[a_data] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341377 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_COEN4329_n_13402),
+	.B1(n_8863),
+	.B2(FE_OFN19544_n_13394),
+	.C1(n_9100),
+	.X(\u_soc_xbar_to_dccm[a_data] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341378 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN18594_n),
+	.B1(n_8863),
+	.B2(n_13412),
+	.C1(n_9347),
+	.X(\u_soc_xbar_to_dccm[a_data] [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341379 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_COEN4335_n_13401),
+	.B1(n_8863),
+	.B2(FE_OFN18312_n_13393),
+	.C1(n_9095),
+	.X(\u_soc_xbar_to_dccm[a_data] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341380 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13412),
+	.B1(n_8863),
+	.B2(n_13404),
+	.C1(n_9151),
+	.X(\u_soc_xbar_to_dccm[a_data] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341381 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_PSN4129_n_13395),
+	.B1(n_8863),
+	.B2(FE_OFN19555_n_13387),
+	.C1(n_9214),
+	.X(\u_soc_xbar_to_dccm[a_data] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341382 (
+	.A(n_13315),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_13314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341383 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_COEN4275_n_13392),
+	.B1(n_8863),
+	.B2(FE_OFN18318_n_15946),
+	.C1(n_9194),
+	.X(\u_soc_xbar_to_dccm[a_data] [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341384 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13411),
+	.B1(n_8863),
+	.B2(FE_OFN1086_n_13082),
+	.C1(n_9146),
+	.X(\u_soc_xbar_to_dccm[a_data] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341385 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN19555_n_13387),
+	.B1(n_8863),
+	.B2(n_13411),
+	.C1(n_9187),
+	.X(\u_soc_xbar_to_dccm[a_data] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341386 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13400),
+	.B1(n_8863),
+	.B2(FE_COEN4275_n_13392),
+	.C1(n_9252),
+	.X(\u_soc_xbar_to_dccm[a_data] [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341387 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13410),
+	.B1(n_8863),
+	.B2(FE_COEN4329_n_13402),
+	.C1(n_9142),
+	.X(\u_soc_xbar_to_dccm[a_data] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341388 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13399),
+	.B1(n_8863),
+	.B2(FE_OFN19539_n_13391),
+	.C1(n_9248),
+	.X(\u_soc_xbar_to_dccm[a_data] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341389 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN18487_n_13386),
+	.B1(n_8863),
+	.B2(n_13410),
+	.C1(n_9182),
+	.X(\u_soc_xbar_to_dccm[a_data] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341390 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_PSN4168_n_13409),
+	.B1(n_8863),
+	.B2(FE_COEN4335_n_13401),
+	.C1(n_9137),
+	.X(\u_soc_xbar_to_dccm[a_data] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341391 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN19539_n_13391),
+	.B1(n_8863),
+	.B2(n_13415),
+	.C1(n_9326),
+	.X(\u_soc_xbar_to_dccm[a_data] [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341392 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13408),
+	.B1(n_8863),
+	.B2(n_13400),
+	.C1(n_9132),
+	.X(\u_soc_xbar_to_dccm[a_data] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341393 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN19544_n_13394),
+	.B1(n_8863),
+	.B2(FE_OFN18487_n_13386),
+	.C1(n_9207),
+	.X(\u_soc_xbar_to_dccm[a_data] [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341394 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN18484_n_13416),
+	.B1(n_8863),
+	.B2(FE_PSN4168_n_13409),
+	.C1(n_9179),
+	.X(\u_soc_xbar_to_dccm[a_data] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g341395 (
+	.A(FE_OFN18959_io_in_28),
+	.B_N(n_9350),
+	.Y(n_9950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341396 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_PSN4147_n_13407),
+	.B1(n_8863),
+	.B2(n_13399),
+	.C1(n_9127),
+	.X(\u_soc_xbar_to_dccm[a_data] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341397 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_OFN18318_n_15946),
+	.B1(n_8863),
+	.B2(n_13408),
+	.C1(n_9174),
+	.X(\u_soc_xbar_to_dccm[a_data] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341398 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_PSN4380_n_13390),
+	.B1(n_8863),
+	.B2(FE_OFN1089_n_13086),
+	.C1(n_9332),
+	.X(\u_soc_xbar_to_dccm[a_data] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341399 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_PSN4777_FE_OFN1082_n_13075),
+	.B1(n_8863),
+	.B2(FE_OFN18594_n),
+	.C1(n_9222),
+	.X(\u_soc_xbar_to_dccm[a_data] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341400 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(n_13406),
+	.B1(n_8863),
+	.B2(FE_OFN1083_n_13076),
+	.C1(n_9121),
+	.X(\u_soc_xbar_to_dccm[a_data] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_2 g341401 (
+	.A1(FE_OFN1534_n_8867),
+	.A2(FE_COEN4304_n_13397),
+	.B1(n_8863),
+	.B2(FE_PSN4341_FE_OFN18495_n_13389),
+	.C1(n_9231),
+	.X(\u_soc_xbar_to_dccm[a_data] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341402 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
+	.Y(n_9675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341403 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
+	.Y(n_9674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341404 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
+	.Y(n_9673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341405 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
+	.Y(n_9672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341406 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
+	.Y(n_9671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341407 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
+	.Y(n_9670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341408 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
+	.Y(n_9669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341409 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
+	.Y(n_9668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341410 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.Y(n_9667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341411 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
+	.X(n_9666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341412 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
+	.Y(n_9665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341413 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
+	.Y(n_9664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341414 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.Y(n_9663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341415 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
+	.Y(n_9662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341416 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
+	.Y(n_9661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341417 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
+	.Y(n_9660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341418 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
+	.Y(n_9659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341419 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
+	.Y(n_9658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341420 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
+	.Y(n_9657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341421 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
+	.Y(n_9656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341422 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
+	.Y(n_9655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341423 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.Y(n_9654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341424 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
+	.Y(n_9653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341425 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
+	.Y(n_9652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341426 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
+	.X(n_9651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341427 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
+	.Y(n_9650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341428 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
+	.Y(n_9649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341429 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
+	.Y(n_9648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341430 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
+	.Y(n_9647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341431 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
+	.Y(n_9646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341432 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
+	.Y(n_9645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341433 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
+	.Y(n_9644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341434 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
+	.Y(n_9643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341435 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.Y(n_9642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341436 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
+	.Y(n_9641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341437 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
+	.Y(n_9640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341438 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
+	.Y(n_9639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341439 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
+	.Y(n_9638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341440 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
+	.Y(n_9637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341441 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
+	.X(n_9636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341442 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
+	.Y(n_9635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341443 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
+	.Y(n_9634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341444 (
+	.A1(n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
+	.Y(n_9633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341445 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
+	.Y(n_9632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341446 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
+	.Y(n_9631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341447 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
+	.Y(n_9630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341448 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
+	.Y(n_9629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341449 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
+	.Y(n_9628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341450 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
+	.Y(n_9627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341451 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
+	.Y(n_9626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341452 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
+	.Y(n_9625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341453 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
+	.Y(n_9624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341454 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
+	.X(n_9623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341455 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
+	.Y(n_9622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341456 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
+	.Y(n_9621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341457 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
+	.Y(n_9620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341458 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
+	.Y(n_9619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341459 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
+	.Y(n_9618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341460 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
+	.Y(n_9617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341461 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
+	.Y(n_9616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341462 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
+	.Y(n_9615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341463 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
+	.Y(n_9614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341464 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.Y(n_9613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341465 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
+	.X(n_9612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341466 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
+	.Y(n_9611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341467 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
+	.Y(n_9610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341468 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
+	.Y(n_9609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341469 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.Y(n_9608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341470 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
+	.Y(n_9607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341471 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
+	.Y(n_9606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341472 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
+	.Y(n_9605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341473 (
+	.A1(FE_OFN1560_n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
+	.Y(n_9604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341474 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.Y(n_9603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341475 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
+	.Y(n_9602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341476 (
+	.A1(FE_OFN1561_n_8981),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
+	.B1(FE_OFN1559_n_8979),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
+	.Y(n_9601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341477 (
+	.A1(n_9008),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
+	.X(n_9600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341478 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
+	.Y(n_9599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341479 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
+	.Y(n_9598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341480 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.Y(n_9597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341481 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
+	.Y(n_9596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341482 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
+	.Y(n_9595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341483 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.Y(n_9594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341484 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
+	.Y(n_9593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341485 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.Y(n_9592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341486 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
+	.Y(n_9591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341487 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
+	.Y(n_9590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341488 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
+	.Y(n_9589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341489 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
+	.Y(n_9588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341490 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
+	.Y(n_9587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341491 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
+	.Y(n_9586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341492 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.Y(n_9585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341493 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
+	.Y(n_9584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341494 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
+	.Y(n_9583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341495 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.Y(n_9582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341496 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
+	.Y(n_9581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341497 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.Y(n_9580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341498 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
+	.Y(n_9579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341499 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
+	.Y(n_9578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341500 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
+	.Y(n_9577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341501 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
+	.Y(n_9576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341502 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
+	.Y(n_9575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341503 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
+	.Y(n_9574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341504 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.Y(n_9573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341505 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.Y(n_9572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341506 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
+	.Y(n_9571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341507 (
+	.A1(n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.B1(n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
+	.Y(n_9570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341508 (
+	.A1(n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
+	.B1(n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
+	.Y(n_9569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341509 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.Y(n_9568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341510 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.Y(n_9567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341511 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
+	.Y(n_9566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341512 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
+	.Y(n_9565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341513 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
+	.Y(n_9564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341514 (
+	.A1(n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
+	.B1(n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.Y(n_9563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341515 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
+	.Y(n_9562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341516 (
+	.A1(n_8968),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
+	.Y(n_9561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341517 (
+	.A1(FE_OFN1541_n_8964),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
+	.Y(n_9560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341518 (
+	.A1(FE_OFN1540_n_8963),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
+	.B1(FE_OFN1543_n_8965),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
+	.Y(n_9559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341519 (
+	.A1(FE_OFN1562_n_8982),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
+	.Y(n_9558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341520 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
+	.Y(n_9557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341521 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
+	.Y(n_9556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341522 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
+	.Y(n_9555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341523 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
+	.Y(n_9554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341524 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.Y(n_9553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341525 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
+	.Y(n_9552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341526 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
+	.Y(n_9551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341527 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
+	.Y(n_9550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341528 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
+	.Y(n_9549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341529 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
+	.Y(n_9548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341530 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
+	.Y(n_9547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341531 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.B1(n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
+	.Y(n_9546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341532 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
+	.Y(n_9545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341533 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
+	.Y(n_9544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341534 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
+	.Y(n_9543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341535 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
+	.Y(n_9542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341536 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
+	.Y(n_9541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341537 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
+	.Y(n_9540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341538 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
+	.Y(n_9539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341539 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
+	.Y(n_9538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341540 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
+	.Y(n_9537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341541 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.Y(n_9536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341542 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
+	.Y(n_9535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341543 (
+	.A1(n_8963),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
+	.B1(n_8965),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
+	.Y(n_9534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341544 (
+	.A1(FE_OFN1549_n_8968),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.Y(n_9533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341545 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
+	.Y(n_9532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341546 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
+	.Y(n_9531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341547 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
+	.Y(n_9530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341548 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
+	.Y(n_9529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341549 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
+	.Y(n_9528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341550 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
+	.Y(n_9527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341551 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
+	.Y(n_9526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341552 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
+	.Y(n_9525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341553 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.Y(n_9524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341554 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
+	.Y(n_9523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341555 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
+	.Y(n_9522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341556 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.Y(n_9521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341557 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
+	.Y(n_9520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341558 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
+	.Y(n_9519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341559 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
+	.Y(n_9518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341560 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
+	.Y(n_9517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341561 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
+	.Y(n_9516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341562 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
+	.Y(n_9515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341563 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
+	.Y(n_9514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341564 (
+	.A1(FE_OFN1550_n_8970),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
+	.B1(FE_OFN1552_n_8972),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
+	.Y(n_9513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341565 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
+	.Y(n_9512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341566 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
+	.Y(n_9511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341567 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
+	.Y(n_9510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341568 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
+	.Y(n_9509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341569 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
+	.Y(n_9508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341570 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
+	.Y(n_9507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341571 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
+	.Y(n_9506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341572 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
+	.Y(n_9505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341573 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.Y(n_9504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341574 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
+	.Y(n_9503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341575 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
+	.Y(n_9502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341576 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
+	.Y(n_9501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341577 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.Y(n_9500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341578 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
+	.Y(n_9499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341579 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
+	.Y(n_9498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341580 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
+	.Y(n_9497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341581 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
+	.Y(n_9496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341582 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
+	.Y(n_9495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341583 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
+	.Y(n_9494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341584 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
+	.Y(n_9493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341585 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
+	.Y(n_9492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341586 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.Y(n_9491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341587 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
+	.Y(n_9490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341588 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
+	.Y(n_9489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341589 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
+	.Y(n_9488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341590 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
+	.Y(n_9487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341591 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
+	.Y(n_9486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341592 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
+	.Y(n_9485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341593 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
+	.Y(n_9484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341594 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
+	.Y(n_9483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341595 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
+	.Y(n_9482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341596 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
+	.Y(n_9481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341597 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
+	.Y(n_9480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341598 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
+	.Y(n_9479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341599 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
+	.Y(n_9478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341600 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
+	.Y(n_9477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341601 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
+	.Y(n_9476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341602 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
+	.Y(n_9475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341603 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.Y(n_9474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341604 (
+	.A1(FE_OFN1557_n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
+	.Y(n_9473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341605 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
+	.Y(n_9472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341606 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
+	.Y(n_9471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341607 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
+	.Y(n_9470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341608 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.Y(n_9469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341609 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
+	.Y(n_9468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341610 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
+	.Y(n_9467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341611 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
+	.Y(n_9466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341612 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
+	.Y(n_9465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341613 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
+	.Y(n_9464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341614 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
+	.Y(n_9463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341615 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
+	.Y(n_9462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341616 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
+	.Y(n_9461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341617 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
+	.Y(n_9460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341618 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.Y(n_9459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341619 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
+	.Y(n_9458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341620 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
+	.Y(n_9457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341621 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
+	.Y(n_9456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341622 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
+	.Y(n_9455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341623 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
+	.Y(n_9454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341624 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
+	.Y(n_9453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341625 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
+	.Y(n_9452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341626 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
+	.Y(n_9451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341627 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
+	.Y(n_9450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341628 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
+	.Y(n_9449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341629 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
+	.Y(n_9448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341630 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.Y(n_9447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341631 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
+	.Y(n_9446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341632 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
+	.Y(n_9445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341633 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
+	.Y(n_9444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341634 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
+	.Y(n_9443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341635 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
+	.Y(n_9442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341636 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
+	.Y(n_9441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341637 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
+	.Y(n_9440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341638 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
+	.Y(n_9439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341639 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
+	.Y(n_9438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341640 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
+	.Y(n_9437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341641 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
+	.Y(n_9436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341642 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
+	.Y(n_9435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341643 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
+	.Y(n_9434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341644 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
+	.Y(n_9433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341645 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
+	.Y(n_9432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341646 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
+	.Y(n_9431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341647 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
+	.Y(n_9430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341648 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
+	.Y(n_9429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341649 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
+	.Y(n_9428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341650 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
+	.Y(n_9427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341651 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
+	.Y(n_9426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341652 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
+	.Y(n_9425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341653 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
+	.Y(n_9424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341654 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.Y(n_9423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341655 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
+	.Y(n_9422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341656 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
+	.Y(n_9421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341657 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
+	.Y(n_9420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341658 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.Y(n_9419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341659 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
+	.Y(n_9418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341660 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
+	.Y(n_9417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341661 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
+	.Y(n_9416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341662 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.Y(n_9415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341663 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
+	.Y(n_9414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341664 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
+	.Y(n_9413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341665 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
+	.Y(n_9412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341666 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
+	.Y(n_9411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341667 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
+	.Y(n_9410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341668 (
+	.A1(n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
+	.B1(FE_OFN1563_n_9004),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
+	.Y(n_9409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341669 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
+	.Y(n_9408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341670 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.Y(n_9407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341671 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
+	.Y(n_9406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341672 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
+	.Y(n_9405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341673 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.Y(n_9404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341674 (
+	.A1(n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
+	.B1(n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
+	.Y(n_9403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341675 (
+	.A1(FE_OFN1546_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.B1(FE_OFN1544_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
+	.Y(n_9402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341676 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
+	.Y(n_9401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341677 (
+	.A1(FE_OFN1543_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
+	.B1(FE_OFN1538_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
+	.Y(n_9400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341678 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
+	.Y(n_9399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341679 (
+	.A1(n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
+	.Y(n_9398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341680 (
+	.A1(FE_OFN1553_n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.Y(n_9397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341681 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
+	.Y(n_9396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341682 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
+	.Y(n_9395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341683 (
+	.A1(FE_OFN1553_n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.Y(n_9394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341684 (
+	.A1(n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
+	.Y(n_9393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341685 (
+	.A1(FE_OFN1553_n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.Y(n_9392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341686 (
+	.A1(FE_OFN1555_n_8975),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
+	.B1(FE_OFN1556_n_8976),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
+	.Y(n_9391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341687 (
+	.A1(n_8980),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
+	.B1(FE_OFN1558_n_8978),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
+	.Y(n_9390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341688 (
+	.A1(n_8977),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.B1(FE_OFN1554_n_8974),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
+	.Y(n_9389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341689 (
+	.A1(FE_OFN1553_n_8973),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
+	.B1(FE_OFN1551_n_8971),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.Y(n_9388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341690 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
+	.Y(n_9387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341691 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.Y(n_9386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341692 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
+	.Y(n_9385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341693 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
+	.Y(n_9384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341694 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
+	.Y(n_9383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341695 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
+	.Y(n_9382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341696 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.Y(n_9381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341697 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
+	.Y(n_9380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341698 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
+	.Y(n_9379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341699 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
+	.Y(n_9378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341700 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
+	.Y(n_9377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341701 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
+	.Y(n_9376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341702 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
+	.Y(n_9375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341703 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.Y(n_9374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341704 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
+	.Y(n_9373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341705 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
+	.Y(n_9372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341706 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.Y(n_9371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341707 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
+	.Y(n_9370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341708 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
+	.Y(n_9369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341709 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
+	.Y(n_9368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341710 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.Y(n_9367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341711 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
+	.Y(n_9366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341712 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
+	.Y(n_9365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341713 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
+	.Y(n_9364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341714 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
+	.Y(n_9363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341715 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
+	.Y(n_9362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341716 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
+	.Y(n_9361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341717 (
+	.A1(FE_OFN1547_n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.B1(n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
+	.Y(n_9360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341718 (
+	.A1(n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
+	.B1(n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
+	.Y(n_9359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341719 (
+	.A1(FE_OFN1535_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
+	.B1(FE_OFN1548_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
+	.Y(n_9358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341720 (
+	.A1(FE_OFN1536_n_8961),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
+	.B1(FE_OFN1549_n_8968),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.Y(n_9357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341721 (
+	.A1(n_8967),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.B1(FE_OFN1545_n_8966),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
+	.Y(n_9356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341722 (
+	.A1(FE_OFN1542_n_8965),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
+	.B1(FE_OFN1537_n_8962),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
+	.Y(n_9355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g341723 (
+	.A(n_9353),
+	.Y(u_soc_u_uart_u_uart_core_tx_fifo_re), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341724 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
+	.X(n_9348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341725 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13404),
+	.B1(n_8865),
+	.B2(FE_PSN4777_FE_OFN1082_n_13075),
+	.X(n_9347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341726 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.X(n_9346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341727 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
+	.X(n_9345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341728 (
+	.A(n_13752),
+	.B(FE_OFN1045_n_8983),
+	.Y(n_9344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341729 (
+	.A(n_13753),
+	.B(FE_OFN1045_n_8983),
+	.Y(n_9343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341730 (
+	.A(n_13750),
+	.B(FE_OFN1045_n_8983),
+	.Y(n_9342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341731 (
+	.A(n_13751),
+	.B(FE_OFN1045_n_8983),
+	.Y(n_9341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341732 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
+	.X(n_9340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g341733 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B_N(u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.Y(n_9339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g341734 (
+	.A_N(rx),
+	.B(u_soc_u_uart_u_uart_core_rx_en),
+	.Y(u_soc_u_uart_u_uart_core_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341735 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
+	.X(n_9338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341736 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13405),
+	.B1(n_8865),
+	.B2(FE_COEN4304_n_13397),
+	.X(n_9337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341737 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
+	.X(n_9336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341738 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
+	.X(n_9335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341739 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
+	.X(n_9334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341740 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
+	.X(n_9333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341741 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13406),
+	.B1(n_8865),
+	.B2(FE_OFN1083_n_13076),
+	.X(n_9332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g341742 (
+	.A(n_9012),
+	.B(n_9011),
+	.Y(n_9331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341743 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
+	.X(n_9330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341744 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
+	.X(n_9329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341745 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.X(n_9328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341746 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
+	.X(n_9327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341747 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_PSN4147_n_13407),
+	.B1(n_8865),
+	.B2(n_13399),
+	.X(n_9326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g341748 (
+	.A1(FE_OFN156_io_in_27),
+	.A2(FE_OFN18959_io_in_28),
+	.B1(n_8987),
+	.Y(n_9325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g341749 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.A2(n_8862),
+	.B1(n_8875),
+	.Y(n_9324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g341750 (
+	.A1(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.A2(n_8875),
+	.B1(n_8877),
+	.Y(n_9323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341753 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
+	.X(n_9320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341754 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
+	.X(n_9319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341755 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
+	.X(n_9318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341756 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
+	.X(n_9317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341757 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
+	.X(n_9316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341758 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.X(n_9315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341759 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
+	.X(n_9314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341760 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
+	.X(n_9313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341761 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.X(n_9312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341762 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
+	.X(n_9311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341763 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
+	.X(n_9310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341764 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
+	.X(n_9309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341765 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
+	.X(n_9308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341766 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
+	.X(n_9307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341767 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
+	.X(n_9306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341768 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
+	.X(n_9305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341769 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
+	.X(n_9304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341770 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
+	.X(n_9303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341771 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
+	.X(n_9302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341772 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
+	.X(n_9301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341773 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
+	.X(n_9300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341774 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN19364_u_soc_u_iccm_rdata3_1),
+	.B1(n_495),
+	.B2(FE_COEN4320_FE_OFN18983_u_soc_u_iccm_rdata4_1),
+	.Y(n_9299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341775 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
+	.X(n_9298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341776 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.X(n_9297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_4 g341777 (
+	.A1(FE_COEN4874_n_496),
+	.A2(FE_OFN617_u_soc_u_iccm_rdata1_1),
+	.B1(FE_PSN4125_n_15),
+	.B2(FE_OFN19300_u_soc_u_iccm_rdata2_1),
+	.Y(n_9296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341778 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
+	.X(n_9295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341779 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
+	.X(n_9294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341780 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
+	.X(n_9293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341781 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
+	.X(n_9292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341782 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
+	.X(n_9291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341783 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
+	.X(n_9290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341784 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
+	.X(n_9289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341785 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.X(n_9288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341786 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
+	.X(n_9287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341787 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
+	.X(n_9286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341788 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
+	.X(n_9285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341789 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
+	.X(n_9284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341790 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
+	.X(n_9283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341791 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
+	.X(n_9282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341792 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
+	.X(n_9281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341793 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN19419_u_soc_u_iccm_rdata3_16),
+	.B1(n_495),
+	.B2(FE_COEN4319_FE_OFN19442_u_soc_u_iccm_rdata4_16),
+	.Y(n_9280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341794 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
+	.X(n_9279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341795 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
+	.X(n_9278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341796 (
+	.A1(FE_COEN4874_n_496),
+	.A2(FE_COEN4321_FE_OFN601_u_soc_u_iccm_rdata1_16),
+	.B1(FE_PSN4125_n_15),
+	.B2(FE_OFN19352_u_soc_u_iccm_rdata2_16),
+	.Y(n_9277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341797 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
+	.X(n_9276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341798 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
+	.X(n_9275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341799 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
+	.X(n_9274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341800 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
+	.X(n_9273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341801 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
+	.X(n_9272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341802 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
+	.X(n_9271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341803 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
+	.X(n_9270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341804 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
+	.X(n_9269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341805 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
+	.X(n_9268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341806 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
+	.X(n_9267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341807 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
+	.X(n_9266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341808 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
+	.X(n_9265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341809 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
+	.X(n_9264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341810 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
+	.X(n_9263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341811 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
+	.X(n_9262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341812 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
+	.X(n_9261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341813 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
+	.X(n_9260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341814 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
+	.X(n_9259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341815 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
+	.X(n_9258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341816 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
+	.X(n_9257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341817 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
+	.X(n_9256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341818 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
+	.X(n_9255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341819 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
+	.X(n_9254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341820 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.X(n_9253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341821 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN18318_n_15946),
+	.B1(n_8865),
+	.B2(n_13408),
+	.X(n_9252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341822 (
+	.A1(n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
+	.X(n_9251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341823 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
+	.X(n_9250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341824 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
+	.X(n_9249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341825 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13415),
+	.B1(n_8865),
+	.B2(FE_PSN4147_n_13407),
+	.X(n_9248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341826 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
+	.X(n_9247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341827 (
+	.A1(n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
+	.X(n_9246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341828 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
+	.X(n_9245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341829 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
+	.X(n_9244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341830 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
+	.X(n_9243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341831 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
+	.X(n_9242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341832 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
+	.X(n_9241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341833 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
+	.X(n_9240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341834 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
+	.X(n_9239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341835 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
+	.X(n_9238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341836 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
+	.X(n_9237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341837 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
+	.X(n_9236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341838 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
+	.X(n_9235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341839 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
+	.X(n_9234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341840 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
+	.X(n_9233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341841 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
+	.X(n_9232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341842 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN1093_n_13090),
+	.B1(n_8865),
+	.B2(n_13405),
+	.X(n_9231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341843 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
+	.X(n_9230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341844 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
+	.X(n_9229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341845 (
+	.A1(n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
+	.X(n_9228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341846 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
+	.X(n_9227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341847 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
+	.X(n_9226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341848 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
+	.X(n_9225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341849 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
+	.X(n_9224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341850 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.X(n_9223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341851 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13412),
+	.B1(n_8865),
+	.B2(n_13404),
+	.X(n_9222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341852 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
+	.X(n_9221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341853 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
+	.X(n_9220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341854 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
+	.X(n_9219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341855 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
+	.X(n_9218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341856 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
+	.X(n_9217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341857 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
+	.X(n_9216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341858 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
+	.X(n_9215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341859 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13411),
+	.B1(n_8865),
+	.B2(FE_OFN1086_n_13082),
+	.X(n_9214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341860 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
+	.X(n_9213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341861 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.X(n_9212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341862 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
+	.X(n_9211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341863 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
+	.X(n_9210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341864 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
+	.X(n_9209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341865 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
+	.X(n_9208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341866 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13410),
+	.B1(n_8865),
+	.B2(FE_COEN4329_n_13402),
+	.X(n_9207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341867 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
+	.X(n_9206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341868 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
+	.X(n_9205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341869 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
+	.X(n_9204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341870 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.X(n_9203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341871 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_PSN4168_n_13409),
+	.B1(n_8865),
+	.B2(FE_COEN4335_n_13401),
+	.X(n_9202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341872 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN1089_n_13086),
+	.B1(n_8865),
+	.B2(n_13406),
+	.X(n_9201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341873 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
+	.X(n_9200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341874 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
+	.X(n_9199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341875 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
+	.X(n_9198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341876 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
+	.X(n_9197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341877 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
+	.X(n_9196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341878 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
+	.X(n_9195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341879 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13408),
+	.B1(n_8865),
+	.B2(n_13400),
+	.X(n_9194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341880 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
+	.B1(FE_OFN1008_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
+	.X(n_9193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341881 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
+	.X(n_9192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g341882 (
+	.A_N(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.Y(n_13313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g341883 (
+	.A(n_8958),
+	.B(u_soc_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.X(n_9354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g341884 (
+	.A_N(n_13885),
+	.B(n_13381),
+	.C(n_13452),
+	.Y(n_13875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g341885 (
+	.A_N(u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.B(n_8957),
+	.Y(n_13325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341886 (
+	.A(n_8862),
+	.B(n_9011),
+	.Y(n_13340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g341887 (
+	.A1(n_8824),
+	.A2(u_soc_u_uart_u_uart_core_tx_done),
+	.A3(\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
+	.B1(u_soc_u_uart_u_uart_core_tx_fifo_init),
+	.Y(n_9353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g341888 (
+	.A(n_8959),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85),
+	.X(n_9352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g341889 (
+	.A_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.Y(n_13320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341890 (
+	.A(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.B(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_13312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341891 (
+	.A(u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_13315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341892 (
+	.A(u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.B(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_13311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g341893 (
+	.A(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.B(n_8878),
+	.C(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.X(n_13491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g341894 (
+	.A(n_9013),
+	.B(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.Y(n_9351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g341895 (
+	.A(FE_OFN156_io_in_27),
+	.B_N(n_8987),
+	.Y(n_9350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g341896 (
+	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(n_8986),
+	.X(n_13482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g341897 (
+	.A(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.B(n_8986),
+	.X(n_13473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g341898 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_9014),
+	.Y(n_9349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g341899 (
+	.A_N(n_8992),
+	.B(FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q),
+	.Y(u_soc_u_top_u_core_ready_wb), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341900 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
+	.X(n_9190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341901 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
+	.X(n_9189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341902 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.X(n_9188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341903 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN1086_n_13082),
+	.B1(n_8865),
+	.B2(FE_PSN4129_n_13395),
+	.X(n_9187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341904 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
+	.X(n_9186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341905 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
+	.X(n_9185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341906 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
+	.X(n_9184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341907 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
+	.X(n_9183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341908 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_COEN4329_n_13402),
+	.B1(n_8865),
+	.B2(FE_OFN19544_n_13394),
+	.X(n_9182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341909 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
+	.X(n_9181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341910 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
+	.X(n_9180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341911 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_COEN4335_n_13401),
+	.B1(n_8865),
+	.B2(FE_OFN18312_n_13393),
+	.X(n_9179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341912 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
+	.X(n_9178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341913 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.X(n_9177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341914 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
+	.X(n_9176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341915 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
+	.X(n_9175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341916 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13400),
+	.B1(n_8865),
+	.B2(FE_COEN4275_n_13392),
+	.X(n_9174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341917 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
+	.X(n_9173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341918 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
+	.X(n_9172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341919 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
+	.X(n_9171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341920 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
+	.X(n_9170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341921 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
+	.X(n_9169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341922 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(n_13399),
+	.B1(n_8865),
+	.B2(FE_OFN19539_n_13391),
+	.X(n_9168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341923 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
+	.X(n_9167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341924 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
+	.X(n_9166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341925 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
+	.X(n_9165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341926 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
+	.X(n_9164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341927 (
+	.A1(FE_COEN4874_n_496),
+	.A2(FE_OFN600_u_soc_u_iccm_rdata1_17),
+	.B1(FE_PSN4125_n_15),
+	.B2(FE_OFN19308_u_soc_u_iccm_rdata2_17),
+	.Y(n_9163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341928 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN1083_n_13076),
+	.B1(n_8865),
+	.B2(FE_PSN4380_n_13390),
+	.X(n_9162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341929 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
+	.X(n_9161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341930 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
+	.X(n_9160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g341931 (
+	.A1(FE_COEN4874_n_496),
+	.A2(FE_COEN4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0),
+	.B1(FE_PSN4125_n_15),
+	.B2(FE_OFN19345_u_soc_u_iccm_rdata2_0),
+	.Y(n_9159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341932 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
+	.X(n_9158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341933 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
+	.X(n_9157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341934 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_COEN4304_n_13397),
+	.B1(n_8865),
+	.B2(FE_PSN4341_FE_OFN18495_n_13389),
+	.X(n_9156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341935 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
+	.X(n_9155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341936 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
+	.X(n_9154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341937 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
+	.X(n_9153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341938 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.X(n_9152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341939 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_PSN4777_FE_OFN1082_n_13075),
+	.B1(n_8865),
+	.B2(FE_OFN18594_n),
+	.X(n_9151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341940 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
+	.X(n_9150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341941 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.X(n_9149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341942 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
+	.X(n_9148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341943 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
+	.X(n_9147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341944 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_PSN4129_n_13395),
+	.B1(n_8865),
+	.B2(FE_OFN19555_n_13387),
+	.X(n_9146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341945 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
+	.X(n_9145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341946 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
+	.X(n_9144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341947 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
+	.X(n_9143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341948 (
+	.A1(n_8862),
+	.A2(FE_OFN19544_n_13394),
+	.B1(n_8865),
+	.B2(FE_OFN18487_n_13386),
+	.X(n_9142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341949 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
+	.X(n_9141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341950 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
+	.X(n_9140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341951 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
+	.X(n_9139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341952 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
+	.X(n_9138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341953 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN18312_n_13393),
+	.B1(n_8865),
+	.B2(FE_OFN18484_n_13416),
+	.X(n_9137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341954 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
+	.X(n_9136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341955 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
+	.X(n_9135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341956 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
+	.X(n_9134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341957 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
+	.X(n_9133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341958 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_COEN4275_n_13392),
+	.B1(n_8865),
+	.B2(FE_OFN18318_n_15946),
+	.X(n_9132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341959 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
+	.X(n_9131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341960 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
+	.X(n_9130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341961 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
+	.X(n_9129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341962 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
+	.X(n_9128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341963 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN19539_n_13391),
+	.B1(n_8865),
+	.B2(n_13415),
+	.X(n_9127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341964 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
+	.X(n_9126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341965 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
+	.X(n_9125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341966 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
+	.X(n_9124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341967 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.X(n_9123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341968 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
+	.X(n_9122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341969 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_PSN4380_n_13390),
+	.B1(n_8865),
+	.B2(FE_OFN1089_n_13086),
+	.X(n_9121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341970 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.X(n_9120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341971 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
+	.X(n_9119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341972 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.X(n_9118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341973 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_PSN4341_FE_OFN18495_n_13389),
+	.B1(n_8865),
+	.B2(FE_OFN1093_n_13090),
+	.X(n_9117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341974 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
+	.X(n_9116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341975 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.X(n_9115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341976 (
+	.A1(FE_OFN1043_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
+	.B1(FE_OFN1013_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
+	.X(n_9114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_2 g341977 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN19326_u_soc_u_iccm_rdata3_0),
+	.B1(n_495),
+	.B2(FE_OFN700_u_soc_u_iccm_rdata4_0),
+	.Y(n_9113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341978 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
+	.X(n_9112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341979 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
+	.X(n_9111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341980 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN18594_n),
+	.B1(n_8865),
+	.B2(n_13412),
+	.X(n_9110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341981 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
+	.X(n_9109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341982 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
+	.X(n_9108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341983 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
+	.X(n_9107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341984 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
+	.X(n_9106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341985 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN19555_n_13387),
+	.B1(n_8865),
+	.B2(n_13411),
+	.X(n_9105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341986 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
+	.X(n_9104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341987 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
+	.X(n_9103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341988 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
+	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
+	.X(n_9102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341989 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.X(n_9101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341990 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN18487_n_13386),
+	.B1(n_8865),
+	.B2(n_13410),
+	.X(n_9100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341991 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
+	.X(n_9099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341992 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
+	.X(n_9098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341993 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
+	.X(n_9097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341994 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.X(n_9096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341995 (
+	.A1(FE_OFN1533_n_8862),
+	.A2(FE_OFN18484_n_13416),
+	.B1(n_8865),
+	.B2(FE_PSN4168_n_13409),
+	.X(n_9095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341996 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
+	.X(n_9094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341997 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.X(n_9093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341998 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
+	.X(n_9092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g341999 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
+	.X(n_9091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342000 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
+	.X(n_9090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342001 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
+	.X(n_9089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342002 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
+	.X(n_9088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342003 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
+	.X(n_9087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342004 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
+	.X(n_9086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342005 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.X(n_9085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342006 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
+	.X(n_9084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342007 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.X(n_9083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342008 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
+	.X(n_9082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342009 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
+	.X(n_9081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342010 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
+	.X(n_9080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342011 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
+	.X(n_9079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342012 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
+	.X(n_9078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342013 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
+	.X(n_9077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342014 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
+	.X(n_9076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342015 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
+	.X(n_9075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342016 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
+	.X(n_9074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342017 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
+	.X(n_9073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342018 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
+	.X(n_9072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342019 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
+	.X(n_9071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342020 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
+	.X(n_9070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342021 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
+	.X(n_9069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342022 (
+	.A1(FE_OFN881_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
+	.B1(n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
+	.X(n_9068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342023 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
+	.X(n_9067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342024 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
+	.X(n_9066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342025 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
+	.X(n_9065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342026 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
+	.X(n_9064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342027 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
+	.X(n_9063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342028 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
+	.X(n_9062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342029 (
+	.A1(FE_OFN1039_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
+	.B1(FE_OFN1012_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
+	.X(n_9061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342030 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
+	.X(n_9060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342031 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
+	.X(n_9059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342032 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
+	.X(n_9058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342033 (
+	.A1(FE_OFN1018_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
+	.B1(FE_OFN1036_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.X(n_9057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342034 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
+	.X(n_9056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342035 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
+	.X(n_9055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342036 (
+	.A1(FE_OFN1041_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.B1(FE_OFN1010_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
+	.X(n_9054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342037 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.X(n_9053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342038 (
+	.A1(FE_OFN1015_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
+	.B1(FE_OFN1034_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.X(n_9052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342039 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
+	.X(n_9051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342040 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
+	.X(n_9050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342041 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
+	.X(n_9049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342042 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
+	.X(n_9048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342043 (
+	.A1(FE_OFN1037_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
+	.X(n_9047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342044 (
+	.A1(n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
+	.B1(n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
+	.X(n_9046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342045 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
+	.X(n_9045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342046 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
+	.X(n_9044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342047 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
+	.X(n_9043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342048 (
+	.A1(n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.B1(n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
+	.X(n_9042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342049 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
+	.X(n_9041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342050 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
+	.X(n_9040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342051 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
+	.X(n_9039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342052 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
+	.X(n_9038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342053 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
+	.X(n_9037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342054 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
+	.X(n_9036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342055 (
+	.A1(FE_OFN1014_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.B1(FE_OFN1032_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
+	.X(n_9035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342056 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
+	.X(n_9034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342057 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
+	.X(n_9033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342058 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.X(n_9032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342059 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
+	.X(n_9031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342060 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
+	.X(n_9030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342061 (
+	.A1(FE_OFN1016_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
+	.B1(FE_OFN1033_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.X(n_9029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342062 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
+	.X(n_9028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342063 (
+	.A1(FE_OFN1017_n_8844),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
+	.B1(FE_OFN1035_n_8911),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.X(n_9027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342064 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
+	.X(n_9026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g342065 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN19365_u_soc_u_iccm_rdata3_17),
+	.B1(n_495),
+	.B2(FE_COEN4323_FE_OFN19381_u_soc_u_iccm_rdata4_17),
+	.Y(n_9025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342066 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
+	.X(n_9024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342067 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
+	.X(n_9023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342068 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
+	.X(n_9022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342069 (
+	.A1(FE_OFN1038_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
+	.B1(FE_OFN1007_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
+	.X(n_9021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342070 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
+	.X(n_9020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342071 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
+	.X(n_9019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342072 (
+	.A1(FE_OFN1042_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
+	.B1(FE_OFN1011_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
+	.X(n_9018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342073 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
+	.X(n_9017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342074 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
+	.X(n_9016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342075 (
+	.A1(FE_OFN1040_n_8921),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.B1(FE_OFN1009_n_8835),
+	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
+	.X(n_9015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342076 (
+	.A1(n_8861),
+	.A2(n_8877),
+	.B1(n_8863),
+	.B2(n_8875),
+	.X(n_9191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342077 (
+	.A(u_soc_iccm_adapter_instr_weD),
+	.Y(u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342079 (
+	.A(n_9009),
+	.Y(n_13466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342080 (
+	.A(n_9008),
+	.Y(n_13326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342081 (
+	.A(n_13471),
+	.Y(n_9007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342083 (
+	.A(FE_OFN1048_n_9002),
+	.Y(n_9001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342117 (
+	.A(FE_OFN1534_n_8867),
+	.B(u_soc_u_top_u_core_lsu_type[1]),
+	.Y(n_8998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342118 (
+	.A(n_8862),
+	.B(u_soc_u_top_u_core_lsu_type[1]),
+	.Y(n_8997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342119 (
+	.A(n_13335),
+	.B_N(n_13877),
+	.Y(n_8996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342120 (
+	.A(n_8883),
+	.B_N(n_13452),
+	.Y(n_13486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342121 (
+	.A(n_13465),
+	.B_N(n_13877),
+	.Y(n_9014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342122 (
+	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B(n_13476),
+	.Y(n_9013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342123 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.B_N(n_8875),
+	.Y(n_9012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342124 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.B_N(n_8877),
+	.Y(n_9011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342125 (
+	.A(n_8877),
+	.B(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_9010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342126 (
+	.A(n_13335),
+	.B(n_13877),
+	.Y(n_9009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342127 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
+	.B(n_13329),
+	.Y(n_9008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342128 (
+	.A(n_8945),
+	.B(u_soc_u_top_u_core_pc_mux_id[0]),
+	.Y(n_13471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342129 (
+	.A(n_13472),
+	.B_N(u_soc_u_top_u_core_pc_mux_id[2]),
+	.Y(n_13534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342130 (
+	.A(n_8874),
+	.B(n_13328),
+	.Y(n_9006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342131 (
+	.A(n_8874),
+	.B(n_13329),
+	.Y(n_9005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342132 (
+	.A(n_13449),
+	.B(n_8874),
+	.Y(n_9004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342133 (
+	.A(n_8940),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.Y(n_9003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342134 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B_N(n_8941),
+	.Y(n_9002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342135 (
+	.A(n_8941),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.Y(n_9000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342136 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(n_8939),
+	.Y(n_8999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342139 (
+	.A(n_8985),
+	.Y(n_8984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342140 (
+	.A(FE_OFN1045_n_8983),
+	.Y(n_13470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g342142 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.C(u_soc_u_top_u_core_ctrl_busy),
+	.X(n_8960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g342143 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_8959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g342144 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_8958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g342145 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_8957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g342146 (
+	.A1(u_soc_u_top_u_core_priv_mode_id[0]),
+	.A2(u_soc_u_top_u_core_priv_mode_id[1]),
+	.B1(n_8879),
+	.Y(n_8956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342148 (
+	.A(n_13484),
+	.B(n_8830),
+	.Y(n_8954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g342149 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
+	.C(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
+	.D(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
+	.X(n_8953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g342150 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
+	.C(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
+	.D(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
+	.Y(n_8952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g342151 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
+	.C(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
+	.D(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.Y(n_8951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g342152 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.Y(n_8950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g342153 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_8949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g342154 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_8948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g342155 (
+	.A(u_soc_u_uart_u_uart_core_tx_en),
+	.B(\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
+	.C(io_in[32]),
+	.X(u_soc_u_uart_u_uart_core_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_4 g342156 (
+	.A1_N(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.A2_N(FE_OFN18361_n),
+	.B1(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.B2(FE_OFN18361_n),
+	.Y(n_8947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g342157 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
+	.C(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
+	.X(n_8946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g342158 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_8994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342159 (
+	.A1(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.A2(n_8822),
+	.B1(n_13465),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.X(n_8993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g342160 (
+	.A0(io_in[36]),
+	.A1(FE_OFN162_io_in_5),
+	.S(io_in[35]),
+	.X(rx), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g342161 (
+	.A1(FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0),
+	.A2(n_8816),
+	.B1(n_13365),
+	.Y(n_8992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 g342162 (
+	.A_N(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26),
+	.B_N(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.C(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+	.D(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.Y(n_8991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g342163 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_8989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342164 (
+	.A(n_8879),
+	.B(n_8827),
+	.Y(n_8988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g342165 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g342166 (
+	.A(FE_OFN158_io_in_25),
+	.B(FE_OFN157_io_in_26),
+	.C(FE_OFN160_io_in_24),
+	.Y(n_8987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g342167 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g342168 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g342169 (
+	.A(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.B(n_8879),
+	.C_N(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.X(n_8986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g342170 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g342171 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g342172 (
+	.A_N(FE_OFN158_io_in_25),
+	.B(n_8815),
+	.C(FE_OFN157_io_in_26),
+	.Y(n_8985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g342173 (
+	.A(u_soc_u_top_u_core_exc_pc_mux_id[1]),
+	.B(u_soc_u_top_u_core_pc_mux_id[0]),
+	.C_N(n_8945),
+	.Y(n_13489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g342174 (
+	.A(u_soc_u_top_u_core_pc_mux_id[2]),
+	.B(u_soc_u_top_u_core_pc_mux_id[1]),
+	.C_N(u_soc_u_top_u_core_pc_mux_id[0]),
+	.Y(n_8983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342175 (
+	.A(n_8874),
+	.B(n_13327),
+	.Y(n_8982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342176 (
+	.A(n_8876),
+	.B(n_13327),
+	.Y(n_8981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342177 (
+	.A(n_8876),
+	.B(n_13329),
+	.Y(n_8980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342178 (
+	.A(n_8876),
+	.B(n_13449),
+	.Y(n_8979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342179 (
+	.A(n_8876),
+	.B(n_13328),
+	.Y(n_8978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342180 (
+	.A(FE_OFN1019_n_8853),
+	.B(n_8847),
+	.Y(n_8977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342181 (
+	.A(FE_OFN1020_n_8853),
+	.B(n_8838),
+	.Y(n_8976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342182 (
+	.A(FE_OFN1020_n_8853),
+	.B(n_8924),
+	.Y(n_8975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342183 (
+	.A(FE_OFN1020_n_8853),
+	.B(n_8914),
+	.Y(n_8974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342184 (
+	.A(FE_OFN1018_n_8844),
+	.B(n_8854),
+	.X(n_8973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342185 (
+	.A(FE_OFN1008_n_8835),
+	.B(n_8854),
+	.X(n_8972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342186 (
+	.A(FE_OFN1022_n_8855),
+	.B(n_8914),
+	.Y(n_8971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342187 (
+	.A(FE_OFN1043_n_8921),
+	.B(n_8854),
+	.X(n_8970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342188 (
+	.A(FE_OFN1015_n_8844),
+	.B(n_8931),
+	.X(n_8968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342189 (
+	.A(FE_OFN1043_n_8921),
+	.B(n_8931),
+	.X(n_8967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342190 (
+	.A(FE_OFN1034_n_8911),
+	.B(n_8931),
+	.X(n_8966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342191 (
+	.A(FE_OFN1014_n_8844),
+	.B(n_8859),
+	.X(n_8965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342192 (
+	.A(n_8921),
+	.B(n_8859),
+	.X(n_8964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342193 (
+	.A(n_8835),
+	.B(n_8859),
+	.X(n_8963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342194 (
+	.A(FE_OFN1032_n_8911),
+	.B(n_8859),
+	.X(n_8962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342195 (
+	.A(FE_OFN1008_n_8835),
+	.B(n_8931),
+	.X(n_8961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342196 (
+	.A(n_8943),
+	.Y(n_8944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342197 (
+	.A(n_8939),
+	.Y(n_8940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g342200 (
+	.A(n_8936),
+	.Y(n_8935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342201 (
+	.A(n_8934),
+	.Y(n_13364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342202 (
+	.A(FE_OFN1044_n_8932),
+	.Y(n_8931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342203 (
+	.A(n_831),
+	.Y(n_13329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342211 (
+	.A(FE_OFN1043_n_8921),
+	.Y(n_8924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342220 (
+	.A(FE_OFN1036_n_8911),
+	.Y(n_8914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342222 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[9]),
+	.Y(n_8910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342223 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[2]),
+	.Y(n_8909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342224 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[25]),
+	.Y(n_8908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342225 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[23]),
+	.Y(n_8907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342226 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[0]),
+	.Y(n_8906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342227 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[6]),
+	.Y(n_8905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342228 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[8]),
+	.Y(n_8904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342229 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[12]),
+	.Y(n_8903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342230 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[16]),
+	.Y(n_8902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342231 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[18]),
+	.Y(n_8901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342232 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[20]),
+	.Y(n_8900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342233 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[7]),
+	.Y(n_8899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342234 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[24]),
+	.Y(n_8898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342235 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[27]),
+	.Y(n_8897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342236 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[29]),
+	.Y(n_8896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342237 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[31]),
+	.Y(n_8895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342238 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[4]),
+	.Y(n_8894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342239 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[30]),
+	.Y(n_8893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342240 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[28]),
+	.Y(n_8892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342241 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[19]),
+	.Y(n_8891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342242 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[22]),
+	.Y(n_8890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342243 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[1]),
+	.Y(n_8889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342244 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[3]),
+	.Y(n_8888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342245 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[21]),
+	.Y(n_8887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342246 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[5]),
+	.Y(n_8886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342247 (
+	.A(FE_OFN157_io_in_26),
+	.B(FE_OFN156_io_in_27),
+	.Y(n_8885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342248 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
+	.B_N(u_soc_u_top_u_core_instr_req_int),
+	.Y(n_8884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342249 (
+	.A(u_soc_u_top_u_core_pc_mux_id[2]),
+	.B_N(u_soc_u_top_u_core_pc_mux_id[1]),
+	.Y(n_8945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342250 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_we),
+	.Y(n_8943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342251 (
+	.A(n_8815),
+	.B(FE_OFN158_io_in_25),
+	.Y(n_8942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342252 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.Y(n_8941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342253 (
+	.A(u_soc_iccm_adapter_rvalid),
+	.B(FE_OFN878_n_641),
+	.Y(n_13458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g342254 (
+	.A_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.Y(n_8939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g342255 (
+	.A(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4395_n_15943),
+	.X(n_13484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g342256 (
+	.A_N(n_13885),
+	.B(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_13877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g342257 (
+	.A(u_soc_u_iccm_bank_sel[1]),
+	.B_N(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
+	.Y(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g342258 (
+	.A(u_soc_u_iccm_bank_sel[1]),
+	.B(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
+	.Y(n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g342259 (
+	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.Y(n_8936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g342260 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(FE_PSN4115_u_soc_u_top_u_core_pc_set),
+	.Y(n_8934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g342261 (
+	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.Y(n_8933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g342262 (
+	.A_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Y(n_8932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g342263 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.Y(n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g342264 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.Y(n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g342265 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.X(n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342266 (
+	.A(n_8880),
+	.Y(n_13475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342267 (
+	.A(n_8878),
+	.Y(n_13461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g342268 (
+	.A(FE_PSN4140_n_14),
+	.Y(n_13359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342272 (
+	.A(n_8864),
+	.Y(n_8863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342274 (
+	.A(n_8860),
+	.Y(n_8859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342275 (
+	.A(n_690),
+	.Y(n_13328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342276 (
+	.A(n_8857),
+	.Y(n_13327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342277 (
+	.A(FE_OFN1023_n_8856),
+	.Y(n_13449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342294 (
+	.A(FE_OFN1008_n_8835),
+	.Y(n_8838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342295 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[11]),
+	.Y(n_8834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342296 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[26]),
+	.Y(n_8833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342298 (
+	.A(FE_OFN19533_n_577),
+	.B(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.Y(n_8832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342299 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[10]),
+	.Y(n_8831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342300 (
+	.A(FE_OFN18488_n_15943),
+	.B(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_8830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342301 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[17]),
+	.Y(n_8829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g342302 (
+	.A(u_soc_u_top_u_core_core_clock_gate_i_en_latch),
+	.B(CTS_96),
+	.X(u_soc_u_top_u_core_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342303 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[13]),
+	.Y(n_8828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342304 (
+	.A(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.B(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.Y(n_8827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342305 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[15]),
+	.Y(n_8826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342306 (
+	.A(u_soc_prog_rst_ni),
+	.B_N(u_soc_iccm_ctrl_data[14]),
+	.Y(n_8825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342307 (
+	.A(n_633),
+	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.Y(n_13476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342308 (
+	.A(n_13366),
+	.B_N(n_13879),
+	.Y(n_8883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g342309 (
+	.A(u_soc_u_top_u_core_pc_mux_id[1]),
+	.B(u_soc_u_top_u_core_pc_mux_id[0]),
+	.X(n_13472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342310 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(n_13464),
+	.Y(n_13383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342311 (
+	.A(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.B(FE_OFN18488_n_15943),
+	.Y(n_8882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g342312 (
+	.A(u_soc_u_top_u_core_id_stage_i_mult_en_dec),
+	.B(u_soc_u_top_u_core_id_stage_i_div_en_dec),
+	.X(n_13381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342313 (
+	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.Y(n_8881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342314 (
+	.A(FE_OFN19533_n_577),
+	.B(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.Y(n_8880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342315 (
+	.A(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.B(u_soc_u_top_u_core_csr_access),
+	.Y(n_8879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342316 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_8878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342317 (
+	.A(u_soc_u_top_u_core_lsu_type[0]),
+	.B(u_soc_u_top_u_core_lsu_type[1]),
+	.Y(n_8877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g342318 (
+	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.Y(n_8876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342319 (
+	.A(u_soc_u_top_u_core_lsu_type[1]),
+	.B_N(u_soc_u_top_u_core_lsu_type[0]),
+	.Y(n_8875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342320 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.Y(n_8874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g342321 (
+	.A(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
+	.B_N(u_soc_u_iccm_bank_sel[1]),
+	.Y(n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342322 (
+	.A(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
+	.B(u_soc_u_iccm_bank_sel[1]),
+	.Y(n_13358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g342323 (
+	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.Y(n_8871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342324 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.Y(n_13346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342325 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.Y(n_8870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g342326 (
+	.A(u_soc_u_top_u_core_pc_set),
+	.B(n_8819),
+	.Y(n_13363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g342327 (
+	.A(FE_OFN18279_n_16012),
+	.B_N(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.Y(n_8867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g342328 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.X(n_8866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g342329 (
+	.A(n_8810),
+	.B(FE_OFN18279_n_16012),
+	.X(n_8865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342330 (
+	.A(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.B(FE_OFN18279_n_16012),
+	.Y(n_8864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g342331 (
+	.A(FE_OFN18279_n_16012),
+	.B(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.Y(n_8862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342332 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Y(n_8860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g342333 (
+	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.X(n_690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g342334 (
+	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.X(n_8857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g342335 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.X(n_8856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g342336 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.X(n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g342337 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.X(n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g342338 (
+	.A_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.X(n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g342339 (
+	.A_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.X(n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342341 (
+	.A(io_in[30]),
+	.Y(n_8823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342346 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.Y(n_8818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342347 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_8817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342349 (
+	.A(FE_OFN160_io_in_24),
+	.Y(n_8815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342350 (
+	.A(u_soc_u_top_u_core_lsu_type[1]),
+	.Y(n_8814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g342352 (
+	.A(FE_OFN1142_u_soc_u_top_data_we),
+	.Y(n_640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342354 (
+	.A(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.Y(n_8810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342355 (
+	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.Y(n_633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342356 (
+	.A(wb_rst_i),
+	.Y(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g342357 (
+	.A(u_soc_prog_rst_ni),
+	.Y(n_8808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g276906 (
+	.A1(n_13555),
+	.A2(FE_OFN18534_n),
+	.B1(n_8804),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[7]),
+	.C1(n_8805),
+	.X(n_13546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g276907 (
+	.A(n_8807),
+	.Y(n_13535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g276908 (
+	.A1(u_soc_u_top_u_core_instr_rdata_id[8]),
+	.A2(n_8804),
+	.B1(n_8802),
+	.B2(FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21),
+	.C1(n_13556),
+	.C2(u_soc_u_top_u_core_instr_is_compressed_id),
+	.Y(n_8807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g276909 (
+	.A1(u_soc_u_top_u_core_instr_is_compressed_id),
+	.A2(n_8803),
+	.B1(n_8806),
+	.Y(n_13536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276910 (
+	.A1(n_8804),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[11]),
+	.B1(n_8802),
+	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.X(n_13539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276911 (
+	.A1(n_8804),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[10]),
+	.B1(n_8802),
+	.B2(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23),
+	.X(n_13538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g276912 (
+	.A1(n_8804),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[9]),
+	.B1(n_8802),
+	.B2(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22),
+	.Y(n_8806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276913 (
+	.A(n_13556),
+	.B_N(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.Y(n_13545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276914 (
+	.A(n_13556),
+	.B_N(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+	.Y(n_13544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276915 (
+	.A(n_13556),
+	.B_N(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.Y(n_13543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276916 (
+	.A(n_13556),
+	.B_N(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26),
+	.Y(n_13541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276917 (
+	.A(n_13556),
+	.B_N(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.Y(n_13542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g276918 (
+	.A(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.B(n_13353),
+	.Y(n_8805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276919 (
+	.A(n_13556),
+	.B_N(FE_OFN18414_n),
+	.Y(n_13540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g276920 (
+	.A(n_8803),
+	.Y(n_13556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276922 (
+	.A1(n_13426),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[9]),
+	.X(n_13783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276923 (
+	.A1(FE_PSN4789_FE_OFN18315_n_13425),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[8]),
+	.X(n_13782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276924 (
+	.A1(n_13427),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[10]),
+	.X(n_13784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g276925 (
+	.A(n_8802),
+	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.X(n_13555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g276926 (
+	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.C_N(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.X(n_13353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g276927 (
+	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.C_N(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.Y(n_8804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g276928 (
+	.A1(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.A2(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.B1(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.Y(n_8803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276929 (
+	.A1(FE_PSN4436_FE_OFN18492_n_13420),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[3]),
+	.X(n_13777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276930 (
+	.A1(n_13423),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[6]),
+	.X(n_13780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276931 (
+	.A1(FE_PSN4396_FE_OFN1585_n_13422),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[5]),
+	.X(n_13779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276932 (
+	.A1(FE_OFN18294_n_13073),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[4]),
+	.X(n_13778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276933 (
+	.A1(FE_PSN4350_FE_OFN1586_n_13424),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[7]),
+	.X(n_13781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276934 (
+	.A1(FE_COEN4283_FE_OFN18238_n_13069),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(u_soc_u_top_u_core_pc_id[2]),
+	.B2(n_8791),
+	.X(n_13776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276935 (
+	.A1(n_13418),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(u_soc_u_top_u_core_pc_id[1]),
+	.B2(n_8791),
+	.X(n_13775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276936 (
+	.A1(FE_PSN4646_FE_OFN1587_n_13428),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
+	.B2(u_soc_u_top_u_core_pc_id[11]),
+	.X(n_13785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g276937 (
+	.A(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.Y(n_8802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342358 (
+	.A1(n_13438),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[21]),
+	.X(n_13795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342359 (
+	.A(n_8797),
+	.B(n_13353),
+	.Y(n_13553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342360 (
+	.A(n_8799),
+	.B(n_13353),
+	.Y(n_13550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342361 (
+	.A(n_8794),
+	.B(n_13353),
+	.Y(n_13549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342362 (
+	.A(n_8793),
+	.B(n_13353),
+	.Y(n_13552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342363 (
+	.A(n_8796),
+	.B(n_13353),
+	.Y(n_13548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342364 (
+	.A(n_8792),
+	.B(n_13353),
+	.Y(n_13547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342365 (
+	.A(n_8798),
+	.B(n_13353),
+	.Y(n_13551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342366 (
+	.A1(n_13441),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[24]),
+	.X(n_13798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342367 (
+	.A1(FE_OFN1094_n_13091),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[23]),
+	.X(n_13797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342368 (
+	.A1(n_13447),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[30]),
+	.X(n_13804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342369 (
+	.A1(n_13439),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[22]),
+	.X(n_13796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342370 (
+	.A1(n_13446),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[29]),
+	.X(n_13803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g342371 (
+	.A(n_8795),
+	.B(n_13353),
+	.Y(n_13554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342372 (
+	.A1(FE_PSN4363_n_13429),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(u_soc_u_top_u_core_pc_id[12]),
+	.B2(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.X(n_13786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342373 (
+	.A1(n_13445),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[28]),
+	.X(n_13802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342374 (
+	.A1(FE_COEN4573_n_13436),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[19]),
+	.X(n_13793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342375 (
+	.A1(n_13444),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[27]),
+	.X(n_13801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342376 (
+	.A1(n_13435),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[18]),
+	.X(n_13792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342377 (
+	.A1(n_13434),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[17]),
+	.X(n_13791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g342378 (
+	.A1(FE_COEN4287_n_13433),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[16]),
+	.X(n_13790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276941 (
+	.A1(FE_COEN4330_n_13432),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[15]),
+	.X(n_13789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276942 (
+	.A1(n_13443),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[26]),
+	.X(n_13800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276943 (
+	.A1(FE_COEN4310_n_13431),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(u_soc_u_top_u_core_pc_id[14]),
+	.B2(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.X(n_13788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276944 (
+	.A1(n_13448),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[31]),
+	.X(n_13805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276945 (
+	.A1(n_13442),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[25]),
+	.X(n_13799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276946 (
+	.A1(n_13430),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(u_soc_u_top_u_core_pc_id[13]),
+	.B2(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.X(n_13787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g276947 (
+	.A1(FE_COEN4285_n_13437),
+	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(u_soc_u_top_u_core_pc_id[20]),
+	.X(n_13794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276948 (
+	.A(n_13555),
+	.B(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15),
+	.Y(n_8799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g276949 (
+	.A(n_13556),
+	.B_N(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.Y(n_13557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276950 (
+	.A(n_13555),
+	.B(FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16),
+	.Y(n_8798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276951 (
+	.A(n_13555),
+	.B(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18),
+	.Y(n_8797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276952 (
+	.A(n_13555),
+	.B(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13),
+	.Y(n_8796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276953 (
+	.A(n_13555),
+	.B(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.Y(n_8795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276954 (
+	.A(n_13555),
+	.B(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
+	.Y(n_8794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276955 (
+	.A(n_13555),
+	.B(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17),
+	.Y(n_8793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g276956 (
+	.A(n_13555),
+	.B(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_8792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5584),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(FE_OFN75_io_out_37),
+	.SCD(n_19),
+	.SCE(n_2291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5168),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_31),
+	.D(n_5892),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_31),
+	.D(n_5880),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_31),
+	.D(n_5137),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_31),
+	.D(n_5082),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_511),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(FE_OFN29_io_out_37),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.SCE(n_1246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_1991),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5822),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_29),
+	.D(n_7057),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_31),
+	.D(n_7054),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_31),
+	.D(n_7058),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_31),
+	.D(n_7060),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_29),
+	.D(n_7059),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_31),
+	.D(n_7056),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
+	.CLK(CTS_29),
+	.D(n_7055),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
+	.CLK(CTS_29),
+	.D(n_7053),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_29),
+	.D(n_7052),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
+	.CLK(CTS_29),
+	.D(n_5984),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_29),
+	.D(n_7050),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
+	.CLK(CTS_29),
+	.D(n_7049),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
+	.CLK(CTS_30),
+	.D(n_5985),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
+	.CLK(CTS_29),
+	.D(n_7048),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
+	.CLK(CTS_29),
+	.D(n_5986),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
+	.CLK(CTS_29),
+	.D(n_7047),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
+	.CLK(CTS_25),
+	.D(n_5987),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
+	.CLK(CTS_25),
+	.D(n_6756),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
+	.CLK(CTS_25),
+	.D(n_6755),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
+	.CLK(CTS_25),
+	.D(n_5981),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
+	.CLK(CTS_25),
+	.D(n_5978),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
+	.CLK(CTS_25),
+	.D(n_5977),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
+	.CLK(CTS_30),
+	.D(n_5976),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
+	.CLK(CTS_25),
+	.D(n_5979),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.SCE(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.SCD(n_6880),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.SCD(n_6879),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.SCD(n_6878),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
+	.CLK(CTS_29),
+	.D(n_6754),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.SCD(n_6877),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
+	.CLK(CTS_43),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.SCD(n_6876),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
+	.CLK(CTS_43),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.SCD(n_6875),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.SCD(n_6874),
+	.SCE(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_29),
+	.D(n_7046),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_31),
+	.D(n_7045),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_31),
+	.D(n_7044),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_31),
+	.D(n_7043),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_29),
+	.D(n_7042),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_29),
+	.D(n_7041),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
+	.CLK(CTS_30),
+	.D(n_7040),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
+	.CLK(CTS_29),
+	.D(n_7039),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_30),
+	.D(n_7138),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
+	.CLK(CTS_29),
+	.D(n_5984),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_29),
+	.D(n_7036),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
+	.CLK(CTS_29),
+	.D(n_7037),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
+	.CLK(CTS_30),
+	.D(n_5985),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
+	.CLK(CTS_29),
+	.D(n_7035),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
+	.CLK(CTS_29),
+	.D(n_5986),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
+	.CLK(CTS_29),
+	.D(n_7034),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
+	.CLK(CTS_25),
+	.D(n_5987),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
+	.CLK(CTS_25),
+	.D(n_6675),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
+	.CLK(CTS_25),
+	.D(n_6676),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
+	.CLK(CTS_25),
+	.D(n_5981),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
+	.CLK(CTS_25),
+	.D(n_5978),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
+	.CLK(CTS_30),
+	.D(n_5977),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
+	.CLK(CTS_30),
+	.D(n_5976),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
+	.CLK(CTS_25),
+	.D(n_5979),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.SCE(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.SCD(n_6880),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.SCD(n_6879),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.SCD(n_6878),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
+	.CLK(CTS_29),
+	.D(n_6677),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.SCD(n_6877),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
+	.CLK(CTS_43),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.SCD(n_6876),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
+	.CLK(CTS_43),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.SCD(n_6875),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.SCD(n_6874),
+	.SCE(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_29),
+	.D(n_7033),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_31),
+	.D(n_7032),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_31),
+	.D(n_7031),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_31),
+	.D(n_7030),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
+	.CLK(CTS_25),
+	.D(n_7029),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
+	.CLK(CTS_29),
+	.D(n_7028),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]  (
+	.CLK(CTS_29),
+	.D(n_7027),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]  (
+	.CLK(CTS_25),
+	.D(n_7026),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]  (
+	.CLK(CTS_29),
+	.D(n_7025),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]  (
+	.CLK(CTS_29),
+	.D(n_5984),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_29),
+	.D(n_7024),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]  (
+	.CLK(CTS_29),
+	.D(n_7023),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]  (
+	.CLK(CTS_43),
+	.D(n_5985),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]  (
+	.CLK(CTS_29),
+	.D(n_7022),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]  (
+	.CLK(CTS_29),
+	.D(n_5986),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]  (
+	.CLK(CTS_29),
+	.D(n_7021),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]  (
+	.CLK(CTS_25),
+	.D(n_5987),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]  (
+	.CLK(CTS_25),
+	.D(n_6683),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]  (
+	.CLK(CTS_25),
+	.D(n_6684),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]  (
+	.CLK(CTS_25),
+	.D(n_5981),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]  (
+	.CLK(CTS_25),
+	.D(n_5978),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]  (
+	.CLK(CTS_25),
+	.D(n_5977),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]  (
+	.CLK(CTS_30),
+	.D(n_5976),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]  (
+	.CLK(CTS_25),
+	.D(n_5979),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]  (
+	.CLK(CTS_29),
+	.D(n_6880),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]  (
+	.CLK(CTS_29),
+	.D(n_6879),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]  (
+	.CLK(CTS_29),
+	.D(n_6878),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]  (
+	.CLK(CTS_25),
+	.D(n_6685),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]  (
+	.CLK(CTS_43),
+	.D(n_6877),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]  (
+	.CLK(CTS_43),
+	.D(n_6876),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]  (
+	.CLK(CTS_43),
+	.D(n_6875),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]  (
+	.CLK(CTS_29),
+	.D(n_6874),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.SCE(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_29),
+	.D(n_7020),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_29),
+	.D(n_7019),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_25),
+	.D(n_7018),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_25),
+	.D(n_7017),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
+	.CLK(CTS_29),
+	.D(n_7016),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
+	.CLK(CTS_29),
+	.D(n_7015),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]  (
+	.CLK(CTS_29),
+	.D(n_7012),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]  (
+	.CLK(CTS_29),
+	.D(n_7013),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]  (
+	.CLK(CTS_29),
+	.D(n_7011),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]  (
+	.CLK(CTS_29),
+	.D(n_5984),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_29),
+	.D(n_7010),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]  (
+	.CLK(CTS_29),
+	.D(n_7009),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]  (
+	.CLK(CTS_30),
+	.D(n_5985),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]  (
+	.CLK(CTS_29),
+	.D(n_7008),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]  (
+	.CLK(CTS_29),
+	.D(n_5986),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]  (
+	.CLK(CTS_29),
+	.D(n_7007),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]  (
+	.CLK(CTS_25),
+	.D(n_5987),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]  (
+	.CLK(CTS_25),
+	.D(n_6690),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]  (
+	.CLK(CTS_25),
+	.D(n_6692),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]  (
+	.CLK(CTS_25),
+	.D(n_5981),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]  (
+	.CLK(CTS_25),
+	.D(n_5978),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]  (
+	.CLK(CTS_30),
+	.D(n_5977),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]  (
+	.CLK(CTS_30),
+	.D(n_5976),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]  (
+	.CLK(CTS_25),
+	.D(n_5979),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.SCE(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.SCD(n_6880),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.SCD(n_6879),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.SCD(n_6878),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]  (
+	.CLK(CTS_29),
+	.D(n_6700),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.SCD(n_6877),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]  (
+	.CLK(CTS_43),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.SCD(n_6876),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]  (
+	.CLK(CTS_43),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.SCD(n_6875),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]  (
+	.CLK(CTS_29),
+	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.SCD(n_6874),
+	.SCE(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(FE_OFN75_io_out_37),
+	.SCD(n_62),
+	.SCE(n_3110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5618),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(FE_OFN75_io_out_37),
+	.SCD(n_487),
+	.SCE(n_1900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_6381),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_29),
+	.D(n_5557),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_29),
+	.D(n_5640),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_29),
+	.D(n_5556),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_29),
+	.D(n_5555),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_31),
+	.D(n_5162),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_31),
+	.D(n_5161),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_31),
+	.D(n_5160),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_31),
+	.D(n_5159),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_29),
+	.D(n_5158),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_29),
+	.D(n_5157),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_29),
+	.D(n_5156),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_29),
+	.D(n_5155),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_31),
+	.D(n_5154),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_31),
+	.D(n_5153),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_31),
+	.D(n_5152),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_31),
+	.D(n_5151),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_dccm_adapter_rvalid_o_reg (
+	.CLK(CTS_52),
+	.D(n_1174),
+	.Q(u_soc_dccm_adapter_rvalid_o),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_21),
+	.D(n_6183),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_21),
+	.D(n_5723),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_21),
+	.D(n_6380),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_21),
+	.D(n_6363),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_21),
+	.D(n_6705),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_21),
+	.D(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(FE_OFN35_io_out_37),
+	.SCD(n_1486),
+	.SCE(n_1886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_21),
+	.D(n_4184),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_35),
+	.D(n_5722),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_35),
+	.D(n_5721),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_21),
+	.D(n_6182),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_21),
+	.D(n_6181),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_21),
+	.D(n_6180),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_35),
+	.D(n_6179),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
+	.CLK(CTS_35),
+	.D(n_6178),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
+	.CLK(CTS_21),
+	.D(n_6177),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_35),
+	.D(n_5720),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
+	.CLK(CTS_22),
+	.D(n_5719),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_22),
+	.D(n_5718),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
+	.CLK(CTS_22),
+	.D(n_5714),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
+	.CLK(CTS_22),
+	.D(n_5717),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
+	.CLK(CTS_22),
+	.D(n_5716),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
+	.CLK(CTS_22),
+	.D(n_5715),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
+	.CLK(CTS_22),
+	.D(n_5752),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
+	.CLK(CTS_22),
+	.D(n_5760),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
+	.CLK(CTS_21),
+	.D(n_5759),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
+	.CLK(CTS_21),
+	.D(n_6173),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
+	.CLK(CTS_21),
+	.D(n_6172),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
+	.CLK(CTS_35),
+	.D(n_6169),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
+	.CLK(CTS_35),
+	.D(n_6168),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
+	.CLK(CTS_21),
+	.D(n_6167),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
+	.CLK(CTS_35),
+	.D(n_6166),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
+	.CLK(CTS_22),
+	.D(n_5762),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
+	.CLK(CTS_21),
+	.D(n_5795),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
+	.CLK(CTS_22),
+	.D(n_5796),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
+	.CLK(CTS_21),
+	.D(n_5797),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
+	.CLK(CTS_35),
+	.D(n_5798),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
+	.CLK(CTS_35),
+	.D(n_5799),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
+	.CLK(CTS_22),
+	.D(n_5800),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
+	.CLK(CTS_22),
+	.D(n_5801),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_35),
+	.D(n_5802),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_35),
+	.D(n_5803),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_21),
+	.D(n_6155),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_21),
+	.D(n_6156),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_21),
+	.D(n_6154),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_35),
+	.D(n_6152),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
+	.CLK(CTS_35),
+	.D(n_6153),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
+	.CLK(CTS_21),
+	.D(n_6151),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_35),
+	.D(n_5804),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
+	.CLK(CTS_22),
+	.D(n_5805),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_22),
+	.D(n_5806),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
+	.CLK(CTS_22),
+	.D(n_5807),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
+	.CLK(CTS_22),
+	.D(n_5808),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
+	.CLK(CTS_22),
+	.D(n_5810),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
+	.CLK(CTS_22),
+	.D(n_5811),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
+	.CLK(CTS_22),
+	.D(n_5809),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
+	.CLK(CTS_35),
+	.D(n_5812),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
+	.CLK(CTS_35),
+	.D(n_5813),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
+	.CLK(CTS_35),
+	.D(n_6144),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
+	.CLK(CTS_21),
+	.D(n_6143),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
+	.CLK(CTS_35),
+	.D(n_6142),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
+	.CLK(CTS_22),
+	.D(n_6141),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
+	.CLK(CTS_21),
+	.D(n_6139),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
+	.CLK(CTS_21),
+	.D(n_6136),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
+	.CLK(CTS_22),
+	.D(n_5814),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
+	.CLK(CTS_21),
+	.D(n_5815),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
+	.CLK(CTS_35),
+	.D(n_5816),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
+	.CLK(CTS_21),
+	.D(n_5817),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
+	.CLK(CTS_35),
+	.D(n_5818),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
+	.CLK(CTS_35),
+	.D(n_5819),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
+	.CLK(CTS_22),
+	.D(n_5820),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
+	.CLK(CTS_35),
+	.D(n_5821),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_21),
+	.D(n_3750),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_21),
+	.D(n_5890),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_21),
+	.D(n_3047),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_21),
+	.D(n_3046),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_21),
+	.D(n_3052),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_21),
+	.D(n_3057),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_21),
+	.D(n_3063),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_21),
+	.D(n_2976),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_21),
+	.D(n_2977),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_21),
+	.D(n_2978),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[0]  (
+	.CLK(CTS_27),
+	.D(n_597),
+	.Q(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.SCE(n_13377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[1]  (
+	.CLK(CTS_27),
+	.D(FE_OFN18361_n),
+	.Q(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.SCE(n_13377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[0]  (
+	.CLK(CTS_46),
+	.D(n_1934),
+	.Q(u_soc_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfstp_1 \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[2]  (
+	.CLK(CTS_46),
+	.D(u_soc_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.Q(u_soc_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.SCD(n_640),
+	.SCE(n_1049),
+	.SET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_main_swith_host_lsu_err_resp_err_req_pending_reg (
+	.CLK(CTS_46),
+	.D(n_1049),
+	.Q(\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[0]  (
+	.CLK(CTS_27),
+	.D(n_3084),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[0]),
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(n_3085),
+	.SCE(u_soc_main_swith_host_lsu_num_req_outstanding[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[1]  (
+	.CLK(CTS_27),
+	.D(n_5784),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[2]  (
+	.CLK(CTS_27),
+	.D(n_5783),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[3]  (
+	.CLK(CTS_27),
+	.D(n_6790),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[4]  (
+	.CLK(CTS_27),
+	.D(n_7550),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[5]  (
+	.CLK(CTS_27),
+	.D(n_8001),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[6]  (
+	.CLK(CTS_66),
+	.D(n_8454),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[7]  (
+	.CLK(CTS_27),
+	.D(n_8526),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[8]  (
+	.CLK(CTS_27),
+	.D(n_8468),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[9]  (
+	.CLK(CTS_27),
+	.D(n_8665),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[10]  (
+	.CLK(CTS_27),
+	.D(n_8666),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[11]  (
+	.CLK(CTS_27),
+	.D(n_8668),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[12]  (
+	.CLK(CTS_27),
+	.D(n_8667),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[13]  (
+	.CLK(CTS_27),
+	.D(n_8626),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[14]  (
+	.CLK(CTS_27),
+	.D(n_8684),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[15]  (
+	.CLK(CTS_66),
+	.D(n_8725),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[16]  (
+	.CLK(CTS_66),
+	.D(n_8728),
+	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_reset_manager_rst_q_reg (
+	.CLK(CTS_21),
+	.D(u_soc_prog_rst_ni),
+	.Q(u_soc_reset_manager_rst_q),
+	.RESET_B(FE_OFN874_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[0]  (
+	.CLK_N(CTS_29),
+	.D(FE_PSN4554_u_soc_data_addr_8),
+	.Q(u_soc_u_dccm_bank_sel[0]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[1]  (
+	.CLK_N(CTS_29),
+	.D(FE_PSN4553_u_soc_data_addr_9),
+	.Q(u_soc_u_dccm_bank_sel[1]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[0]  (
+	.CLK_N(CTS_35),
+	.D(u_soc_instr_addr[8]),
+	.Q(u_soc_u_iccm_bank_sel[0]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[1]  (
+	.CLK_N(CTS_35),
+	.D(u_soc_instr_addr[9]),
+	.Q(u_soc_u_iccm_bank_sel[1]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_u_tcam_rvalid_o_reg (
+	.CLK(CTS_50),
+	.D(n_1204),
+	.Q(u_soc_u_tcam_rvalid_o),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5637),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5150),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_31),
+	.D(n_5893),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_31),
+	.D(n_5138),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_31),
+	.D(n_16006),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_31),
+	.D(n_5081),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_579),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.SCE(n_1256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_1989),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_31),
+	.D(n_5823),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_25),
+	.D(n_6753),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_25),
+	.D(n_6752),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_25),
+	.D(n_6751),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_25),
+	.D(n_6749),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_25),
+	.D(n_6748),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_25),
+	.D(n_6750),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_46),
+	.D(n_6747),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_46),
+	.D(n_6745),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_46),
+	.D(n_6746),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_25),
+	.D(n_6744),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_25),
+	.D(n_6743),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_46),
+	.D(n_6742),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_46),
+	.D(n_6741),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_46),
+	.D(n_6740),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_46),
+	.D(n_6827),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_46),
+	.D(n_6828),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
+	.CLK(CTS_46),
+	.D(n_6829),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
+	.CLK(CTS_46),
+	.D(n_6830),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_25),
+	.D(n_6831),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_46),
+	.D(n_6832),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_46),
+	.D(n_6835),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_46),
+	.D(n_6833),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
+	.CLK(CTS_46),
+	.D(n_6834),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
+	.CLK(CTS_46),
+	.D(n_6842),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(n_536),
+	.SCE(n_3014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(n_1386),
+	.SCE(n_3014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_5554),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_547),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.SCE(n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_3064),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_50),
+	.D(n_2387),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_50),
+	.D(n_2393),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_50),
+	.D(n_2394),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_50),
+	.D(n_2396),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtn_1 u_soc_u_top_u_core_core_clock_gate_i_en_latch_reg (
+	.D(n_1375),
+	.GATE_N(CTS_96),
+	.Q(u_soc_u_top_u_core_core_clock_gate_i_en_latch), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.Q(u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(n_8286),
+	.SCE(n_2307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_7610),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]  (
+	.CLK(CTS_82),
+	.D(n_7912),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8286),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8543),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_7614),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]  (
+	.CLK(CTS_82),
+	.D(n_7794),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]  (
+	.CLK(CTS_82),
+	.D(n_7616),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_7910),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8084),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_7556),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_7554),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]  (
+	.CLK(CTS_89),
+	.D(n_8446),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]  (
+	.CLK(CTS_86),
+	.D(n_7908),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8076),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_7612),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]  (
+	.CLK(CTS_86),
+	.D(n_7782),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_7772),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_8282),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]  (
+	.CLK(CTS_86),
+	.D(n_7776),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]  (
+	.CLK(CTS_86),
+	.D(n_7778),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_8078),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]  (
+	.CLK(CTS_93),
+	.D(n_8284),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_7780),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7774),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7786),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_7788),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_7790),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(n_8080),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]  (
+	.CLK(CTS_93),
+	.D(n_7784),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]  (
+	.CLK(CTS_86),
+	.D(n_8082),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]  (
+	.CLK(CTS_86),
+	.D(n_8074),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]  (
+	.CLK(CTS_86),
+	.D(n_7792),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.SCE(FE_OFN928_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_7610),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.SCE(n_2892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8286),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.SCE(n_2892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]  (
+	.CLK(CTS_89),
+	.D(n_8095),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]  (
+	.CLK(CTS_88),
+	.D(n_8394),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]  (
+	.CLK(CTS_88),
+	.D(n_8571),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]  (
+	.CLK(CTS_88),
+	.D(n_8682),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]  (
+	.CLK(CTS_88),
+	.D(n_8066),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]  (
+	.CLK(CTS_88),
+	.D(n_8248),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]  (
+	.CLK(CTS_89),
+	.D(n_8065),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_8396),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8489),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_8024),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_8072),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]  (
+	.CLK(CTS_89),
+	.D(n_8634),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]  (
+	.CLK(CTS_89),
+	.D(n_8390),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8486),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_8071),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]  (
+	.CLK(CTS_90),
+	.D(n_8271),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]  (
+	.CLK(CTS_90),
+	.D(n_8270),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]  (
+	.CLK(CTS_90),
+	.D(n_8575),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]  (
+	.CLK(CTS_90),
+	.D(n_8269),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]  (
+	.CLK(CTS_90),
+	.D(n_8268),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]  (
+	.CLK(CTS_90),
+	.D(n_8498),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]  (
+	.CLK(CTS_90),
+	.D(n_8574),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]  (
+	.CLK(CTS_90),
+	.D(n_8266),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]  (
+	.CLK(CTS_90),
+	.D(n_8264),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]  (
+	.CLK(CTS_90),
+	.D(n_8263),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]  (
+	.CLK(CTS_90),
+	.D(n_8267),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]  (
+	.CLK(CTS_90),
+	.D(n_8265),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]  (
+	.CLK(CTS_90),
+	.D(n_8497),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]  (
+	.CLK(CTS_90),
+	.D(n_8262),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]  (
+	.CLK(CTS_90),
+	.D(n_8496),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]  (
+	.CLK(CTS_90),
+	.D(n_8495),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]  (
+	.CLK(CTS_89),
+	.D(n_8250),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]  (
+	.CLK(CTS_89),
+	.D(n_7852),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]  (
+	.CLK(CTS_88),
+	.D(n_8208),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]  (
+	.CLK(CTS_88),
+	.D(n_8480),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]  (
+	.CLK(CTS_88),
+	.D(n_8628),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]  (
+	.CLK(CTS_88),
+	.D(n_7851),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]  (
+	.CLK(CTS_88),
+	.D(n_8038),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]  (
+	.CLK(CTS_88),
+	.D(n_7850),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]  (
+	.CLK(CTS_89),
+	.D(n_8207),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]  (
+	.CLK(CTS_89),
+	.D(n_8382),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]  (
+	.CLK(CTS_89),
+	.D(n_7849),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]  (
+	.CLK(CTS_89),
+	.D(n_7848),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]  (
+	.CLK(CTS_89),
+	.D(n_8560),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]  (
+	.CLK(CTS_89),
+	.D(n_8206),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]  (
+	.CLK(CTS_89),
+	.D(n_8381),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]  (
+	.CLK(CTS_89),
+	.D(n_7846),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]  (
+	.CLK(CTS_90),
+	.D(n_8037),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]  (
+	.CLK(CTS_90),
+	.D(n_8036),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]  (
+	.CLK(CTS_90),
+	.D(n_8479),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]  (
+	.CLK(CTS_90),
+	.D(n_8035),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]  (
+	.CLK(CTS_90),
+	.D(n_8034),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]  (
+	.CLK(CTS_90),
+	.D(n_8380),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]  (
+	.CLK(CTS_90),
+	.D(n_8478),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]  (
+	.CLK(CTS_90),
+	.D(n_8032),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]  (
+	.CLK(CTS_90),
+	.D(n_8033),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]  (
+	.CLK(CTS_90),
+	.D(n_8031),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]  (
+	.CLK(CTS_90),
+	.D(n_8030),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]  (
+	.CLK(CTS_90),
+	.D(n_8029),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]  (
+	.CLK(CTS_90),
+	.D(n_8379),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]  (
+	.CLK(CTS_90),
+	.D(n_8028),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]  (
+	.CLK(CTS_90),
+	.D(n_8378),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]  (
+	.CLK(CTS_90),
+	.D(n_8377),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]  (
+	.CLK(CTS_90),
+	.D(n_8027),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]  (
+	.CLK(CTS_88),
+	.D(n_8070),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]  (
+	.CLK(CTS_88),
+	.D(n_8393),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]  (
+	.CLK(CTS_88),
+	.D(n_8570),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]  (
+	.CLK(CTS_88),
+	.D(n_8681),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]  (
+	.CLK(CTS_88),
+	.D(n_8064),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]  (
+	.CLK(CTS_88),
+	.D(n_8247),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]  (
+	.CLK(CTS_88),
+	.D(n_8063),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_8395),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8488),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_8069),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_8068),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]  (
+	.CLK(CTS_89),
+	.D(n_8633),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]  (
+	.CLK(CTS_89),
+	.D(n_8389),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8485),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_8067),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]  (
+	.CLK(CTS_89),
+	.D(n_8261),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]  (
+	.CLK(CTS_90),
+	.D(n_8260),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]  (
+	.CLK(CTS_90),
+	.D(n_8573),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]  (
+	.CLK(CTS_90),
+	.D(n_8259),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]  (
+	.CLK(CTS_90),
+	.D(n_8258),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]  (
+	.CLK(CTS_90),
+	.D(n_8494),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]  (
+	.CLK(CTS_90),
+	.D(n_8572),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]  (
+	.CLK(CTS_90),
+	.D(n_8256),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]  (
+	.CLK(CTS_90),
+	.D(n_8257),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]  (
+	.CLK(CTS_90),
+	.D(n_8255),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]  (
+	.CLK(CTS_90),
+	.D(n_8254),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]  (
+	.CLK(CTS_90),
+	.D(n_8253),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]  (
+	.CLK(CTS_90),
+	.D(n_8491),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]  (
+	.CLK(CTS_90),
+	.D(n_8252),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]  (
+	.CLK(CTS_90),
+	.D(n_8493),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]  (
+	.CLK(CTS_90),
+	.D(n_8492),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]  (
+	.CLK(CTS_89),
+	.D(n_8249),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]  (
+	.CLK(CTS_89),
+	.D(n_7845),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]  (
+	.CLK(CTS_88),
+	.D(n_8181),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]  (
+	.CLK(CTS_88),
+	.D(n_8477),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]  (
+	.CLK(CTS_88),
+	.D(n_8627),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]  (
+	.CLK(CTS_88),
+	.D(n_7844),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]  (
+	.CLK(CTS_88),
+	.D(n_8026),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]  (
+	.CLK(CTS_89),
+	.D(n_7843),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]  (
+	.CLK(CTS_89),
+	.D(n_8172),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]  (
+	.CLK(CTS_89),
+	.D(n_8376),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]  (
+	.CLK(CTS_89),
+	.D(n_7842),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]  (
+	.CLK(CTS_89),
+	.D(n_7841),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]  (
+	.CLK(CTS_89),
+	.D(n_8559),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]  (
+	.CLK(CTS_89),
+	.D(n_8171),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]  (
+	.CLK(CTS_89),
+	.D(n_8375),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]  (
+	.CLK(CTS_89),
+	.D(n_7840),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]  (
+	.CLK(CTS_90),
+	.D(n_8025),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]  (
+	.CLK(CTS_90),
+	.D(n_8073),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]  (
+	.CLK(CTS_90),
+	.D(n_8476),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]  (
+	.CLK(CTS_90),
+	.D(n_8023),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]  (
+	.CLK(CTS_86),
+	.D(n_8022),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]  (
+	.CLK(CTS_86),
+	.D(n_8374),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]  (
+	.CLK(CTS_93),
+	.D(n_8475),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]  (
+	.CLK(CTS_93),
+	.D(n_8020),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]  (
+	.CLK(CTS_93),
+	.D(n_8021),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]  (
+	.CLK(CTS_93),
+	.D(n_8019),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]  (
+	.CLK(CTS_93),
+	.D(n_8018),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]  (
+	.CLK(CTS_93),
+	.D(n_8017),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]  (
+	.CLK(CTS_93),
+	.D(n_8373),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]  (
+	.CLK(CTS_93),
+	.D(n_8016),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]  (
+	.CLK(CTS_90),
+	.D(n_8372),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]  (
+	.CLK(CTS_90),
+	.D(n_8371),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]  (
+	.CLK(CTS_90),
+	.D(n_8015),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfstp_2 \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_2667),
+	.Q(u_soc_u_top_u_core_priv_mode_id[0]),
+	.SET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfstp_2 \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_2666),
+	.Q(u_soc_u_top_u_core_priv_mode_id[1]),
+	.SET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfstp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.SCD(n_8588),
+	.SCE(FE_OFN18227_n_1854),
+	.SET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfstp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.SCD(n_8625),
+	.SCE(FE_OFN18227_n_1854),
+	.SET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_debug_single_step),
+	.Q(u_soc_u_top_u_core_debug_single_step),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(n_8467),
+	.SCE(FE_OFN18227_n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]  (
+	.CLK(CTS_82),
+	.D(n_1673),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.SCE(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]  (
+	.CLK(CTS_82),
+	.D(n_1675),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.SCE(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]  (
+	.CLK(CTS_82),
+	.D(n_1674),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.SCE(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]  (
+	.CLK(CTS_92),
+	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(n_8565),
+	.SCE(FE_OFN18227_n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]  (
+	.CLK(CTS_86),
+	.D(n_8164),
+	.Q(u_soc_u_top_u_core_debug_ebreaku),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_debug_ebreaku),
+	.SCE(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]  (
+	.CLK(CTS_86),
+	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(n_8361),
+	.SCE(FE_OFN18227_n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]  (
+	.CLK(CTS_86),
+	.D(n_7999),
+	.Q(u_soc_u_top_u_core_debug_ebreakm),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_debug_ebreakm),
+	.SCE(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_8466),
+	.Q(u_soc_u_top_u_core_csr_depc[1]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8474),
+	.Q(u_soc_u_top_u_core_csr_depc[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[2]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8729),
+	.Q(u_soc_u_top_u_core_csr_depc[3]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_7839),
+	.Q(u_soc_u_top_u_core_csr_depc[4]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[4]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]  (
+	.CLK(CTS_82),
+	.D(n_8014),
+	.Q(u_soc_u_top_u_core_csr_depc[5]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[5]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]  (
+	.CLK(CTS_82),
+	.D(n_7838),
+	.Q(u_soc_u_top_u_core_csr_depc[6]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[6]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]  (
+	.CLK(CTS_82),
+	.D(n_8463),
+	.Q(u_soc_u_top_u_core_csr_depc[7]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]  (
+	.CLK(CTS_82),
+	.D(n_8589),
+	.Q(u_soc_u_top_u_core_csr_depc[8]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]  (
+	.CLK(CTS_82),
+	.D(n_7837),
+	.Q(u_soc_u_top_u_core_csr_depc[9]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[9]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]  (
+	.CLK(CTS_86),
+	.D(n_7836),
+	.Q(u_soc_u_top_u_core_csr_depc[10]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[10]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]  (
+	.CLK(CTS_82),
+	.D(n_8698),
+	.Q(u_soc_u_top_u_core_csr_depc[11]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]  (
+	.CLK(CTS_92),
+	.D(n_8170),
+	.Q(u_soc_u_top_u_core_csr_depc[12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[12]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]  (
+	.CLK(CTS_87),
+	.D(n_8369),
+	.Q(u_soc_u_top_u_core_csr_depc[13]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[13]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]  (
+	.CLK(CTS_87),
+	.D(n_7835),
+	.Q(u_soc_u_top_u_core_csr_depc[14]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_depc[14]),
+	.SCE(FE_OFN1644_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]  (
+	.CLK(CTS_86),
+	.D(n_8358),
+	.Q(u_soc_u_top_u_core_csr_depc[15]),
+	.RESET_B(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_8357),
+	.Q(u_soc_u_top_u_core_csr_depc[16]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]  (
+	.CLK(CTS_87),
+	.D(n_8624),
+	.Q(u_soc_u_top_u_core_csr_depc[17]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]  (
+	.CLK(CTS_86),
+	.D(n_8356),
+	.Q(u_soc_u_top_u_core_csr_depc[18]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]  (
+	.CLK(CTS_87),
+	.D(n_8355),
+	.Q(u_soc_u_top_u_core_csr_depc[19]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]  (
+	.CLK(CTS_87),
+	.D(n_8600),
+	.Q(u_soc_u_top_u_core_csr_depc[20]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_8623),
+	.Q(u_soc_u_top_u_core_csr_depc[21]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_8388),
+	.Q(u_soc_u_top_u_core_csr_depc[22]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_8445),
+	.Q(u_soc_u_top_u_core_csr_depc[23]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_8411),
+	.Q(u_soc_u_top_u_core_csr_depc[24]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_8417),
+	.Q(u_soc_u_top_u_core_csr_depc[25]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_8432),
+	.Q(u_soc_u_top_u_core_csr_depc[26]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(n_8605),
+	.Q(u_soc_u_top_u_core_csr_depc[27]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]  (
+	.CLK(CTS_86),
+	.D(n_8436),
+	.Q(u_soc_u_top_u_core_csr_depc[28]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]  (
+	.CLK(CTS_86),
+	.D(n_8607),
+	.Q(u_soc_u_top_u_core_csr_depc[29]),
+	.RESET_B(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]  (
+	.CLK(CTS_87),
+	.D(n_8608),
+	.Q(u_soc_u_top_u_core_csr_depc[30]),
+	.RESET_B(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]  (
+	.CLK(CTS_87),
+	.D(n_8437),
+	.Q(u_soc_u_top_u_core_csr_depc[31]),
+	.RESET_B(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_7610),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]  (
+	.CLK(CTS_82),
+	.D(n_7912),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8286),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8543),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_7614),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]  (
+	.CLK(CTS_82),
+	.D(n_7794),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]  (
+	.CLK(CTS_89),
+	.D(n_7616),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_7910),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8084),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_7556),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_7554),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]  (
+	.CLK(CTS_89),
+	.D(n_8446),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]  (
+	.CLK(CTS_86),
+	.D(n_7908),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8076),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_7612),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]  (
+	.CLK(CTS_90),
+	.D(n_7782),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]  (
+	.CLK(CTS_90),
+	.D(n_7772),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_8282),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]  (
+	.CLK(CTS_86),
+	.D(n_7776),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]  (
+	.CLK(CTS_86),
+	.D(n_7778),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_8078),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_8284),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_7780),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7774),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7786),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_7788),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_7790),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(n_8080),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]  (
+	.CLK(CTS_86),
+	.D(n_7784),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]  (
+	.CLK(CTS_86),
+	.D(n_8082),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]  (
+	.CLK(CTS_86),
+	.D(n_8074),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]  (
+	.CLK(CTS_86),
+	.D(n_7792),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.SCE(FE_OFN1641_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_7610),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_7912),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8286),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]  (
+	.CLK(CTS_89),
+	.D(n_8543),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]  (
+	.CLK(CTS_88),
+	.D(n_7614),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]  (
+	.CLK(CTS_88),
+	.D(n_7794),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]  (
+	.CLK(CTS_88),
+	.D(n_7616),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_7910),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8084),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_7556),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_7554),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]  (
+	.CLK(CTS_89),
+	.D(n_8446),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]  (
+	.CLK(CTS_92),
+	.D(n_7908),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8076),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_7612),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]  (
+	.CLK(CTS_90),
+	.D(n_7782),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]  (
+	.CLK(CTS_90),
+	.D(n_7772),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_8282),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]  (
+	.CLK(CTS_90),
+	.D(n_7776),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]  (
+	.CLK(CTS_90),
+	.D(n_7778),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_8078),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_8284),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_7780),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7774),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7786),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_7788),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_7790),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]  (
+	.CLK(CTS_90),
+	.D(n_8080),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]  (
+	.CLK(CTS_90),
+	.D(n_7784),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]  (
+	.CLK(CTS_90),
+	.D(n_8082),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]  (
+	.CLK(CTS_87),
+	.D(n_8074),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]  (
+	.CLK(CTS_86),
+	.D(n_7792),
+	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.SCE(FE_OFN1642_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_7828),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.SCE(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_8301),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.SCE(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8451),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.SCE(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8657),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.SCE(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_7815),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.SCE(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]  (
+	.CLK(CTS_82),
+	.D(n_8108),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.SCE(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_8133),
+	.Q(u_soc_u_top_u_core_csr_mepc[1]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[1]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8509),
+	.Q(u_soc_u_top_u_core_csr_mepc[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[2]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8649),
+	.Q(u_soc_u_top_u_core_csr_mepc[3]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[3]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_7890),
+	.Q(u_soc_u_top_u_core_csr_mepc[4]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[4]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]  (
+	.CLK(CTS_82),
+	.D(n_8013),
+	.Q(u_soc_u_top_u_core_csr_mepc[5]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[5]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]  (
+	.CLK(CTS_82),
+	.D(n_7834),
+	.Q(u_soc_u_top_u_core_csr_mepc[6]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[6]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]  (
+	.CLK(CTS_82),
+	.D(n_8169),
+	.Q(u_soc_u_top_u_core_csr_mepc[7]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[7]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]  (
+	.CLK(CTS_82),
+	.D(n_8367),
+	.Q(u_soc_u_top_u_core_csr_mepc[8]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[8]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]  (
+	.CLK(CTS_82),
+	.D(n_7833),
+	.Q(u_soc_u_top_u_core_csr_mepc[9]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[9]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]  (
+	.CLK(CTS_82),
+	.D(n_7832),
+	.Q(u_soc_u_top_u_core_csr_mepc[10]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[10]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]  (
+	.CLK(CTS_92),
+	.D(n_8558),
+	.Q(u_soc_u_top_u_core_csr_mepc[11]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[11]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]  (
+	.CLK(CTS_92),
+	.D(n_8168),
+	.Q(u_soc_u_top_u_core_csr_mepc[12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[12]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]  (
+	.CLK(CTS_92),
+	.D(n_8366),
+	.Q(u_soc_u_top_u_core_csr_mepc[13]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[13]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]  (
+	.CLK(CTS_87),
+	.D(n_7830),
+	.Q(u_soc_u_top_u_core_csr_mepc[14]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[14]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]  (
+	.CLK(CTS_87),
+	.D(n_8012),
+	.Q(u_soc_u_top_u_core_csr_mepc[15]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[15]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]  (
+	.CLK(CTS_87),
+	.D(n_8011),
+	.Q(u_soc_u_top_u_core_csr_mepc[16]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[16]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]  (
+	.CLK(CTS_87),
+	.D(n_8473),
+	.Q(u_soc_u_top_u_core_csr_mepc[17]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[17]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]  (
+	.CLK(CTS_87),
+	.D(n_8010),
+	.Q(u_soc_u_top_u_core_csr_mepc[18]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[18]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]  (
+	.CLK(CTS_87),
+	.D(n_8009),
+	.Q(u_soc_u_top_u_core_csr_mepc[19]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[19]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]  (
+	.CLK(CTS_87),
+	.D(n_8365),
+	.Q(u_soc_u_top_u_core_csr_mepc[20]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[20]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_8472),
+	.Q(u_soc_u_top_u_core_csr_mepc[21]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[21]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_8008),
+	.Q(u_soc_u_top_u_core_csr_mepc[22]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[22]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_8007),
+	.Q(u_soc_u_top_u_core_csr_mepc[23]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[23]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_8006),
+	.Q(u_soc_u_top_u_core_csr_mepc[24]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[24]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_8005),
+	.Q(u_soc_u_top_u_core_csr_mepc[25]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[25]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_8004),
+	.Q(u_soc_u_top_u_core_csr_mepc[26]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[26]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(n_8364),
+	.Q(u_soc_u_top_u_core_csr_mepc[27]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[27]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]  (
+	.CLK(CTS_87),
+	.D(n_8003),
+	.Q(u_soc_u_top_u_core_csr_mepc[28]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[28]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]  (
+	.CLK(CTS_87),
+	.D(n_8363),
+	.Q(u_soc_u_top_u_core_csr_mepc[29]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[29]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]  (
+	.CLK(CTS_87),
+	.D(n_8362),
+	.Q(u_soc_u_top_u_core_csr_mepc[30]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[30]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]  (
+	.CLK(CTS_87),
+	.D(n_8118),
+	.Q(u_soc_u_top_u_core_csr_mepc[31]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mepc[31]),
+	.SCE(FE_OFN1645_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]  (
+	.CLK(CTS_86),
+	.D(n_7772),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]  (
+	.CLK(CTS_86),
+	.D(n_8282),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]  (
+	.CLK(CTS_90),
+	.D(n_7776),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]  (
+	.CLK(CTS_90),
+	.D(n_7778),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]  (
+	.CLK(CTS_86),
+	.D(n_8078),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]  (
+	.CLK(CTS_86),
+	.D(n_8284),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]  (
+	.CLK(CTS_90),
+	.D(n_7780),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]  (
+	.CLK(CTS_90),
+	.D(n_7774),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]  (
+	.CLK(CTS_93),
+	.D(n_7786),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]  (
+	.CLK(CTS_90),
+	.D(n_7788),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]  (
+	.CLK(CTS_90),
+	.D(n_7790),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]  (
+	.CLK(CTS_90),
+	.D(n_8080),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]  (
+	.CLK(CTS_90),
+	.D(n_7784),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]  (
+	.CLK(CTS_86),
+	.D(n_8082),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]  (
+	.CLK(CTS_86),
+	.D(n_8074),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]  (
+	.CLK(CTS_82),
+	.D(n_8446),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]  (
+	.CLK(CTS_89),
+	.D(n_7910),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]  (
+	.CLK(CTS_82),
+	.D(n_8543),
+	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.SCE(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_7610),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]  (
+	.CLK(CTS_88),
+	.D(n_7912),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8286),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8543),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_7614),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]  (
+	.CLK(CTS_88),
+	.D(n_7794),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]  (
+	.CLK(CTS_82),
+	.D(n_7616),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_7910),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8084),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_7556),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_7554),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]  (
+	.CLK(CTS_82),
+	.D(n_8446),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]  (
+	.CLK(CTS_86),
+	.D(n_7908),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8076),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_7612),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]  (
+	.CLK(CTS_90),
+	.D(n_7782),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]  (
+	.CLK(CTS_90),
+	.D(n_7772),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_8282),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]  (
+	.CLK(CTS_86),
+	.D(n_7776),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.RESET_B(FE_OFN83_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]  (
+	.CLK(CTS_90),
+	.D(n_7778),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_8078),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_8284),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]  (
+	.CLK(CTS_90),
+	.D(n_7780),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7774),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7786),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]  (
+	.CLK(CTS_90),
+	.D(n_7788),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]  (
+	.CLK(CTS_90),
+	.D(n_7790),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]  (
+	.CLK(CTS_90),
+	.D(n_8080),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]  (
+	.CLK(CTS_90),
+	.D(n_7784),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.RESET_B(FE_OFN84_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]  (
+	.CLK(CTS_86),
+	.D(n_8082),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]  (
+	.CLK(CTS_86),
+	.D(n_8074),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]  (
+	.CLK(CTS_86),
+	.D(n_7792),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.SCE(FE_OFN1640_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]  (
+	.CLK(CTS_86),
+	.D(n_8457),
+	.Q(u_soc_u_top_u_core_csr_mstatus_tw),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mstatus_tw),
+	.SCE(n_2683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]  (
+	.CLK(CTS_86),
+	.D(n_8456),
+	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.SCE(n_2683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(n_8648),
+	.SCE(n_2682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(n_8647),
+	.SCE(n_2682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfstp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]  (
+	.CLK(CTS_82),
+	.D(n_8529),
+	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.SCE(n_2683),
+	.SET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_7874),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]  (
+	.CLK(CTS_82),
+	.D(n_8160),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
+	.RESET_B(FE_OFN7_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8455),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_8622),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]  (
+	.CLK(CTS_88),
+	.D(n_7882),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]  (
+	.CLK(CTS_88),
+	.D(n_7996),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
+	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]  (
+	.CLK(CTS_88),
+	.D(n_7883),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]  (
+	.CLK(CTS_89),
+	.D(n_8158),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]  (
+	.CLK(CTS_89),
+	.D(n_8439),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
+	.RESET_B(FE_OFN79_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]  (
+	.CLK(CTS_89),
+	.D(n_7892),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]  (
+	.CLK(CTS_89),
+	.D(n_7893),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
+	.RESET_B(FE_OFN37_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]  (
+	.CLK(CTS_89),
+	.D(n_8609),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]  (
+	.CLK(CTS_86),
+	.D(n_8155),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]  (
+	.CLK(CTS_89),
+	.D(n_8440),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]  (
+	.CLK(CTS_89),
+	.D(n_7900),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]  (
+	.CLK(CTS_86),
+	.D(n_7995),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_7994),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_8483),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]  (
+	.CLK(CTS_86),
+	.D(n_7993),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]  (
+	.CLK(CTS_86),
+	.D(n_7992),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_8441),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_8484),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_7991),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7990),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7989),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_7988),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_7987),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(n_8442),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]  (
+	.CLK(CTS_86),
+	.D(n_7986),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
+	.RESET_B(FE_OFN38_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]  (
+	.CLK(CTS_86),
+	.D(n_8443),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]  (
+	.CLK(CTS_86),
+	.D(n_8444),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]  (
+	.CLK(CTS_86),
+	.D(n_7985),
+	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
+	.SCE(FE_OFN1643_n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]  (
+	.CLK(CTS_82),
+	.D(n_8316),
+	.Q(u_soc_u_top_u_core_csr_mtvec[8]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[8]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]  (
+	.CLK(CTS_86),
+	.D(n_7686),
+	.Q(u_soc_u_top_u_core_csr_mtvec[9]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[9]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]  (
+	.CLK(CTS_86),
+	.D(n_7685),
+	.Q(u_soc_u_top_u_core_csr_mtvec[10]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[10]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]  (
+	.CLK(CTS_92),
+	.D(n_8539),
+	.Q(u_soc_u_top_u_core_csr_mtvec[11]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[11]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]  (
+	.CLK(CTS_92),
+	.D(n_8100),
+	.Q(u_soc_u_top_u_core_csr_mtvec[12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[12]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]  (
+	.CLK(CTS_92),
+	.D(n_8320),
+	.Q(u_soc_u_top_u_core_csr_mtvec[13]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[13]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]  (
+	.CLK(CTS_86),
+	.D(n_7684),
+	.Q(u_soc_u_top_u_core_csr_mtvec[14]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[14]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]  (
+	.CLK(CTS_87),
+	.D(n_7935),
+	.Q(u_soc_u_top_u_core_csr_mtvec[15]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[15]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_7936),
+	.Q(u_soc_u_top_u_core_csr_mtvec[16]),
+	.RESET_B(FE_OFN80_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[16]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]  (
+	.CLK(CTS_87),
+	.D(n_8424),
+	.Q(u_soc_u_top_u_core_csr_mtvec[17]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[17]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]  (
+	.CLK(CTS_87),
+	.D(n_7937),
+	.Q(u_soc_u_top_u_core_csr_mtvec[18]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[18]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]  (
+	.CLK(CTS_87),
+	.D(n_7938),
+	.Q(u_soc_u_top_u_core_csr_mtvec[19]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[19]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]  (
+	.CLK(CTS_87),
+	.D(n_8315),
+	.Q(u_soc_u_top_u_core_csr_mtvec[20]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[20]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_8423),
+	.Q(u_soc_u_top_u_core_csr_mtvec[21]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[21]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_7939),
+	.Q(u_soc_u_top_u_core_csr_mtvec[22]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[22]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7940),
+	.Q(u_soc_u_top_u_core_csr_mtvec[23]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[23]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7941),
+	.Q(u_soc_u_top_u_core_csr_mtvec[24]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[24]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_7942),
+	.Q(u_soc_u_top_u_core_csr_mtvec[25]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[25]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_7943),
+	.Q(u_soc_u_top_u_core_csr_mtvec[26]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[26]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(n_8314),
+	.Q(u_soc_u_top_u_core_csr_mtvec[27]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[27]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]  (
+	.CLK(CTS_87),
+	.D(n_7944),
+	.Q(u_soc_u_top_u_core_csr_mtvec[28]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[28]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]  (
+	.CLK(CTS_86),
+	.D(n_8324),
+	.Q(u_soc_u_top_u_core_csr_mtvec[29]),
+	.RESET_B(FE_OFN39_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[29]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]  (
+	.CLK(CTS_87),
+	.D(n_8313),
+	.Q(u_soc_u_top_u_core_csr_mtvec[30]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[30]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]  (
+	.CLK(CTS_87),
+	.D(n_7945),
+	.Q(u_soc_u_top_u_core_csr_mtvec[31]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(u_soc_u_top_u_core_csr_mtvec[31]),
+	.SCE(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg  (
+	.CLK(CTS_85),
+	.D(n_6014),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]  (
+	.CLK(CTS_79),
+	.D(n_5928),
+	.Q(n_479),
+	.Q_N(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]  (
+	.CLK(CTS_85),
+	.D(n_6304),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]  (
+	.CLK(CTS_85),
+	.D(n_6893),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]  (
+	.CLK(CTS_79),
+	.D(n_7185),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]  (
+	.CLK(CTS_79),
+	.D(n_6897),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]  (
+	.CLK(CTS_79),
+	.D(n_7184),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]  (
+	.CLK(CTS_79),
+	.D(n_6896),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]  (
+	.CLK(CTS_79),
+	.D(n_7183),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]  (
+	.CLK(CTS_79),
+	.D(n_6895),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]  (
+	.CLK(CTS_79),
+	.D(n_7159),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]  (
+	.CLK(CTS_79),
+	.D(n_6894),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]  (
+	.CLK(CTS_79),
+	.D(n_7170),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]  (
+	.CLK(CTS_79),
+	.D(n_6907),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]  (
+	.CLK(CTS_79),
+	.D(n_7174),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]  (
+	.CLK(CTS_79),
+	.D(n_6908),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]  (
+	.CLK(CTS_79),
+	.D(n_7180),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]  (
+	.CLK(CTS_79),
+	.D(n_6899),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]  (
+	.CLK(CTS_79),
+	.D(n_7171),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]  (
+	.CLK(CTS_80),
+	.D(n_6909),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]  (
+	.CLK(CTS_80),
+	.D(n_7176),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]  (
+	.CLK(CTS_80),
+	.D(n_6910),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]  (
+	.CLK(CTS_80),
+	.D(n_7178),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]  (
+	.CLK(CTS_80),
+	.D(n_6900),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]  (
+	.CLK(CTS_80),
+	.D(n_7182),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]  (
+	.CLK(CTS_80),
+	.D(n_6898),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]  (
+	.CLK(CTS_80),
+	.D(n_7154),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]  (
+	.CLK(CTS_80),
+	.D(n_6911),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]  (
+	.CLK(CTS_80),
+	.D(n_7157),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]  (
+	.CLK(CTS_80),
+	.D(n_7165),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]  (
+	.CLK(CTS_80),
+	.D(n_7162),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]  (
+	.CLK(CTS_80),
+	.D(n_6912),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]  (
+	.CLK(CTS_80),
+	.D(n_7168),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]  (
+	.CLK(CTS_79),
+	.D(n_6913),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]  (
+	.CLK(CTS_79),
+	.D(n_6643),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]  (
+	.CLK(CTS_85),
+	.D(n_7304),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]  (
+	.CLK(CTS_85),
+	.D(n_7305),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]  (
+	.CLK(CTS_85),
+	.D(n_7330),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]  (
+	.CLK(CTS_85),
+	.D(n_7303),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]  (
+	.CLK(CTS_85),
+	.D(n_7302),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]  (
+	.CLK(CTS_85),
+	.D(n_7301),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]  (
+	.CLK(CTS_85),
+	.D(n_7300),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]  (
+	.CLK(CTS_85),
+	.D(n_7299),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]  (
+	.CLK(CTS_85),
+	.D(n_7298),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]  (
+	.CLK(CTS_79),
+	.D(n_7308),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]  (
+	.CLK(CTS_79),
+	.D(n_7380),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]  (
+	.CLK(CTS_79),
+	.D(n_7310),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]  (
+	.CLK(CTS_79),
+	.D(n_7311),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]  (
+	.CLK(CTS_79),
+	.D(n_7312),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]  (
+	.CLK(CTS_79),
+	.D(n_7313),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]  (
+	.CLK(CTS_79),
+	.D(n_7314),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]  (
+	.CLK(CTS_79),
+	.D(n_7315),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]  (
+	.CLK(CTS_80),
+	.D(n_7316),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]  (
+	.CLK(CTS_80),
+	.D(n_7317),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]  (
+	.CLK(CTS_80),
+	.D(n_7318),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]  (
+	.CLK(CTS_80),
+	.D(n_7319),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]  (
+	.CLK(CTS_80),
+	.D(n_7320),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]  (
+	.CLK(CTS_80),
+	.D(n_7321),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]  (
+	.CLK(CTS_80),
+	.D(n_7322),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]  (
+	.CLK(CTS_80),
+	.D(n_7323),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]  (
+	.CLK(CTS_80),
+	.D(n_7324),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]  (
+	.CLK(CTS_80),
+	.D(n_7325),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]  (
+	.CLK(CTS_80),
+	.D(n_7326),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]  (
+	.CLK(CTS_80),
+	.D(n_7327),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]  (
+	.CLK(CTS_79),
+	.D(n_7328),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]  (
+	.CLK(CTS_79),
+	.D(n_7329),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]  (
+	.CLK(CTS_69),
+	.D(n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]  (
+	.CLK(CTS_66),
+	.D(n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]  (
+	.CLK(CTS_63),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
+	.CLK(CTS_63),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
+	.CLK(CTS_63),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
+	.CLK(CTS_8),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1519_n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]  (
+	.CLK(CTS_8),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.SCE(FE_OFN969_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]  (
+	.CLK(CTS_69),
+	.D(n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]  (
+	.CLK(CTS_65),
+	.D(n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]  (
+	.CLK(CTS_63),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]  (
+	.CLK(CTS_63),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]  (
+	.CLK(CTS_63),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1519_n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.SCE(FE_OFN966_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.SCE(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]  (
+	.CLK(CTS_69),
+	.D(n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]  (
+	.CLK(CTS_65),
+	.D(n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]  (
+	.CLK(CTS_63),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]  (
+	.CLK(CTS_63),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
+	.CLK(CTS_63),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
+	.CLK(CTS_63),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1519_n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]  (
+	.CLK(CTS_63),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.SCE(FE_OFN967_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]  (
+	.CLK(CTS_69),
+	.D(n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]  (
+	.CLK(CTS_65),
+	.D(n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]  (
+	.CLK(CTS_63),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
+	.CLK(CTS_63),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
+	.CLK(CTS_63),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1519_n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]  (
+	.CLK(CTS_63),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.SCE(FE_OFN970_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]  (
+	.CLK(CTS_69),
+	.D(n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]  (
+	.CLK(CTS_65),
+	.D(n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]  (
+	.CLK(CTS_63),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]  (
+	.CLK(CTS_63),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]  (
+	.CLK(CTS_63),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]  (
+	.CLK(CTS_63),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1519_n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.SCE(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]  (
+	.CLK(CTS_63),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.SCE(FE_OFN965_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]  (
+	.CLK(CTS_69),
+	.D(n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]  (
+	.CLK(CTS_66),
+	.D(n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]  (
+	.CLK(CTS_63),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
+	.CLK(CTS_63),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
+	.CLK(CTS_63),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1519_n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.SCE(FE_OFN968_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(n_8756),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8776),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8759),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN1119_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8731),
+	.SCE(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8752),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(n_8612),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]  (
+	.CLK(CTS_38),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8759),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN942_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN941_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(n_8756),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]  (
+	.CLK(CTS_38),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8759),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN943_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN944_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8759),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN956_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8731),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(n_8756),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8754),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8611),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8730),
+	.SCE(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]  (
+	.CLK(CTS_38),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN957_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(n_8756),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN950_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN951_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8756),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN934_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN935_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(n_8756),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8754),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8730),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN958_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8756),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.SCD(FE_OFN1512_n_8730),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]  (
+	.CLK(CTS_61),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.RESET_B(FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(FE_OFN1520_n_8746),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(n_8745),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]  (
+	.CLK(CTS_23),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN962_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8753),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8752),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]  (
+	.CLK(CTS_8),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8734),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(n_8758),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN952_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN953_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8753),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8752),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]  (
+	.CLK(CTS_38),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(n_8755),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8758),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN939_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]  (
+	.CLK(CTS_38),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN938_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8753),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8752),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8611),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8730),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
+	.SCD(n_8774),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8773),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8710),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8749),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8733),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8734),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8746),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(n_8758),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8757),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN960_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8753),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8752),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8611),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]  (
+	.CLK(CTS_8),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8730),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8773),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8710),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8749),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8733),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8734),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8746),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(n_8758),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8757),
+	.SCE(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN949_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8753),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8752),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(n_8755),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]  (
+	.CLK(CTS_47),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.RESET_B(FE_OFN15_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN948_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN947_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(n_8753),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8752),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(n_8755),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]  (
+	.CLK(CTS_28),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN971_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.RESET_B(FE_OFN53_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.RESET_B(FE_OFN94_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN972_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN1512_n_8730),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(n_8775),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8746),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN959_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1512_n_8730),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]  (
+	.CLK(CTS_63),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8746),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(FE_OFN1519_n_8745),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.RESET_B(FE_OFN93_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN963_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN964_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1530_n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1528_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]  (
+	.CLK(CTS_68),
+	.D(n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]  (
+	.CLK(CTS_65),
+	.D(n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]  (
+	.CLK(CTS_63),
+	.D(n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]  (
+	.CLK(CTS_68),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]  (
+	.CLK(CTS_67),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]  (
+	.CLK(CTS_64),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]  (
+	.CLK(CTS_67),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]  (
+	.CLK(CTS_67),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]  (
+	.CLK(CTS_63),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]  (
+	.CLK(CTS_68),
+	.D(n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.SCE(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]  (
+	.CLK(CTS_63),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.SCE(FE_OFN932_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1530_n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1528_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
+	.CLK(CTS_68),
+	.D(n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
+	.CLK(CTS_65),
+	.D(n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
+	.CLK(CTS_59),
+	.D(n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
+	.CLK(CTS_24),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
+	.CLK(CTS_73),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]  (
+	.CLK(CTS_67),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]  (
+	.CLK(CTS_64),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]  (
+	.CLK(CTS_67),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]  (
+	.CLK(CTS_72),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]  (
+	.CLK(CTS_68),
+	.D(n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]  (
+	.CLK(CTS_72),
+	.D(n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]  (
+	.CLK(CTS_63),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.SCE(FE_OFN933_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1530_n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1528_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]  (
+	.CLK(CTS_68),
+	.D(n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]  (
+	.CLK(CTS_65),
+	.D(n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]  (
+	.CLK(CTS_59),
+	.D(n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]  (
+	.CLK(CTS_24),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]  (
+	.CLK(CTS_67),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]  (
+	.CLK(CTS_64),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]  (
+	.CLK(CTS_67),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]  (
+	.CLK(CTS_68),
+	.D(n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.SCE(FE_OFN940_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]  (
+	.CLK(CTS_63),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.SCE(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1530_n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1528_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]  (
+	.CLK(CTS_68),
+	.D(n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]  (
+	.CLK(CTS_65),
+	.D(n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]  (
+	.CLK(CTS_63),
+	.D(n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.RESET_B(FE_OFN51_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]  (
+	.CLK(CTS_24),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]  (
+	.CLK(CTS_63),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]  (
+	.CLK(CTS_67),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]  (
+	.CLK(CTS_67),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]  (
+	.CLK(CTS_59),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]  (
+	.CLK(CTS_63),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]  (
+	.CLK(CTS_68),
+	.D(n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.SCE(FE_OFN946_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]  (
+	.CLK(CTS_72),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.SCE(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]  (
+	.CLK(CTS_68),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1530_n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1528_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.RESET_B(FE_OFN96_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]  (
+	.CLK(CTS_69),
+	.D(n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]  (
+	.CLK(CTS_24),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]  (
+	.CLK(CTS_73),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]  (
+	.CLK(CTS_59),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]  (
+	.CLK(CTS_64),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]  (
+	.CLK(CTS_67),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]  (
+	.CLK(CTS_73),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]  (
+	.CLK(CTS_23),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]  (
+	.CLK(CTS_72),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]  (
+	.CLK(CTS_61),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]  (
+	.CLK(CTS_68),
+	.D(n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.SCE(FE_OFN931_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]  (
+	.CLK(CTS_72),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.RESET_B(FE_OFN92_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.SCE(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.RESET_B(FE_OFN45_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8753),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1512_n_8730),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.RESET_B(FE_OFN100_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.RESET_B(FE_OFN91_io_out_37),
+	.SCD(n_8747),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.RESET_B(FE_OFN97_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1520_n_8746),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8745),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN954_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN955_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1516_n_8735),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.RESET_B(FE_OFN89_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1530_n_8756),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1525_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1528_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]  (
+	.CLK(CTS_69),
+	.D(FE_OFN1527_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]  (
+	.CLK(CTS_67),
+	.D(FE_OFN1526_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]  (
+	.CLK(CTS_66),
+	.D(FE_OFN1510_n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1511_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1512_n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]  (
+	.CLK(CTS_65),
+	.D(n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]  (
+	.CLK(CTS_24),
+	.D(n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]  (
+	.CLK(CTS_59),
+	.D(n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]  (
+	.CLK(CTS_73),
+	.D(n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]  (
+	.CLK(CTS_64),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]  (
+	.CLK(CTS_64),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]  (
+	.CLK(CTS_73),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1513_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1529_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1524_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1523_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]  (
+	.CLK(CTS_72),
+	.D(FE_OFN1522_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]  (
+	.CLK(CTS_60),
+	.D(FE_OFN1521_n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1518_n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]  (
+	.CLK(CTS_64),
+	.D(FE_OFN1514_n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1515_n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]  (
+	.CLK(CTS_65),
+	.D(FE_OFN1520_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]  (
+	.CLK(CTS_68),
+	.D(n_8745),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]  (
+	.CLK(CTS_62),
+	.D(FE_OFN1532_n_8759),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]  (
+	.CLK(CTS_24),
+	.D(FE_OFN1531_n_8758),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]  (
+	.CLK(CTS_67),
+	.D(FE_OFN18780_n_8757),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.SCE(FE_OFN945_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]  (
+	.CLK(CTS_59),
+	.D(FE_OFN1517_n_8736),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]  (
+	.CLK(CTS_60),
+	.D(n_8731),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.SCE(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.RESET_B(FE_OFN11_io_out_37),
+	.SCD(FE_OFN1516_n_8735),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1530_n_8756),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1525_n_8751),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1528_n_8754),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN1527_n_8753),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(FE_OFN1526_n_8752),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1510_n_8611),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1511_n_8612),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1512_n_8730),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(n_8775),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8776),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.RESET_B(FE_OFN52_io_out_37),
+	.SCD(n_8774),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8777),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8773),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(n_8710),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]  (
+	.CLK(CTS_73),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(n_8715),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.RESET_B(FE_OFN13_io_out_37),
+	.SCD(FE_OFN1513_n_8732),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1529_n_8755),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1524_n_8750),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]  (
+	.CLK(CTS_66),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1523_n_8749),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1522_n_8748),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(FE_OFN1521_n_8747),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1518_n_8737),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]  (
+	.CLK(CTS_64),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1514_n_8733),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.RESET_B(FE_OFN87_io_out_37),
+	.SCD(FE_OFN1515_n_8734),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]  (
+	.CLK(CTS_65),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.RESET_B(FE_OFN99_io_out_37),
+	.SCD(FE_OFN1520_n_8746),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.RESET_B(FE_OFN90_io_out_37),
+	.SCD(n_8745),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]  (
+	.CLK(CTS_62),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.RESET_B(FE_OFN48_io_out_37),
+	.SCD(FE_OFN1532_n_8759),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]  (
+	.CLK(CTS_24),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.RESET_B(FE_OFN43_io_out_37),
+	.SCD(FE_OFN1531_n_8758),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]  (
+	.CLK(CTS_67),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.SCD(FE_OFN18780_n_8757),
+	.SCE(FE_OFN937_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.RESET_B(FE_OFN95_io_out_37),
+	.SCD(FE_OFN1517_n_8736),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.RESET_B(FE_OFN50_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN936_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_top_u_core_id_stage_i_id_fsm_q_reg (
+	.CLK(CTS_92),
+	.D(n_5888),
+	.Q(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(n_15891),
+	.SCE(u_soc_u_top_u_core_id_stage_i_id_fsm_q), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][0]  (
+	.CLK(CTS_85),
+	.D(n_8723),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][1]  (
+	.CLK(CTS_85),
+	.D(n_7928),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][2]  (
+	.CLK(CTS_79),
+	.D(n_7677),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][3]  (
+	.CLK(CTS_79),
+	.D(n_7704),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][4]  (
+	.CLK(CTS_79),
+	.D(n_7676),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][5]  (
+	.CLK(CTS_79),
+	.D(n_7681),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][6]  (
+	.CLK(CTS_79),
+	.D(n_7603),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][7]  (
+	.CLK(CTS_79),
+	.D(n_7675),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][8]  (
+	.CLK(CTS_79),
+	.D(n_7674),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][9]  (
+	.CLK(CTS_79),
+	.D(n_7673),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][10]  (
+	.CLK(CTS_79),
+	.D(n_7672),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][11]  (
+	.CLK(CTS_79),
+	.D(n_7671),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][12]  (
+	.CLK(CTS_79),
+	.D(n_7670),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][13]  (
+	.CLK(CTS_79),
+	.D(n_7669),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][14]  (
+	.CLK(CTS_79),
+	.D(n_7668),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][15]  (
+	.CLK(CTS_79),
+	.D(n_7667),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][16]  (
+	.CLK(CTS_80),
+	.D(n_7710),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][17]  (
+	.CLK(CTS_80),
+	.D(n_7738),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][18]  (
+	.CLK(CTS_80),
+	.D(n_7688),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][19]  (
+	.CLK(CTS_80),
+	.D(n_7735),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][20]  (
+	.CLK(CTS_80),
+	.D(n_7680),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][21]  (
+	.CLK(CTS_80),
+	.D(n_7722),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][22]  (
+	.CLK(CTS_80),
+	.D(n_7666),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][23]  (
+	.CLK(CTS_80),
+	.D(n_7706),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][24]  (
+	.CLK(CTS_80),
+	.D(n_7665),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][25]  (
+	.CLK(CTS_80),
+	.D(n_7697),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][26]  (
+	.CLK(CTS_80),
+	.D(n_7664),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][27]  (
+	.CLK(CTS_80),
+	.D(n_7678),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][28]  (
+	.CLK(CTS_80),
+	.D(n_7663),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.RESET_B(FE_OFN143_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][29]  (
+	.CLK(CTS_80),
+	.D(n_7662),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][30]  (
+	.CLK(CTS_79),
+	.D(n_7661),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][31]  (
+	.CLK(CTS_79),
+	.D(n_7660),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.RESET_B(FE_OFN141_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][32]  (
+	.CLK(CTS_85),
+	.D(n_15917),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [32]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][0]  (
+	.CLK(CTS_85),
+	.D(n_6543),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [0]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][1]  (
+	.CLK(CTS_85),
+	.D(n_6354),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [1]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][2]  (
+	.CLK(CTS_85),
+	.D(n_6310),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [2]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][3]  (
+	.CLK(CTS_85),
+	.D(n_6308),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [3]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][4]  (
+	.CLK(CTS_85),
+	.D(n_6542),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [4]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][5]  (
+	.CLK(CTS_85),
+	.D(n_6309),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [5]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][6]  (
+	.CLK(CTS_85),
+	.D(n_6541),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [6]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][7]  (
+	.CLK(CTS_79),
+	.D(n_6540),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [7]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][8]  (
+	.CLK(CTS_79),
+	.D(n_6539),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [8]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][9]  (
+	.CLK(CTS_79),
+	.D(n_6538),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [9]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][10]  (
+	.CLK(CTS_79),
+	.D(n_6537),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [10]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][11]  (
+	.CLK(CTS_79),
+	.D(n_6536),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [11]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][12]  (
+	.CLK(CTS_79),
+	.D(n_6544),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [12]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][13]  (
+	.CLK(CTS_79),
+	.D(n_6535),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [13]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][14]  (
+	.CLK(CTS_79),
+	.D(n_6534),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [14]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][15]  (
+	.CLK(CTS_79),
+	.D(n_6533),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [15]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][16]  (
+	.CLK(CTS_80),
+	.D(n_6532),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [16]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][17]  (
+	.CLK(CTS_80),
+	.D(n_6531),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [17]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][18]  (
+	.CLK(CTS_80),
+	.D(n_6530),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [18]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][19]  (
+	.CLK(CTS_80),
+	.D(n_6529),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [19]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][20]  (
+	.CLK(CTS_80),
+	.D(n_6528),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [20]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][21]  (
+	.CLK(CTS_80),
+	.D(n_6527),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [21]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][22]  (
+	.CLK(CTS_80),
+	.D(n_6526),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [22]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][23]  (
+	.CLK(CTS_80),
+	.D(n_6525),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [23]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][24]  (
+	.CLK(CTS_80),
+	.D(n_6524),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [24]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][25]  (
+	.CLK(CTS_80),
+	.D(n_6523),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [25]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][26]  (
+	.CLK(CTS_80),
+	.D(n_6522),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [26]),
+	.RESET_B(FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][27]  (
+	.CLK(CTS_80),
+	.D(n_6521),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [27]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][28]  (
+	.CLK(CTS_80),
+	.D(n_6520),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [28]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][29]  (
+	.CLK(CTS_79),
+	.D(n_6519),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [29]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][30]  (
+	.CLK(CTS_79),
+	.D(n_6518),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [30]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][31]  (
+	.CLK(CTS_85),
+	.D(n_6517),
+	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [31]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[0]  (
+	.CLK(CTS_94),
+	.D(n_6678),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[1]  (
+	.CLK(CTS_94),
+	.D(n_6691),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q_reg  (
+	.CLK(CTS_81),
+	.D(n_1455),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[2]  (
+	.CLK(CTS_81),
+	.D(n_2672),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[3]  (
+	.CLK(CTS_81),
+	.D(n_5147),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[4]  (
+	.CLK(CTS_81),
+	.D(n_6368),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[5]  (
+	.CLK(CTS_81),
+	.D(n_7200),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[6]  (
+	.CLK(CTS_81),
+	.D(n_7658),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[7]  (
+	.CLK(CTS_81),
+	.D(n_8300),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[8]  (
+	.CLK(CTS_81),
+	.D(n_8593),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[9]  (
+	.CLK(CTS_81),
+	.D(n_8718),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[10]  (
+	.CLK(CTS_81),
+	.D(n_8779),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[11]  (
+	.CLK(CTS_81),
+	.D(n_8783),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
+	.SCE(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]  (
+	.CLK(CTS_81),
+	.D(n_7643),
+	.Q(u_soc_u_top_u_core_pc_if[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]  (
+	.CLK(CTS_81),
+	.D(n_7604),
+	.Q(u_soc_u_top_u_core_pc_if[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]  (
+	.CLK(CTS_81),
+	.D(n_7644),
+	.Q(u_soc_u_top_u_core_pc_if[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]  (
+	.CLK(CTS_81),
+	.D(n_7605),
+	.Q(u_soc_u_top_u_core_pc_if[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]  (
+	.CLK(CTS_81),
+	.D(n_7645),
+	.Q(u_soc_u_top_u_core_pc_if[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]  (
+	.CLK(CTS_81),
+	.D(n_7573),
+	.Q(u_soc_u_top_u_core_pc_if[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]  (
+	.CLK(CTS_81),
+	.D(n_7646),
+	.Q(u_soc_u_top_u_core_pc_if[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]  (
+	.CLK(CTS_81),
+	.D(n_7570),
+	.Q(u_soc_u_top_u_core_pc_if[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]  (
+	.CLK(CTS_82),
+	.D(n_7647),
+	.Q(u_soc_u_top_u_core_pc_if[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]  (
+	.CLK(CTS_82),
+	.D(n_7648),
+	.Q(u_soc_u_top_u_core_pc_if[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]  (
+	.CLK(CTS_92),
+	.D(n_7871),
+	.Q(u_soc_u_top_u_core_pc_if[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]  (
+	.CLK(CTS_92),
+	.D(n_7870),
+	.Q(u_soc_u_top_u_core_pc_if[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]  (
+	.CLK(CTS_87),
+	.D(n_7869),
+	.Q(u_soc_u_top_u_core_pc_if[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]  (
+	.CLK(CTS_87),
+	.D(n_7868),
+	.Q(u_soc_u_top_u_core_pc_if[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]  (
+	.CLK(CTS_87),
+	.D(n_7867),
+	.Q(u_soc_u_top_u_core_pc_if[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]  (
+	.CLK(CTS_87),
+	.D(n_7866),
+	.Q(u_soc_u_top_u_core_pc_if[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]  (
+	.CLK(CTS_87),
+	.D(n_7865),
+	.Q(u_soc_u_top_u_core_pc_if[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]  (
+	.CLK(CTS_87),
+	.D(n_7864),
+	.Q(u_soc_u_top_u_core_pc_if[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]  (
+	.CLK(CTS_87),
+	.D(n_7863),
+	.Q(u_soc_u_top_u_core_pc_if[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_7862),
+	.Q(u_soc_u_top_u_core_pc_if[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(n_7861),
+	.Q(u_soc_u_top_u_core_pc_if[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(n_7860),
+	.Q(u_soc_u_top_u_core_pc_if[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(n_7859),
+	.Q(u_soc_u_top_u_core_pc_if[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(n_7858),
+	.Q(u_soc_u_top_u_core_pc_if[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(n_7857),
+	.Q(u_soc_u_top_u_core_pc_if[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]  (
+	.CLK(CTS_87),
+	.D(n_7856),
+	.Q(u_soc_u_top_u_core_pc_if[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]  (
+	.CLK(CTS_87),
+	.D(n_7855),
+	.Q(u_soc_u_top_u_core_pc_if[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]  (
+	.CLK(CTS_87),
+	.D(n_7847),
+	.Q(u_soc_u_top_u_core_pc_if[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]  (
+	.CLK(CTS_87),
+	.D(n_7854),
+	.Q(u_soc_u_top_u_core_pc_if[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]  (
+	.CLK(CTS_87),
+	.D(n_7853),
+	.Q(u_soc_u_top_u_core_pc_if[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]  (
+	.CLK(CTS_94),
+	.D(n_8352),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]  (
+	.CLK(CTS_94),
+	.D(n_8346),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]  (
+	.CLK(CTS_94),
+	.D(n_8227),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]  (
+	.CLK(CTS_94),
+	.D(n_8226),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]  (
+	.CLK(CTS_94),
+	.D(n_8225),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]  (
+	.CLK(CTS_94),
+	.D(n_8224),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]  (
+	.CLK(CTS_94),
+	.D(n_8223),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]  (
+	.CLK(CTS_94),
+	.D(n_8222),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]  (
+	.CLK(CTS_94),
+	.D(n_8205),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]  (
+	.CLK(CTS_94),
+	.D(n_8204),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]  (
+	.CLK(CTS_88),
+	.D(n_8203),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]  (
+	.CLK(CTS_94),
+	.D(n_8202),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]  (
+	.CLK(CTS_88),
+	.D(n_8201),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]  (
+	.CLK(CTS_88),
+	.D(n_8200),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]  (
+	.CLK(CTS_88),
+	.D(n_8199),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]  (
+	.CLK(CTS_88),
+	.D(n_8198),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]  (
+	.CLK(CTS_94),
+	.D(n_8347),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]  (
+	.CLK(CTS_94),
+	.D(n_8348),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]  (
+	.CLK(CTS_94),
+	.D(n_8221),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]  (
+	.CLK(CTS_94),
+	.D(n_8220),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]  (
+	.CLK(CTS_94),
+	.D(n_8219),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]  (
+	.CLK(CTS_94),
+	.D(n_8218),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]  (
+	.CLK(CTS_94),
+	.D(n_8217),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]  (
+	.CLK(CTS_94),
+	.D(n_8216),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]  (
+	.CLK(CTS_94),
+	.D(n_8197),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]  (
+	.CLK(CTS_94),
+	.D(n_8196),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]  (
+	.CLK(CTS_88),
+	.D(n_8195),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]  (
+	.CLK(CTS_94),
+	.D(n_8194),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]  (
+	.CLK(CTS_88),
+	.D(n_8193),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]  (
+	.CLK(CTS_88),
+	.D(n_8192),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]  (
+	.CLK(CTS_88),
+	.D(n_8191),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]  (
+	.CLK(CTS_88),
+	.D(n_8190),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]  (
+	.CLK(CTS_94),
+	.D(n_8349),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]  (
+	.CLK(CTS_94),
+	.D(n_8350),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]  (
+	.CLK(CTS_94),
+	.D(n_8245),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]  (
+	.CLK(CTS_94),
+	.D(n_8244),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]  (
+	.CLK(CTS_94),
+	.D(n_8243),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]  (
+	.CLK(CTS_94),
+	.D(n_8293),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]  (
+	.CLK(CTS_94),
+	.D(n_8292),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]  (
+	.CLK(CTS_94),
+	.D(n_8240),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]  (
+	.CLK(CTS_94),
+	.D(n_8189),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]  (
+	.CLK(CTS_94),
+	.D(n_8188),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]  (
+	.CLK(CTS_88),
+	.D(n_8187),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]  (
+	.CLK(CTS_94),
+	.D(n_8186),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]  (
+	.CLK(CTS_88),
+	.D(n_8185),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]  (
+	.CLK(CTS_88),
+	.D(n_8184),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]  (
+	.CLK(CTS_94),
+	.D(n_8183),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]  (
+	.CLK(CTS_94),
+	.D(n_8182),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]  (
+	.CLK(CTS_94),
+	.D(n_8351),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]  (
+	.CLK(CTS_94),
+	.D(n_8345),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]  (
+	.CLK(CTS_94),
+	.D(n_8239),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]  (
+	.CLK(CTS_94),
+	.D(n_8238),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]  (
+	.CLK(CTS_94),
+	.D(n_8291),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]  (
+	.CLK(CTS_94),
+	.D(n_8236),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]  (
+	.CLK(CTS_94),
+	.D(n_8235),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]  (
+	.CLK(CTS_94),
+	.D(n_8234),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]  (
+	.CLK(CTS_94),
+	.D(n_8290),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]  (
+	.CLK(CTS_94),
+	.D(n_8179),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]  (
+	.CLK(CTS_88),
+	.D(n_8178),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]  (
+	.CLK(CTS_94),
+	.D(n_8177),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]  (
+	.CLK(CTS_88),
+	.D(n_8176),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]  (
+	.CLK(CTS_88),
+	.D(n_8175),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]  (
+	.CLK(CTS_88),
+	.D(n_8174),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]  (
+	.CLK(CTS_88),
+	.D(n_8173),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.SCD(\u_soc_iccm_to_xbar[d_data] [0]),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.SCD(\u_soc_iccm_to_xbar[d_data] [1]),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.SCD(n_3012),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.SCD(n_2988),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.SCD(n_2990),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.SCD(n_2993),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.SCD(n_2995),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.SCD(n_2996),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.SCD(n_5825),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.SCD(n_5827),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.SCD(n_5829),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.SCD(n_5831),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.SCD(n_5833),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.SCD(n_5835),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.SCD(n_5837),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.SCD(n_5839),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.SCD(\u_soc_iccm_to_xbar[d_data] [16]),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.SCD(\u_soc_iccm_to_xbar[d_data] [17]),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.SCD(n_2998),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.SCD(n_3000),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.SCD(n_3005),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.SCD(n_3010),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.SCD(n_3006),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.SCD(n_3008),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.SCD(n_5842),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.SCD(n_5843),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.SCD(n_5845),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]  (
+	.CLK(CTS_94),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.SCD(n_5847),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.SCD(n_5849),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.SCD(n_5851),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.SCD(n_5853),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]  (
+	.CLK(CTS_88),
+	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.SCD(n_5855),
+	.SCE(FE_OFN988_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[0]  (
+	.CLK(CTS_94),
+	.D(n_5631),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[1]  (
+	.CLK(CTS_94),
+	.D(n_5897),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[2]  (
+	.CLK(CTS_81),
+	.D(n_6516),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[3]  (
+	.CLK(CTS_81),
+	.D(n_6515),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[4]  (
+	.CLK(CTS_81),
+	.D(n_6514),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[5]  (
+	.CLK(CTS_81),
+	.D(n_6513),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[6]  (
+	.CLK(CTS_81),
+	.D(n_6512),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[7]  (
+	.CLK(CTS_81),
+	.D(n_6511),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[8]  (
+	.CLK(CTS_81),
+	.D(n_6510),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[9]  (
+	.CLK(CTS_81),
+	.D(n_6509),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[10]  (
+	.CLK(CTS_81),
+	.D(\u_soc_ifu_to_xbar[a_address] [10]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
+	.SCE(n_3083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[11]  (
+	.CLK(CTS_81),
+	.D(\u_soc_ifu_to_xbar[a_address] [11]),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
+	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
+	.SCE(n_3083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 u_soc_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg (
+	.CLK(CTS_81),
+	.D(n_8676),
+	.Q(u_soc_u_top_u_core_illegal_c_insn_id),
+	.SCD(u_soc_u_top_u_core_illegal_c_insn_id),
+	.SCE(FE_OFN18321_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 u_soc_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg (
+	.CLK(CTS_81),
+	.D(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.Q(u_soc_u_top_u_core_instr_is_compressed_id),
+	.SCD(u_soc_u_top_u_core_instr_is_compressed_id),
+	.SCE(FE_OFN18219_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
+	.CLK(CTS_81),
+	.D(n_8115),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_8433),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
+	.CLK(CTS_94),
+	.D(n_15906),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3]  (
+	.CLK(CTS_88),
+	.D(n_8521),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
+	.CLK(CTS_81),
+	.D(n_8720),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
+	.CLK(CTS_81),
+	.D(n_8704),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
+	.CLK(CTS_88),
+	.D(n_8601),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]  (
+	.CLK(CTS_81),
+	.D(n_8659),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]  (
+	.CLK(CTS_81),
+	.D(n_8654),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]  (
+	.CLK(CTS_81),
+	.D(n_8540),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]  (
+	.CLK(CTS_81),
+	.D(n_8768),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
+	.CLK(CTS_88),
+	.D(n_8763),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
+	.CLK(CTS_88),
+	.D(n_8663),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
+	.CLK(CTS_88),
+	.D(n_8760),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
+	.CLK(CTS_88),
+	.D(n_8724),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
+	.CLK(CTS_88),
+	.D(n_8604),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]  (
+	.CLK(CTS_82),
+	.D(n_8596),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0]  (
+	.CLK(CTS_81),
+	.D(n_7156),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_7155),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2]  (
+	.CLK(CTS_81),
+	.D(n_5952),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[2]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[2]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3]  (
+	.CLK(CTS_82),
+	.D(n_5963),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[3]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[3]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4]  (
+	.CLK(CTS_88),
+	.D(n_5965),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[4]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[4]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5]  (
+	.CLK(CTS_88),
+	.D(n_5954),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[5]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[5]),
+	.SCE(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6]  (
+	.CLK(CTS_88),
+	.D(n_5946),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[6]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[6]),
+	.SCE(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7]  (
+	.CLK(CTS_88),
+	.D(n_5973),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[7]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[7]),
+	.SCE(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8]  (
+	.CLK(CTS_88),
+	.D(n_6870),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[8]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[8]),
+	.SCE(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9]  (
+	.CLK(CTS_88),
+	.D(n_6873),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[9]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[9]),
+	.SCE(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10]  (
+	.CLK(CTS_88),
+	.D(n_6866),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[10]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[10]),
+	.SCE(FE_OFN18320_n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11]  (
+	.CLK(CTS_88),
+	.D(n_6864),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[11]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[11]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12]  (
+	.CLK(CTS_81),
+	.D(n_6856),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[12]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[12]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13]  (
+	.CLK(CTS_82),
+	.D(n_6862),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[13]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[13]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14]  (
+	.CLK(CTS_82),
+	.D(n_6858),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[14]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[14]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15]  (
+	.CLK(CTS_82),
+	.D(n_6860),
+	.Q(u_soc_u_top_u_core_instr_rdata_c_id[15]),
+	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[15]),
+	.SCE(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7]  (
+	.CLK(CTS_88),
+	.D(n_8586),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8]  (
+	.CLK(CTS_88),
+	.D(n_8368),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9]  (
+	.CLK(CTS_94),
+	.D(n_8511),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10]  (
+	.CLK(CTS_94),
+	.D(n_8703),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11]  (
+	.CLK(CTS_81),
+	.D(n_8656),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[1]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_pc_if[1]),
+	.Q(u_soc_u_top_u_core_pc_id[1]),
+	.SCD(u_soc_u_top_u_core_pc_id[1]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[2]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_pc_if[2]),
+	.Q(u_soc_u_top_u_core_pc_id[2]),
+	.SCD(u_soc_u_top_u_core_pc_id[2]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[3]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[3]),
+	.Q(u_soc_u_top_u_core_pc_id[3]),
+	.SCD(u_soc_u_top_u_core_pc_id[3]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[4]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[4]),
+	.Q(u_soc_u_top_u_core_pc_id[4]),
+	.SCD(u_soc_u_top_u_core_pc_id[4]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[5]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[5]),
+	.Q(u_soc_u_top_u_core_pc_id[5]),
+	.SCD(u_soc_u_top_u_core_pc_id[5]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[6]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[6]),
+	.Q(u_soc_u_top_u_core_pc_id[6]),
+	.SCD(u_soc_u_top_u_core_pc_id[6]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[7]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[7]),
+	.Q(u_soc_u_top_u_core_pc_id[7]),
+	.SCD(u_soc_u_top_u_core_pc_id[7]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[8]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[8]),
+	.Q(u_soc_u_top_u_core_pc_id[8]),
+	.SCD(u_soc_u_top_u_core_pc_id[8]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[9]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[9]),
+	.Q(u_soc_u_top_u_core_pc_id[9]),
+	.SCD(u_soc_u_top_u_core_pc_id[9]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[10]  (
+	.CLK(CTS_82),
+	.D(u_soc_u_top_u_core_pc_if[10]),
+	.Q(u_soc_u_top_u_core_pc_id[10]),
+	.SCD(u_soc_u_top_u_core_pc_id[10]),
+	.SCE(FE_OFN999_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[11]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_pc_if[11]),
+	.Q(u_soc_u_top_u_core_pc_id[11]),
+	.SCD(u_soc_u_top_u_core_pc_id[11]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[12]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_pc_if[12]),
+	.Q(u_soc_u_top_u_core_pc_id[12]),
+	.SCD(u_soc_u_top_u_core_pc_id[12]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[13]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_pc_if[13]),
+	.Q(u_soc_u_top_u_core_pc_id[13]),
+	.SCD(u_soc_u_top_u_core_pc_id[13]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[14]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_pc_if[14]),
+	.Q(u_soc_u_top_u_core_pc_id[14]),
+	.SCD(u_soc_u_top_u_core_pc_id[14]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[15]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[15]),
+	.Q(u_soc_u_top_u_core_pc_id[15]),
+	.SCD(u_soc_u_top_u_core_pc_id[15]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[16]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[16]),
+	.Q(u_soc_u_top_u_core_pc_id[16]),
+	.SCD(u_soc_u_top_u_core_pc_id[16]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[17]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[17]),
+	.Q(u_soc_u_top_u_core_pc_id[17]),
+	.SCD(u_soc_u_top_u_core_pc_id[17]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[18]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[18]),
+	.Q(u_soc_u_top_u_core_pc_id[18]),
+	.SCD(u_soc_u_top_u_core_pc_id[18]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[19]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[19]),
+	.Q(u_soc_u_top_u_core_pc_id[19]),
+	.SCD(u_soc_u_top_u_core_pc_id[19]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[20]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[20]),
+	.Q(u_soc_u_top_u_core_pc_id[20]),
+	.SCD(u_soc_u_top_u_core_pc_id[20]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[21]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[21]),
+	.Q(u_soc_u_top_u_core_pc_id[21]),
+	.SCD(u_soc_u_top_u_core_pc_id[21]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[22]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_pc_if[22]),
+	.Q(u_soc_u_top_u_core_pc_id[22]),
+	.SCD(u_soc_u_top_u_core_pc_id[22]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[23]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_pc_if[23]),
+	.Q(u_soc_u_top_u_core_pc_id[23]),
+	.SCD(u_soc_u_top_u_core_pc_id[23]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[24]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_pc_if[24]),
+	.Q(u_soc_u_top_u_core_pc_id[24]),
+	.SCD(u_soc_u_top_u_core_pc_id[24]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[25]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_pc_if[25]),
+	.Q(u_soc_u_top_u_core_pc_id[25]),
+	.SCD(u_soc_u_top_u_core_pc_id[25]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[26]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_pc_if[26]),
+	.Q(u_soc_u_top_u_core_pc_id[26]),
+	.SCD(u_soc_u_top_u_core_pc_id[26]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[27]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[27]),
+	.Q(u_soc_u_top_u_core_pc_id[27]),
+	.SCD(u_soc_u_top_u_core_pc_id[27]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[28]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[28]),
+	.Q(u_soc_u_top_u_core_pc_id[28]),
+	.SCD(u_soc_u_top_u_core_pc_id[28]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[29]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[29]),
+	.Q(u_soc_u_top_u_core_pc_id[29]),
+	.SCD(u_soc_u_top_u_core_pc_id[29]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[30]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[30]),
+	.Q(u_soc_u_top_u_core_pc_id[30]),
+	.SCD(u_soc_u_top_u_core_pc_id[30]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[31]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_pc_if[31]),
+	.Q(u_soc_u_top_u_core_pc_id[31]),
+	.SCD(u_soc_u_top_u_core_pc_id[31]),
+	.SCE(FE_OFN18367_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[0]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[0]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN18279_n_16012),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[1]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[1]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[2]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[2]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[3]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[3]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[4]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[4]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[5]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[5]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[6]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[6]),
+	.RESET_B(FE_OFN88_io_out_37),
+	.SCD(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[7]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[7]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[8]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[8]),
+	.RESET_B(FE_OFN85_io_out_37),
+	.SCD(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]  (
+	.CLK(CTS_79),
+	.D(u_soc_u_top_u_core_lsu_addr_last[9]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[9]),
+	.RESET_B(FE_OFN141_io_out_37),
+	.SCD(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]  (
+	.CLK(CTS_79),
+	.D(u_soc_u_top_u_core_lsu_addr_last[10]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[10]),
+	.RESET_B(FE_OFN141_io_out_37),
+	.SCD(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[11]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[11]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[12]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[12]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[13]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[13]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [13]),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[14]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[14]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[15]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[15]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_OFN762_u_soc_lsu_to_xbar_a_address__15),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[16]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[16]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(\u_soc_lsu_to_xbar[a_address] [16]),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[17]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[17]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN18360_n),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[18]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[18]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[19]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[19]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[20]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[20]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[21]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[21]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[22]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[22]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[23]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[23]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[24]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[24]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[25]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[25]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[26]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[26]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]  (
+	.CLK(CTS_93),
+	.D(u_soc_u_top_u_core_lsu_addr_last[27]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[27]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[28]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[28]),
+	.RESET_B(FE_OFN81_io_out_37),
+	.SCD(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[29]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[29]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]  (
+	.CLK(CTS_87),
+	.D(u_soc_u_top_u_core_lsu_addr_last[30]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[30]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_PSN4663_FE_OFN18361_n),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]  (
+	.CLK(CTS_92),
+	.D(u_soc_u_top_u_core_lsu_addr_last[31]),
+	.Q(u_soc_u_top_u_core_lsu_addr_last[31]),
+	.RESET_B(FE_OFN82_io_out_37),
+	.SCD(FE_OFN18195_u_soc_lsu_to_xbar_a_address__31),
+	.SCE(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(u_soc_u_top_u_core_lsu_sign_ext),
+	.SCE(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[0]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_lsu_type[0]),
+	.SCE(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[1]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_lsu_type[1]),
+	.SCE(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_top_u_core_load_store_unit_i_data_we_q_reg (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_data_we_q),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_data_we_q),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN1142_u_soc_u_top_data_we),
+	.SCE(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_n_937),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN18279_n_16012),
+	.SCE(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.SCE(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[8]  (
+	.CLK(CTS_85),
+	.D(n_7800),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[9]  (
+	.CLK(CTS_85),
+	.D(n_7263),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[10]  (
+	.CLK(CTS_85),
+	.D(n_7801),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[11]  (
+	.CLK(CTS_85),
+	.D(n_7802),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[12]  (
+	.CLK(CTS_85),
+	.D(n_7258),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[13]  (
+	.CLK(CTS_85),
+	.D(n_7804),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[14]  (
+	.CLK(CTS_85),
+	.D(n_7269),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[15]  (
+	.CLK(CTS_85),
+	.D(n_7797),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[16]  (
+	.CLK(CTS_85),
+	.D(n_7264),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[17]  (
+	.CLK(CTS_85),
+	.D(n_7262),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[18]  (
+	.CLK(CTS_85),
+	.D(n_7260),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[19]  (
+	.CLK(CTS_85),
+	.D(n_7259),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[20]  (
+	.CLK(CTS_85),
+	.D(n_7261),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[21]  (
+	.CLK(CTS_85),
+	.D(n_7266),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[22]  (
+	.CLK(CTS_85),
+	.D(n_7267),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[23]  (
+	.CLK(CTS_85),
+	.D(n_7268),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[24]  (
+	.CLK(CTS_85),
+	.D(n_7974),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[25]  (
+	.CLK(CTS_85),
+	.D(n_7975),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[26]  (
+	.CLK(CTS_85),
+	.D(n_7976),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[27]  (
+	.CLK(CTS_85),
+	.D(n_7272),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[28]  (
+	.CLK(CTS_85),
+	.D(n_7978),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[29]  (
+	.CLK(CTS_85),
+	.D(n_7979),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[30]  (
+	.CLK(CTS_85),
+	.D(n_7973),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[31]  (
+	.CLK(CTS_85),
+	.D(n_7969),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.SCE(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]  (
+	.CLK(CTS_81),
+	.D(u_soc_u_top_u_core_instr_rdata_id[7]),
+	.Q(u_soc_u_top_u_core_rf_waddr_wb[0]),
+	.SCD(FE_COEN4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_4 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
+	.CLK(CTS_81),
+	.D(u_soc_u_top_u_core_instr_rdata_id[8]),
+	.Q(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.SCD(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]  (
+	.CLK(CTS_81),
+	.D(u_soc_u_top_u_core_instr_rdata_id[9]),
+	.Q(u_soc_u_top_u_core_rf_waddr_wb[2]),
+	.SCD(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_4 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
+	.CLK(CTS_81),
+	.D(u_soc_u_top_u_core_instr_rdata_id[10]),
+	.Q(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.SCD(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_4 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]  (
+	.CLK(CTS_81),
+	.D(u_soc_u_top_u_core_instr_rdata_id[11]),
+	.Q(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.SCD(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_8232),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_8517),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_8651),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_8719),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]  (
+	.CLK(CTS_92),
+	.D(n_8487),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]  (
+	.CLK(CTS_92),
+	.D(n_8515),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]  (
+	.CLK(CTS_92),
+	.D(n_8516),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]  (
+	.CLK(CTS_92),
+	.D(n_8652),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]  (
+	.CLK(CTS_92),
+	.D(n_8705),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]  (
+	.CLK(CTS_79),
+	.D(n_8542),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]  (
+	.CLK(CTS_79),
+	.D(n_8532),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]  (
+	.CLK(CTS_79),
+	.D(n_8744),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]  (
+	.CLK(CTS_79),
+	.D(n_8646),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]  (
+	.CLK(CTS_79),
+	.D(n_8706),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]  (
+	.CLK(CTS_79),
+	.D(n_8409),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]  (
+	.CLK(CTS_79),
+	.D(n_8408),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
+	.CLK(CTS_79),
+	.D(n_8508),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]  (
+	.CLK(CTS_80),
+	.D(n_8696),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]  (
+	.CLK(CTS_80),
+	.D(n_8507),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]  (
+	.CLK(CTS_80),
+	.D(n_8506),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]  (
+	.CLK(CTS_80),
+	.D(n_8694),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]  (
+	.CLK(CTS_80),
+	.D(n_8695),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]  (
+	.CLK(CTS_80),
+	.D(n_8505),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]  (
+	.CLK(CTS_80),
+	.D(n_8504),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]  (
+	.CLK(CTS_87),
+	.D(n_8413),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]  (
+	.CLK(CTS_80),
+	.D(n_8425),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]  (
+	.CLK(CTS_80),
+	.D(n_8431),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]  (
+	.CLK(CTS_80),
+	.D(n_8594),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]  (
+	.CLK(CTS_80),
+	.D(n_8430),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]  (
+	.CLK(CTS_80),
+	.D(n_8595),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]  (
+	.CLK(CTS_79),
+	.D(n_8603),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]  (
+	.CLK(CTS_92),
+	.D(n_8514),
+	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg  (
+	.CLK(CTS_81),
+	.D(n_1937),
+	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.SCD(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg  (
+	.CLK(CTS_81),
+	.D(n_1686),
+	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.SCD(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.SCE(FE_OFN19534_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
+	.CLK(CTS_81),
+	.D(n_1671),
+	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg  (
+	.CLK(CTS_81),
+	.D(n_1191),
+	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_reg_if_outstanding_reg (
+	.CLK(CTS_46),
+	.D(n_7),
+	.Q(\u_soc_uart_to_xbar[d_valid] ),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(\u_soc_uart_to_xbar[d_valid] ),
+	.SCE(n_1168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_25),
+	.D(n_5165),
+	.Q(\u_soc_uart_to_xbar[d_data] [0]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_25),
+	.D(n_3034),
+	.Q(\u_soc_uart_to_xbar[d_data] [1]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_25),
+	.D(n_3039),
+	.Q(\u_soc_uart_to_xbar[d_data] [2]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_25),
+	.D(n_3033),
+	.Q(\u_soc_uart_to_xbar[d_data] [3]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_25),
+	.D(n_3038),
+	.Q(\u_soc_uart_to_xbar[d_data] [4]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_25),
+	.D(n_3037),
+	.Q(\u_soc_uart_to_xbar[d_data] [5]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_25),
+	.D(n_3036),
+	.Q(\u_soc_uart_to_xbar[d_data] [6]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_25),
+	.D(n_2938),
+	.Q(\u_soc_uart_to_xbar[d_data] [7]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_44),
+	.D(n_3035),
+	.Q(\u_soc_uart_to_xbar[d_data] [8]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_43),
+	.D(n_1313),
+	.Q(\u_soc_uart_to_xbar[d_data] [9]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_30),
+	.D(n_1364),
+	.Q(\u_soc_uart_to_xbar[d_data] [10]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_30),
+	.D(n_1331),
+	.Q(\u_soc_uart_to_xbar[d_data] [11]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_30),
+	.D(n_1319),
+	.Q(\u_soc_uart_to_xbar[d_data] [12]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_30),
+	.D(n_1338),
+	.Q(\u_soc_uart_to_xbar[d_data] [13]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_30),
+	.D(n_1321),
+	.Q(\u_soc_uart_to_xbar[d_data] [14]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_30),
+	.D(n_1339),
+	.Q(\u_soc_uart_to_xbar[d_data] [15]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_44),
+	.D(n_1371),
+	.Q(\u_soc_uart_to_xbar[d_data] [16]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_25),
+	.D(n_1379),
+	.Q(\u_soc_uart_to_xbar[d_data] [17]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_25),
+	.D(n_1353),
+	.Q(\u_soc_uart_to_xbar[d_data] [18]),
+	.RESET_B(FE_OFN16_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_25),
+	.D(n_1318),
+	.Q(\u_soc_uart_to_xbar[d_data] [19]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_25),
+	.D(n_1332),
+	.Q(\u_soc_uart_to_xbar[d_data] [20]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_25),
+	.D(n_1317),
+	.Q(\u_soc_uart_to_xbar[d_data] [21]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_25),
+	.D(n_1308),
+	.Q(\u_soc_uart_to_xbar[d_data] [22]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_25),
+	.D(n_1359),
+	.Q(\u_soc_uart_to_xbar[d_data] [23]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_30),
+	.D(n_1310),
+	.Q(\u_soc_uart_to_xbar[d_data] [24]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_30),
+	.D(n_1316),
+	.Q(\u_soc_uart_to_xbar[d_data] [25]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_43),
+	.D(n_1334),
+	.Q(\u_soc_uart_to_xbar[d_data] [26]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_30),
+	.D(n_1383),
+	.Q(\u_soc_uart_to_xbar[d_data] [27]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_43),
+	.D(n_1322),
+	.Q(\u_soc_uart_to_xbar[d_data] [28]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_30),
+	.D(n_1309),
+	.Q(\u_soc_uart_to_xbar[d_data] [29]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_43),
+	.D(n_1312),
+	.Q(\u_soc_uart_to_xbar[d_data] [30]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_43),
+	.D(n_1328),
+	.Q(\u_soc_uart_to_xbar[d_data] [31]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_46),
+	.D(n_640),
+	.Q(\u_soc_uart_to_xbar[d_opcode] [0]),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(\u_soc_uart_to_xbar[d_opcode] [0]),
+	.SCE(n_13558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[0]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[0]),
+	.Q(u_soc_u_uart_u_uart_core_control[0]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [0]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[1]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[1]),
+	.Q(u_soc_u_uart_u_uart_core_control[1]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [1]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[2]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[2]),
+	.Q(u_soc_u_uart_u_uart_core_control[2]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [2]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[3]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[3]),
+	.Q(u_soc_u_uart_u_uart_core_control[3]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [3]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[4]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[4]),
+	.Q(u_soc_u_uart_u_uart_core_control[4]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [4]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[5]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[5]),
+	.Q(u_soc_u_uart_u_uart_core_control[5]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [5]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[6]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[6]),
+	.Q(u_soc_u_uart_u_uart_core_control[6]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [6]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[7]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[7]),
+	.Q(u_soc_u_uart_u_uart_core_control[7]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[8]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[8]),
+	.Q(u_soc_u_uart_u_uart_core_control[8]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [8]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[9]  (
+	.CLK(CTS_71),
+	.D(u_soc_u_uart_u_uart_core_control[9]),
+	.Q(u_soc_u_uart_u_uart_core_control[9]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [9]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[10]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[10]),
+	.Q(u_soc_u_uart_u_uart_core_control[10]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [10]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[11]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[11]),
+	.Q(u_soc_u_uart_u_uart_core_control[11]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [11]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[12]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[12]),
+	.Q(u_soc_u_uart_u_uart_core_control[12]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [12]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[13]  (
+	.CLK(CTS_71),
+	.D(u_soc_u_uart_u_uart_core_control[13]),
+	.Q(u_soc_u_uart_u_uart_core_control[13]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [13]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[14]  (
+	.CLK(CTS_71),
+	.D(u_soc_u_uart_u_uart_core_control[14]),
+	.Q(u_soc_u_uart_u_uart_core_control[14]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [14]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[15]  (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_control[15]),
+	.Q(u_soc_u_uart_u_uart_core_control[15]),
+	.RESET_B(FE_OFN153_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [15]),
+	.SCE(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_u_uart_u_uart_core_intr_tx_reg (
+	.CLK(CTS_71),
+	.D(n_1197),
+	.Q(u_soc_intr_u_tx),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_read_fifo_buffer_empty_reg (
+	.CLK(CTS_30),
+	.D(n_557),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.RESET_B(FE_OFN30_io_out_37),
+	.SCD(u_soc_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.SCE(n_1305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][1]  (
+	.CLK(CTS_12),
+	.D(n_4741),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
+	.RESET_B(FE_OFN72_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][2]  (
+	.CLK(CTS_12),
+	.D(n_4740),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][3]  (
+	.CLK(CTS_12),
+	.D(n_5325),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][4]  (
+	.CLK(CTS_12),
+	.D(n_4738),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][5]  (
+	.CLK(CTS_12),
+	.D(n_4737),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][6]  (
+	.CLK(CTS_12),
+	.D(n_4736),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][7]  (
+	.CLK(CTS_12),
+	.D(n_4735),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][8]  (
+	.CLK(CTS_10),
+	.D(n_4734),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][1]  (
+	.CLK(CTS_5),
+	.D(n_4733),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][2]  (
+	.CLK(CTS_5),
+	.D(n_4732),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][3]  (
+	.CLK(CTS_5),
+	.D(n_4731),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][4]  (
+	.CLK(CTS_5),
+	.D(n_4730),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][5]  (
+	.CLK(CTS_5),
+	.D(n_4729),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][6]  (
+	.CLK(CTS_5),
+	.D(n_4728),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][7]  (
+	.CLK(CTS_5),
+	.D(n_4727),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][8]  (
+	.CLK(CTS_5),
+	.D(n_4726),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][1]  (
+	.CLK(CTS_1),
+	.D(n_4725),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][2]  (
+	.CLK(CTS_10),
+	.D(n_4724),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][3]  (
+	.CLK(CTS_10),
+	.D(n_4723),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][4]  (
+	.CLK(CTS_1),
+	.D(n_4722),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][5]  (
+	.CLK(CTS_10),
+	.D(n_4721),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][6]  (
+	.CLK(CTS_10),
+	.D(n_4720),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][7]  (
+	.CLK(CTS_1),
+	.D(n_4719),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][8]  (
+	.CLK(CTS_10),
+	.D(n_4718),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][1]  (
+	.CLK(CTS_3),
+	.D(n_4717),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][2]  (
+	.CLK(CTS_15),
+	.D(n_4716),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][3]  (
+	.CLK(CTS_15),
+	.D(n_4715),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][4]  (
+	.CLK(CTS_15),
+	.D(n_4714),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][5]  (
+	.CLK(CTS_15),
+	.D(n_4713),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][6]  (
+	.CLK(CTS_15),
+	.D(n_4712),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][7]  (
+	.CLK(CTS_15),
+	.D(n_4711),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][8]  (
+	.CLK(CTS_15),
+	.D(n_4710),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][1]  (
+	.CLK(CTS_4),
+	.D(n_4709),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][2]  (
+	.CLK(CTS_8),
+	.D(n_4708),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][3]  (
+	.CLK(CTS_4),
+	.D(n_4706),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][4]  (
+	.CLK(CTS_4),
+	.D(n_4705),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][5]  (
+	.CLK(CTS_8),
+	.D(n_4704),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][6]  (
+	.CLK(CTS_8),
+	.D(n_4703),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][7]  (
+	.CLK(CTS_4),
+	.D(n_4702),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][8]  (
+	.CLK(CTS_8),
+	.D(n_4700),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][1]  (
+	.CLK(CTS_2),
+	.D(n_4699),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][2]  (
+	.CLK(CTS_11),
+	.D(n_4698),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][3]  (
+	.CLK(CTS_11),
+	.D(n_4697),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][4]  (
+	.CLK(CTS_2),
+	.D(n_4696),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][5]  (
+	.CLK(CTS_11),
+	.D(n_4695),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][6]  (
+	.CLK(CTS_2),
+	.D(n_4693),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][7]  (
+	.CLK(CTS_2),
+	.D(n_4692),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][8]  (
+	.CLK(CTS_11),
+	.D(n_4691),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][1]  (
+	.CLK(CTS_16),
+	.D(n_4690),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][2]  (
+	.CLK(CTS_2),
+	.D(n_4689),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][3]  (
+	.CLK(CTS_13),
+	.D(n_4688),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][4]  (
+	.CLK(CTS_6),
+	.D(n_4687),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][5]  (
+	.CLK(CTS_6),
+	.D(n_4686),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][6]  (
+	.CLK(CTS_2),
+	.D(n_4685),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][7]  (
+	.CLK(CTS_6),
+	.D(n_4684),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][8]  (
+	.CLK(CTS_2),
+	.D(n_4683),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][1]  (
+	.CLK(CTS_1),
+	.D(n_4682),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][2]  (
+	.CLK(CTS_11),
+	.D(n_4681),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][3]  (
+	.CLK(CTS_11),
+	.D(n_4680),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][4]  (
+	.CLK(CTS_9),
+	.D(n_4679),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][5]  (
+	.CLK(CTS_9),
+	.D(n_4678),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][6]  (
+	.CLK(CTS_11),
+	.D(n_4677),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][7]  (
+	.CLK(CTS_11),
+	.D(n_4676),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][8]  (
+	.CLK(CTS_9),
+	.D(n_4675),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][1]  (
+	.CLK(CTS_7),
+	.D(n_4674),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][2]  (
+	.CLK(CTS_7),
+	.D(n_4673),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][3]  (
+	.CLK(CTS_13),
+	.D(n_4672),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][4]  (
+	.CLK(CTS_7),
+	.D(n_4671),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][5]  (
+	.CLK(CTS_13),
+	.D(n_4670),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][6]  (
+	.CLK(CTS_13),
+	.D(n_4669),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][7]  (
+	.CLK(CTS_13),
+	.D(n_4668),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][8]  (
+	.CLK(CTS_7),
+	.D(n_4667),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][1]  (
+	.CLK(CTS_3),
+	.D(n_4666),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][2]  (
+	.CLK(CTS_41),
+	.D(n_4664),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][3]  (
+	.CLK(CTS_41),
+	.D(n_4663),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][4]  (
+	.CLK(CTS_41),
+	.D(n_4662),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][5]  (
+	.CLK(CTS_3),
+	.D(n_4661),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][6]  (
+	.CLK(CTS_15),
+	.D(n_4660),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][7]  (
+	.CLK(CTS_15),
+	.D(n_4659),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][8]  (
+	.CLK(CTS_15),
+	.D(n_4658),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][1]  (
+	.CLK(CTS_7),
+	.D(n_4657),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][2]  (
+	.CLK(CTS_1),
+	.D(n_5002),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][3]  (
+	.CLK(CTS_7),
+	.D(n_4656),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][4]  (
+	.CLK(CTS_1),
+	.D(n_4655),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][5]  (
+	.CLK(CTS_7),
+	.D(n_4653),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][6]  (
+	.CLK(CTS_1),
+	.D(n_4652),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][7]  (
+	.CLK(CTS_1),
+	.D(n_4651),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][8]  (
+	.CLK(CTS_1),
+	.D(n_5014),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][1]  (
+	.CLK(CTS_5),
+	.D(n_4649),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][2]  (
+	.CLK(CTS_5),
+	.D(n_4648),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][3]  (
+	.CLK(CTS_5),
+	.D(n_4647),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][4]  (
+	.CLK(CTS_5),
+	.D(n_4646),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][5]  (
+	.CLK(CTS_5),
+	.D(n_4645),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][6]  (
+	.CLK(CTS_5),
+	.D(n_4644),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][7]  (
+	.CLK(CTS_5),
+	.D(n_4643),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][8]  (
+	.CLK(CTS_5),
+	.D(n_4642),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][1]  (
+	.CLK(CTS_57),
+	.D(n_4641),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][2]  (
+	.CLK(CTS_57),
+	.D(n_4640),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][3]  (
+	.CLK(CTS_57),
+	.D(n_4638),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][4]  (
+	.CLK(CTS_16),
+	.D(n_4637),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][5]  (
+	.CLK(CTS_57),
+	.D(n_4636),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][6]  (
+	.CLK(CTS_57),
+	.D(n_4635),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][7]  (
+	.CLK(CTS_57),
+	.D(n_4634),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][8]  (
+	.CLK(CTS_57),
+	.D(n_4633),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][1]  (
+	.CLK(CTS_4),
+	.D(n_4631),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][2]  (
+	.CLK(CTS_4),
+	.D(n_4629),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][3]  (
+	.CLK(CTS_4),
+	.D(n_4628),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][4]  (
+	.CLK(CTS_4),
+	.D(n_4627),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][5]  (
+	.CLK(CTS_4),
+	.D(n_4626),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][6]  (
+	.CLK(CTS_4),
+	.D(n_4625),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][7]  (
+	.CLK(CTS_4),
+	.D(n_4624),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][8]  (
+	.CLK(CTS_4),
+	.D(n_4623),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][1]  (
+	.CLK(CTS_6),
+	.D(n_4622),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][2]  (
+	.CLK(CTS_6),
+	.D(n_4621),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][3]  (
+	.CLK(CTS_6),
+	.D(n_4620),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][4]  (
+	.CLK(CTS_6),
+	.D(n_4619),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][5]  (
+	.CLK(CTS_6),
+	.D(n_4618),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][6]  (
+	.CLK(CTS_6),
+	.D(n_4617),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][7]  (
+	.CLK(CTS_6),
+	.D(n_4616),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][8]  (
+	.CLK(CTS_6),
+	.D(n_4615),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][1]  (
+	.CLK(CTS_9),
+	.D(n_4614),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][2]  (
+	.CLK(CTS_9),
+	.D(n_3149),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][3]  (
+	.CLK(CTS_9),
+	.D(n_4612),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][4]  (
+	.CLK(CTS_9),
+	.D(n_4611),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][5]  (
+	.CLK(CTS_9),
+	.D(n_4610),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][6]  (
+	.CLK(CTS_9),
+	.D(n_4609),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][7]  (
+	.CLK(CTS_9),
+	.D(n_4608),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][8]  (
+	.CLK(CTS_9),
+	.D(n_4607),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][1]  (
+	.CLK(CTS_39),
+	.D(n_4606),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][2]  (
+	.CLK(CTS_39),
+	.D(n_4605),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][3]  (
+	.CLK(CTS_39),
+	.D(n_4604),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][4]  (
+	.CLK(CTS_39),
+	.D(n_4603),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][5]  (
+	.CLK(CTS_39),
+	.D(n_4602),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][6]  (
+	.CLK(CTS_39),
+	.D(n_4601),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][7]  (
+	.CLK(CTS_39),
+	.D(n_4600),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][8]  (
+	.CLK(CTS_12),
+	.D(n_4599),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][1]  (
+	.CLK(CTS_30),
+	.D(n_4598),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][2]  (
+	.CLK(CTS_43),
+	.D(n_4597),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][3]  (
+	.CLK(CTS_43),
+	.D(n_4596),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][4]  (
+	.CLK(CTS_43),
+	.D(n_4595),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][5]  (
+	.CLK(CTS_43),
+	.D(n_4594),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][6]  (
+	.CLK(CTS_43),
+	.D(n_4593),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][7]  (
+	.CLK(CTS_43),
+	.D(n_4592),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][8]  (
+	.CLK(CTS_43),
+	.D(n_4591),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][1]  (
+	.CLK(CTS_44),
+	.D(n_4590),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][2]  (
+	.CLK(CTS_30),
+	.D(n_4589),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][3]  (
+	.CLK(CTS_30),
+	.D(n_4588),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][4]  (
+	.CLK(CTS_30),
+	.D(n_4586),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][5]  (
+	.CLK(CTS_30),
+	.D(n_4585),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][6]  (
+	.CLK(CTS_30),
+	.D(n_4584),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][7]  (
+	.CLK(CTS_30),
+	.D(n_4583),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][8]  (
+	.CLK(CTS_30),
+	.D(n_4581),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][1]  (
+	.CLK(CTS_40),
+	.D(n_4580),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][2]  (
+	.CLK(CTS_37),
+	.D(n_4579),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][3]  (
+	.CLK(CTS_37),
+	.D(n_4161),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][4]  (
+	.CLK(CTS_37),
+	.D(n_4578),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][5]  (
+	.CLK(CTS_37),
+	.D(n_4577),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][6]  (
+	.CLK(CTS_37),
+	.D(n_4576),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][7]  (
+	.CLK(CTS_37),
+	.D(n_4575),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][8]  (
+	.CLK(CTS_37),
+	.D(n_4495),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][1]  (
+	.CLK(CTS_38),
+	.D(n_4574),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][2]  (
+	.CLK(CTS_38),
+	.D(n_4572),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][3]  (
+	.CLK(CTS_8),
+	.D(n_4571),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][4]  (
+	.CLK(CTS_38),
+	.D(n_4570),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][5]  (
+	.CLK(CTS_57),
+	.D(n_4569),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][6]  (
+	.CLK(CTS_38),
+	.D(n_4568),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][7]  (
+	.CLK(CTS_38),
+	.D(n_4567),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][8]  (
+	.CLK(CTS_8),
+	.D(n_4767),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][1]  (
+	.CLK(CTS_32),
+	.D(n_4566),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][2]  (
+	.CLK(CTS_28),
+	.D(n_4777),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][3]  (
+	.CLK(CTS_32),
+	.D(n_4565),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][4]  (
+	.CLK(CTS_32),
+	.D(n_4564),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][5]  (
+	.CLK(CTS_28),
+	.D(n_4563),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][6]  (
+	.CLK(CTS_32),
+	.D(n_4562),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][7]  (
+	.CLK(CTS_32),
+	.D(n_4561),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][8]  (
+	.CLK(CTS_28),
+	.D(n_4968),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][1]  (
+	.CLK(CTS_32),
+	.D(n_4970),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][2]  (
+	.CLK(CTS_44),
+	.D(n_4559),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][3]  (
+	.CLK(CTS_32),
+	.D(n_4558),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][4]  (
+	.CLK(CTS_32),
+	.D(n_4988),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][5]  (
+	.CLK(CTS_32),
+	.D(n_4557),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][6]  (
+	.CLK(CTS_32),
+	.D(n_4556),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][7]  (
+	.CLK(CTS_32),
+	.D(n_4555),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][8]  (
+	.CLK(CTS_44),
+	.D(n_4553),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][1]  (
+	.CLK(CTS_42),
+	.D(n_4551),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][2]  (
+	.CLK(CTS_40),
+	.D(n_4550),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][3]  (
+	.CLK(CTS_42),
+	.D(n_5003),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][4]  (
+	.CLK(CTS_40),
+	.D(n_4549),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][5]  (
+	.CLK(CTS_42),
+	.D(n_4548),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][6]  (
+	.CLK(CTS_40),
+	.D(n_5012),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][7]  (
+	.CLK(CTS_42),
+	.D(n_4547),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][8]  (
+	.CLK(CTS_40),
+	.D(n_5016),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][1]  (
+	.CLK(CTS_12),
+	.D(n_5015),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][2]  (
+	.CLK(CTS_12),
+	.D(n_4546),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][3]  (
+	.CLK(CTS_39),
+	.D(n_4545),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][4]  (
+	.CLK(CTS_39),
+	.D(n_4544),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][5]  (
+	.CLK(CTS_12),
+	.D(n_4543),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][6]  (
+	.CLK(CTS_39),
+	.D(n_4542),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][7]  (
+	.CLK(CTS_39),
+	.D(n_4541),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][8]  (
+	.CLK(CTS_12),
+	.D(n_4540),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][1]  (
+	.CLK(CTS_43),
+	.D(n_4539),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][2]  (
+	.CLK(CTS_43),
+	.D(n_5028),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][3]  (
+	.CLK(CTS_43),
+	.D(n_4538),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][4]  (
+	.CLK(CTS_43),
+	.D(n_4537),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][5]  (
+	.CLK(CTS_37),
+	.D(n_4536),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][6]  (
+	.CLK(CTS_43),
+	.D(n_4535),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][7]  (
+	.CLK(CTS_43),
+	.D(n_4534),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][8]  (
+	.CLK(CTS_43),
+	.D(n_4138),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][1]  (
+	.CLK(CTS_44),
+	.D(n_3204),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][2]  (
+	.CLK(CTS_32),
+	.D(n_4532),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][3]  (
+	.CLK(CTS_32),
+	.D(n_4531),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][4]  (
+	.CLK(CTS_44),
+	.D(n_4529),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][5]  (
+	.CLK(CTS_32),
+	.D(n_4528),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][6]  (
+	.CLK(CTS_32),
+	.D(n_4527),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][7]  (
+	.CLK(CTS_44),
+	.D(n_4982),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][8]  (
+	.CLK(CTS_44),
+	.D(n_4526),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][1]  (
+	.CLK(CTS_3),
+	.D(n_4525),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][2]  (
+	.CLK(CTS_41),
+	.D(n_4783),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][3]  (
+	.CLK(CTS_41),
+	.D(n_4524),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][4]  (
+	.CLK(CTS_41),
+	.D(n_4523),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][5]  (
+	.CLK(CTS_41),
+	.D(n_4522),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][6]  (
+	.CLK(CTS_41),
+	.D(n_4521),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][7]  (
+	.CLK(CTS_41),
+	.D(n_4957),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][8]  (
+	.CLK(CTS_41),
+	.D(n_4520),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][1]  (
+	.CLK(CTS_38),
+	.D(n_4958),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][2]  (
+	.CLK(CTS_38),
+	.D(n_4519),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][3]  (
+	.CLK(CTS_38),
+	.D(n_4518),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][4]  (
+	.CLK(CTS_38),
+	.D(n_4517),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][5]  (
+	.CLK(CTS_39),
+	.D(n_4516),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][6]  (
+	.CLK(CTS_57),
+	.D(n_4515),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][7]  (
+	.CLK(CTS_57),
+	.D(n_4514),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][8]  (
+	.CLK(CTS_12),
+	.D(n_4513),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][1]  (
+	.CLK(CTS_38),
+	.D(n_4512),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][2]  (
+	.CLK(CTS_26),
+	.D(n_4511),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][3]  (
+	.CLK(CTS_47),
+	.D(n_4510),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][4]  (
+	.CLK(CTS_38),
+	.D(n_4509),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][5]  (
+	.CLK(CTS_47),
+	.D(n_4823),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][6]  (
+	.CLK(CTS_26),
+	.D(n_4508),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][7]  (
+	.CLK(CTS_38),
+	.D(n_4507),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][8]  (
+	.CLK(CTS_39),
+	.D(n_4782),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][1]  (
+	.CLK(CTS_28),
+	.D(n_4506),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][2]  (
+	.CLK(CTS_28),
+	.D(n_4505),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][3]  (
+	.CLK(CTS_28),
+	.D(n_4504),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][4]  (
+	.CLK(CTS_28),
+	.D(n_4503),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][5]  (
+	.CLK(CTS_28),
+	.D(n_4502),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][6]  (
+	.CLK(CTS_28),
+	.D(n_4501),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][7]  (
+	.CLK(CTS_28),
+	.D(n_4500),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][8]  (
+	.CLK(CTS_28),
+	.D(n_4357),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][1]  (
+	.CLK(CTS_10),
+	.D(n_4498),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][2]  (
+	.CLK(CTS_40),
+	.D(n_4497),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][3]  (
+	.CLK(CTS_40),
+	.D(n_4496),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][4]  (
+	.CLK(CTS_40),
+	.D(n_4489),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][5]  (
+	.CLK(CTS_42),
+	.D(n_4494),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][6]  (
+	.CLK(CTS_40),
+	.D(n_4707),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][7]  (
+	.CLK(CTS_10),
+	.D(n_4754),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][8]  (
+	.CLK(CTS_40),
+	.D(n_5075),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][1]  (
+	.CLK(CTS_10),
+	.D(n_4491),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][2]  (
+	.CLK(CTS_12),
+	.D(n_4490),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][3]  (
+	.CLK(CTS_12),
+	.D(n_4488),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][4]  (
+	.CLK(CTS_7),
+	.D(n_4487),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][5]  (
+	.CLK(CTS_12),
+	.D(n_4485),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][6]  (
+	.CLK(CTS_12),
+	.D(n_4484),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][7]  (
+	.CLK(CTS_12),
+	.D(n_4483),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][8]  (
+	.CLK(CTS_10),
+	.D(n_4482),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][1]  (
+	.CLK(CTS_5),
+	.D(n_4481),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][2]  (
+	.CLK(CTS_5),
+	.D(n_4790),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][3]  (
+	.CLK(CTS_5),
+	.D(n_4807),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][4]  (
+	.CLK(CTS_5),
+	.D(n_4808),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][5]  (
+	.CLK(CTS_5),
+	.D(n_4480),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][6]  (
+	.CLK(CTS_5),
+	.D(n_4995),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][7]  (
+	.CLK(CTS_5),
+	.D(n_4479),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][8]  (
+	.CLK(CTS_5),
+	.D(n_4962),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][1]  (
+	.CLK(CTS_1),
+	.D(n_4965),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][2]  (
+	.CLK(CTS_1),
+	.D(n_4478),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][3]  (
+	.CLK(CTS_1),
+	.D(n_4477),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][4]  (
+	.CLK(CTS_1),
+	.D(n_4476),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][5]  (
+	.CLK(CTS_1),
+	.D(n_4475),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][6]  (
+	.CLK(CTS_1),
+	.D(n_4474),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][7]  (
+	.CLK(CTS_1),
+	.D(n_4473),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][8]  (
+	.CLK(CTS_1),
+	.D(n_4472),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][1]  (
+	.CLK(CTS_15),
+	.D(n_4471),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][2]  (
+	.CLK(CTS_15),
+	.D(n_4879),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][3]  (
+	.CLK(CTS_15),
+	.D(n_4470),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][4]  (
+	.CLK(CTS_15),
+	.D(n_4469),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][5]  (
+	.CLK(CTS_15),
+	.D(n_4468),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][6]  (
+	.CLK(CTS_15),
+	.D(n_5241),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][7]  (
+	.CLK(CTS_15),
+	.D(n_4467),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][8]  (
+	.CLK(CTS_15),
+	.D(n_4963),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][1]  (
+	.CLK(CTS_4),
+	.D(n_4466),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][2]  (
+	.CLK(CTS_8),
+	.D(n_4465),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][3]  (
+	.CLK(CTS_8),
+	.D(n_4464),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][4]  (
+	.CLK(CTS_4),
+	.D(n_4463),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][5]  (
+	.CLK(CTS_4),
+	.D(n_4462),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][6]  (
+	.CLK(CTS_8),
+	.D(n_4461),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][7]  (
+	.CLK(CTS_4),
+	.D(n_4967),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][8]  (
+	.CLK(CTS_8),
+	.D(n_4460),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][1]  (
+	.CLK(CTS_2),
+	.D(n_4459),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][2]  (
+	.CLK(CTS_2),
+	.D(n_4457),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][3]  (
+	.CLK(CTS_2),
+	.D(n_4456),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][4]  (
+	.CLK(CTS_2),
+	.D(n_4455),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][5]  (
+	.CLK(CTS_2),
+	.D(n_4454),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][6]  (
+	.CLK(CTS_2),
+	.D(n_4453),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][7]  (
+	.CLK(CTS_2),
+	.D(n_4452),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][8]  (
+	.CLK(CTS_2),
+	.D(n_4451),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][1]  (
+	.CLK(CTS_16),
+	.D(n_3476),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][2]  (
+	.CLK(CTS_13),
+	.D(n_4450),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][3]  (
+	.CLK(CTS_6),
+	.D(n_4202),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][4]  (
+	.CLK(CTS_13),
+	.D(n_4449),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][5]  (
+	.CLK(CTS_13),
+	.D(n_4448),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][6]  (
+	.CLK(CTS_2),
+	.D(n_4298),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][7]  (
+	.CLK(CTS_13),
+	.D(n_5235),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][8]  (
+	.CLK(CTS_13),
+	.D(n_4447),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][1]  (
+	.CLK(CTS_11),
+	.D(n_4779),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][2]  (
+	.CLK(CTS_11),
+	.D(n_4446),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][3]  (
+	.CLK(CTS_11),
+	.D(n_4582),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][4]  (
+	.CLK(CTS_11),
+	.D(n_4445),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][5]  (
+	.CLK(CTS_11),
+	.D(n_4613),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][6]  (
+	.CLK(CTS_11),
+	.D(n_4444),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][7]  (
+	.CLK(CTS_11),
+	.D(n_4443),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][8]  (
+	.CLK(CTS_11),
+	.D(n_4632),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][1]  (
+	.CLK(CTS_13),
+	.D(n_4639),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][2]  (
+	.CLK(CTS_13),
+	.D(n_4441),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][3]  (
+	.CLK(CTS_7),
+	.D(n_4440),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][4]  (
+	.CLK(CTS_7),
+	.D(n_4748),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][5]  (
+	.CLK(CTS_7),
+	.D(n_4439),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][6]  (
+	.CLK(CTS_7),
+	.D(n_4789),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][7]  (
+	.CLK(CTS_13),
+	.D(n_4438),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][8]  (
+	.CLK(CTS_7),
+	.D(n_4436),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][1]  (
+	.CLK(CTS_41),
+	.D(n_4888),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][2]  (
+	.CLK(CTS_41),
+	.D(n_4434),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][3]  (
+	.CLK(CTS_41),
+	.D(n_4433),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][4]  (
+	.CLK(CTS_41),
+	.D(n_4432),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][5]  (
+	.CLK(CTS_3),
+	.D(n_4893),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][6]  (
+	.CLK(CTS_41),
+	.D(n_4430),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][7]  (
+	.CLK(CTS_41),
+	.D(n_4895),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][8]  (
+	.CLK(CTS_41),
+	.D(n_4428),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][1]  (
+	.CLK(CTS_7),
+	.D(n_4427),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][2]  (
+	.CLK(CTS_13),
+	.D(n_4426),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][3]  (
+	.CLK(CTS_13),
+	.D(n_4425),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][4]  (
+	.CLK(CTS_7),
+	.D(n_4424),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][5]  (
+	.CLK(CTS_13),
+	.D(n_4423),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][6]  (
+	.CLK(CTS_13),
+	.D(n_3980),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][7]  (
+	.CLK(CTS_7),
+	.D(n_4422),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][8]  (
+	.CLK(CTS_7),
+	.D(n_4421),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][1]  (
+	.CLK(CTS_1),
+	.D(n_4420),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][2]  (
+	.CLK(CTS_1),
+	.D(n_4419),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][3]  (
+	.CLK(CTS_1),
+	.D(n_4321),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][4]  (
+	.CLK(CTS_1),
+	.D(n_4418),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][5]  (
+	.CLK(CTS_1),
+	.D(n_4417),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][6]  (
+	.CLK(CTS_1),
+	.D(n_4416),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][7]  (
+	.CLK(CTS_1),
+	.D(n_4811),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][8]  (
+	.CLK(CTS_1),
+	.D(n_4415),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][1]  (
+	.CLK(CTS_16),
+	.D(n_4414),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][2]  (
+	.CLK(CTS_16),
+	.D(n_4413),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][3]  (
+	.CLK(CTS_16),
+	.D(n_4412),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][4]  (
+	.CLK(CTS_16),
+	.D(n_4411),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][5]  (
+	.CLK(CTS_13),
+	.D(n_4890),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][6]  (
+	.CLK(CTS_16),
+	.D(n_4410),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][7]  (
+	.CLK(CTS_16),
+	.D(n_4409),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][8]  (
+	.CLK(CTS_16),
+	.D(n_4408),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][1]  (
+	.CLK(CTS_6),
+	.D(n_4896),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][2]  (
+	.CLK(CTS_6),
+	.D(n_4407),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][3]  (
+	.CLK(CTS_6),
+	.D(n_3150),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][4]  (
+	.CLK(CTS_6),
+	.D(n_4406),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][5]  (
+	.CLK(CTS_6),
+	.D(n_4405),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][6]  (
+	.CLK(CTS_6),
+	.D(n_4195),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][7]  (
+	.CLK(CTS_6),
+	.D(n_5276),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][8]  (
+	.CLK(CTS_6),
+	.D(n_4404),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][1]  (
+	.CLK(CTS_16),
+	.D(n_4403),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][2]  (
+	.CLK(CTS_16),
+	.D(n_4891),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][3]  (
+	.CLK(CTS_16),
+	.D(n_4402),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][4]  (
+	.CLK(CTS_16),
+	.D(n_4401),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][5]  (
+	.CLK(CTS_16),
+	.D(n_4400),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][6]  (
+	.CLK(CTS_16),
+	.D(n_4399),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][7]  (
+	.CLK(CTS_16),
+	.D(n_4398),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][8]  (
+	.CLK(CTS_16),
+	.D(n_4397),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][1]  (
+	.CLK(CTS_11),
+	.D(n_4396),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][2]  (
+	.CLK(CTS_9),
+	.D(n_4395),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][3]  (
+	.CLK(CTS_11),
+	.D(n_4394),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][4]  (
+	.CLK(CTS_9),
+	.D(n_4794),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][5]  (
+	.CLK(CTS_11),
+	.D(n_4393),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][6]  (
+	.CLK(CTS_11),
+	.D(n_4392),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][7]  (
+	.CLK(CTS_9),
+	.D(n_4391),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][8]  (
+	.CLK(CTS_11),
+	.D(n_4390),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][1]  (
+	.CLK(CTS_12),
+	.D(n_4389),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][2]  (
+	.CLK(CTS_42),
+	.D(n_4881),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][3]  (
+	.CLK(CTS_26),
+	.D(n_4880),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][4]  (
+	.CLK(CTS_39),
+	.D(n_4386),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][5]  (
+	.CLK(CTS_26),
+	.D(n_4385),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][6]  (
+	.CLK(CTS_39),
+	.D(n_4964),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][7]  (
+	.CLK(CTS_39),
+	.D(n_4384),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][8]  (
+	.CLK(CTS_42),
+	.D(n_4972),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][1]  (
+	.CLK(CTS_40),
+	.D(n_4383),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][2]  (
+	.CLK(CTS_37),
+	.D(n_4382),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][3]  (
+	.CLK(CTS_40),
+	.D(n_4381),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][4]  (
+	.CLK(CTS_37),
+	.D(n_4974),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][5]  (
+	.CLK(CTS_37),
+	.D(n_4380),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][6]  (
+	.CLK(CTS_37),
+	.D(n_4379),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][7]  (
+	.CLK(CTS_37),
+	.D(n_4378),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][8]  (
+	.CLK(CTS_37),
+	.D(n_5187),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][1]  (
+	.CLK(CTS_42),
+	.D(n_4493),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][2]  (
+	.CLK(CTS_42),
+	.D(n_4377),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][3]  (
+	.CLK(CTS_40),
+	.D(n_4376),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][4]  (
+	.CLK(CTS_40),
+	.D(n_4375),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][5]  (
+	.CLK(CTS_40),
+	.D(n_4374),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][6]  (
+	.CLK(CTS_40),
+	.D(n_4373),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][7]  (
+	.CLK(CTS_40),
+	.D(n_4372),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][8]  (
+	.CLK(CTS_42),
+	.D(n_4371),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][1]  (
+	.CLK(CTS_37),
+	.D(n_4370),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][2]  (
+	.CLK(CTS_41),
+	.D(n_4369),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][3]  (
+	.CLK(CTS_41),
+	.D(n_4368),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][4]  (
+	.CLK(CTS_41),
+	.D(n_4367),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][5]  (
+	.CLK(CTS_41),
+	.D(n_4486),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][6]  (
+	.CLK(CTS_37),
+	.D(n_4366),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][7]  (
+	.CLK(CTS_41),
+	.D(n_4560),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][8]  (
+	.CLK(CTS_37),
+	.D(n_4365),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][1]  (
+	.CLK(CTS_8),
+	.D(n_4364),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][2]  (
+	.CLK(CTS_38),
+	.D(n_4363),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][3]  (
+	.CLK(CTS_8),
+	.D(n_4979),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][4]  (
+	.CLK(CTS_8),
+	.D(n_4362),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][5]  (
+	.CLK(CTS_8),
+	.D(n_4985),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][6]  (
+	.CLK(CTS_8),
+	.D(n_4361),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][7]  (
+	.CLK(CTS_8),
+	.D(n_4360),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][8]  (
+	.CLK(CTS_8),
+	.D(n_4359),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][1]  (
+	.CLK(CTS_26),
+	.D(n_4762),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][2]  (
+	.CLK(CTS_26),
+	.D(n_4358),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][3]  (
+	.CLK(CTS_26),
+	.D(n_4775),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][4]  (
+	.CLK(CTS_26),
+	.D(n_4987),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][5]  (
+	.CLK(CTS_26),
+	.D(n_4356),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][6]  (
+	.CLK(CTS_26),
+	.D(n_4355),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][7]  (
+	.CLK(CTS_26),
+	.D(n_4354),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][8]  (
+	.CLK(CTS_26),
+	.D(n_4353),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][1]  (
+	.CLK(CTS_26),
+	.D(n_4747),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][2]  (
+	.CLK(CTS_26),
+	.D(n_4351),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][3]  (
+	.CLK(CTS_26),
+	.D(n_4350),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][4]  (
+	.CLK(CTS_26),
+	.D(n_4349),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][5]  (
+	.CLK(CTS_26),
+	.D(n_4348),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][6]  (
+	.CLK(CTS_26),
+	.D(n_4347),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][7]  (
+	.CLK(CTS_26),
+	.D(n_4346),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][8]  (
+	.CLK(CTS_26),
+	.D(n_4345),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][1]  (
+	.CLK(CTS_42),
+	.D(n_4344),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][2]  (
+	.CLK(CTS_10),
+	.D(n_4343),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][3]  (
+	.CLK(CTS_10),
+	.D(n_4342),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][4]  (
+	.CLK(CTS_10),
+	.D(n_4341),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][5]  (
+	.CLK(CTS_10),
+	.D(n_4340),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][6]  (
+	.CLK(CTS_10),
+	.D(n_4339),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][7]  (
+	.CLK(CTS_10),
+	.D(n_4338),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][8]  (
+	.CLK(CTS_10),
+	.D(n_4337),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][1]  (
+	.CLK(CTS_26),
+	.D(n_4336),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][2]  (
+	.CLK(CTS_26),
+	.D(n_4335),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][3]  (
+	.CLK(CTS_26),
+	.D(n_4334),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][4]  (
+	.CLK(CTS_26),
+	.D(n_4333),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][5]  (
+	.CLK(CTS_26),
+	.D(n_4332),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][6]  (
+	.CLK(CTS_26),
+	.D(n_4331),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][7]  (
+	.CLK(CTS_26),
+	.D(n_4330),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][8]  (
+	.CLK(CTS_42),
+	.D(n_4329),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][1]  (
+	.CLK(CTS_3),
+	.D(n_4328),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][2]  (
+	.CLK(CTS_41),
+	.D(n_4327),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][3]  (
+	.CLK(CTS_41),
+	.D(n_4326),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][4]  (
+	.CLK(CTS_41),
+	.D(n_4325),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][5]  (
+	.CLK(CTS_3),
+	.D(n_4324),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][6]  (
+	.CLK(CTS_41),
+	.D(n_4739),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][7]  (
+	.CLK(CTS_41),
+	.D(n_4813),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][8]  (
+	.CLK(CTS_41),
+	.D(n_5027),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][1]  (
+	.CLK(CTS_42),
+	.D(n_3813),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][2]  (
+	.CLK(CTS_42),
+	.D(n_5038),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][3]  (
+	.CLK(CTS_32),
+	.D(n_5039),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][4]  (
+	.CLK(CTS_42),
+	.D(n_5040),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][5]  (
+	.CLK(CTS_26),
+	.D(n_5041),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][6]  (
+	.CLK(CTS_26),
+	.D(n_5042),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][7]  (
+	.CLK(CTS_44),
+	.D(n_5043),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][8]  (
+	.CLK(CTS_42),
+	.D(n_5044),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][1]  (
+	.CLK(CTS_3),
+	.D(n_5045),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][2]  (
+	.CLK(CTS_3),
+	.D(n_5046),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][3]  (
+	.CLK(CTS_3),
+	.D(n_5047),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][4]  (
+	.CLK(CTS_3),
+	.D(n_5048),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][5]  (
+	.CLK(CTS_3),
+	.D(n_3381),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][6]  (
+	.CLK(CTS_3),
+	.D(n_3439),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][7]  (
+	.CLK(CTS_40),
+	.D(n_5049),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][8]  (
+	.CLK(CTS_3),
+	.D(n_5050),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][1]  (
+	.CLK(CTS_38),
+	.D(n_5051),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][2]  (
+	.CLK(CTS_38),
+	.D(n_5052),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][3]  (
+	.CLK(CTS_38),
+	.D(n_5053),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][4]  (
+	.CLK(CTS_38),
+	.D(n_5054),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][5]  (
+	.CLK(CTS_39),
+	.D(n_5055),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][6]  (
+	.CLK(CTS_57),
+	.D(n_5056),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][7]  (
+	.CLK(CTS_57),
+	.D(n_5057),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][8]  (
+	.CLK(CTS_57),
+	.D(n_5058),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][1]  (
+	.CLK(CTS_38),
+	.D(n_5059),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][2]  (
+	.CLK(CTS_47),
+	.D(n_5060),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][3]  (
+	.CLK(CTS_38),
+	.D(n_5061),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][4]  (
+	.CLK(CTS_38),
+	.D(n_5062),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][5]  (
+	.CLK(CTS_38),
+	.D(n_5064),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][6]  (
+	.CLK(CTS_47),
+	.D(n_5065),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][7]  (
+	.CLK(CTS_38),
+	.D(n_5066),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][8]  (
+	.CLK(CTS_38),
+	.D(n_5067),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][1]  (
+	.CLK(CTS_47),
+	.D(n_5068),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][2]  (
+	.CLK(CTS_47),
+	.D(n_5069),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][3]  (
+	.CLK(CTS_47),
+	.D(n_5070),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][4]  (
+	.CLK(CTS_47),
+	.D(n_5071),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][5]  (
+	.CLK(CTS_47),
+	.D(n_5072),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][6]  (
+	.CLK(CTS_47),
+	.D(n_5073),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][7]  (
+	.CLK(CTS_47),
+	.D(n_5074),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][8]  (
+	.CLK(CTS_47),
+	.D(n_5076),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][1]  (
+	.CLK(CTS_10),
+	.D(n_5077),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][2]  (
+	.CLK(CTS_3),
+	.D(n_5079),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][3]  (
+	.CLK(CTS_10),
+	.D(n_5083),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][4]  (
+	.CLK(CTS_10),
+	.D(n_5084),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][5]  (
+	.CLK(CTS_10),
+	.D(n_5100),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][6]  (
+	.CLK(CTS_3),
+	.D(n_3466),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][7]  (
+	.CLK(CTS_10),
+	.D(n_5103),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][8]  (
+	.CLK(CTS_10),
+	.D(n_5142),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][1]  (
+	.CLK(CTS_12),
+	.D(n_5163),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][2]  (
+	.CLK(CTS_12),
+	.D(n_5166),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][3]  (
+	.CLK(CTS_12),
+	.D(n_5167),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][4]  (
+	.CLK(CTS_12),
+	.D(n_5169),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][5]  (
+	.CLK(CTS_12),
+	.D(n_5172),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][6]  (
+	.CLK(CTS_12),
+	.D(n_3151),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][7]  (
+	.CLK(CTS_12),
+	.D(n_5174),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][8]  (
+	.CLK(CTS_10),
+	.D(n_5175),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][1]  (
+	.CLK(CTS_5),
+	.D(n_5176),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][2]  (
+	.CLK(CTS_5),
+	.D(n_5177),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][3]  (
+	.CLK(CTS_5),
+	.D(n_5178),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][4]  (
+	.CLK(CTS_5),
+	.D(n_5179),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][5]  (
+	.CLK(CTS_5),
+	.D(n_5180),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][6]  (
+	.CLK(CTS_5),
+	.D(n_5181),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][7]  (
+	.CLK(CTS_5),
+	.D(n_5182),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][8]  (
+	.CLK(CTS_5),
+	.D(n_5183),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][1]  (
+	.CLK(CTS_1),
+	.D(n_5184),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][2]  (
+	.CLK(CTS_1),
+	.D(n_5186),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][3]  (
+	.CLK(CTS_10),
+	.D(n_5188),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][4]  (
+	.CLK(CTS_1),
+	.D(n_5189),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][5]  (
+	.CLK(CTS_10),
+	.D(n_5190),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][6]  (
+	.CLK(CTS_10),
+	.D(n_5191),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][7]  (
+	.CLK(CTS_1),
+	.D(n_4983),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][8]  (
+	.CLK(CTS_1),
+	.D(n_5194),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][1]  (
+	.CLK(CTS_15),
+	.D(n_5195),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][2]  (
+	.CLK(CTS_15),
+	.D(n_5196),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][3]  (
+	.CLK(CTS_15),
+	.D(n_5198),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][4]  (
+	.CLK(CTS_15),
+	.D(n_5199),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][5]  (
+	.CLK(CTS_15),
+	.D(n_5200),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][6]  (
+	.CLK(CTS_15),
+	.D(n_5201),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][7]  (
+	.CLK(CTS_15),
+	.D(n_5202),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][8]  (
+	.CLK(CTS_15),
+	.D(n_5203),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][1]  (
+	.CLK(CTS_4),
+	.D(n_5205),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][2]  (
+	.CLK(CTS_8),
+	.D(n_5206),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][3]  (
+	.CLK(CTS_8),
+	.D(n_5207),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][4]  (
+	.CLK(CTS_4),
+	.D(n_5208),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][5]  (
+	.CLK(CTS_8),
+	.D(n_5209),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][6]  (
+	.CLK(CTS_8),
+	.D(n_5210),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][7]  (
+	.CLK(CTS_4),
+	.D(n_5211),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][8]  (
+	.CLK(CTS_8),
+	.D(n_5024),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][1]  (
+	.CLK(CTS_11),
+	.D(n_5212),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][2]  (
+	.CLK(CTS_11),
+	.D(n_5213),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][3]  (
+	.CLK(CTS_11),
+	.D(n_5214),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][4]  (
+	.CLK(CTS_2),
+	.D(n_5215),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][5]  (
+	.CLK(CTS_2),
+	.D(n_5216),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][6]  (
+	.CLK(CTS_2),
+	.D(n_5217),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][7]  (
+	.CLK(CTS_11),
+	.D(n_5218),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][8]  (
+	.CLK(CTS_11),
+	.D(n_5219),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][1]  (
+	.CLK(CTS_16),
+	.D(n_5220),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][2]  (
+	.CLK(CTS_2),
+	.D(n_5221),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][3]  (
+	.CLK(CTS_13),
+	.D(n_5222),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][4]  (
+	.CLK(CTS_6),
+	.D(n_5224),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][5]  (
+	.CLK(CTS_6),
+	.D(n_5033),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][6]  (
+	.CLK(CTS_6),
+	.D(n_5226),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][7]  (
+	.CLK(CTS_6),
+	.D(n_5035),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][8]  (
+	.CLK(CTS_2),
+	.D(n_5227),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][1]  (
+	.CLK(CTS_1),
+	.D(n_5228),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][2]  (
+	.CLK(CTS_11),
+	.D(n_5229),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][3]  (
+	.CLK(CTS_9),
+	.D(n_5230),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][4]  (
+	.CLK(CTS_11),
+	.D(n_5231),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][5]  (
+	.CLK(CTS_11),
+	.D(n_5232),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][6]  (
+	.CLK(CTS_11),
+	.D(n_5233),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][7]  (
+	.CLK(CTS_9),
+	.D(n_5234),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][8]  (
+	.CLK(CTS_9),
+	.D(n_4961),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][1]  (
+	.CLK(CTS_7),
+	.D(n_5236),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][2]  (
+	.CLK(CTS_7),
+	.D(n_3445),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][3]  (
+	.CLK(CTS_13),
+	.D(n_5237),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][4]  (
+	.CLK(CTS_7),
+	.D(n_5238),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][5]  (
+	.CLK(CTS_7),
+	.D(n_5242),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][6]  (
+	.CLK(CTS_13),
+	.D(n_3408),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][7]  (
+	.CLK(CTS_13),
+	.D(n_5243),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][8]  (
+	.CLK(CTS_10),
+	.D(n_5244),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][1]  (
+	.CLK(CTS_3),
+	.D(n_5275),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][2]  (
+	.CLK(CTS_41),
+	.D(n_5278),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][3]  (
+	.CLK(CTS_15),
+	.D(n_5279),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][4]  (
+	.CLK(CTS_41),
+	.D(n_5280),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][5]  (
+	.CLK(CTS_3),
+	.D(n_5281),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][6]  (
+	.CLK(CTS_15),
+	.D(n_5282),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][7]  (
+	.CLK(CTS_15),
+	.D(n_5283),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][8]  (
+	.CLK(CTS_15),
+	.D(n_5284),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][1]  (
+	.CLK(CTS_7),
+	.D(n_5285),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][2]  (
+	.CLK(CTS_1),
+	.D(n_5286),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][3]  (
+	.CLK(CTS_1),
+	.D(n_5287),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][4]  (
+	.CLK(CTS_1),
+	.D(n_5288),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][5]  (
+	.CLK(CTS_11),
+	.D(n_5289),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][6]  (
+	.CLK(CTS_1),
+	.D(n_5290),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][7]  (
+	.CLK(CTS_1),
+	.D(n_5291),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][8]  (
+	.CLK(CTS_1),
+	.D(n_5292),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][1]  (
+	.CLK(CTS_5),
+	.D(n_5294),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][2]  (
+	.CLK(CTS_5),
+	.D(n_4981),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][3]  (
+	.CLK(CTS_5),
+	.D(n_5295),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][4]  (
+	.CLK(CTS_5),
+	.D(n_5296),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][5]  (
+	.CLK(CTS_5),
+	.D(n_5297),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][6]  (
+	.CLK(CTS_5),
+	.D(n_5298),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][7]  (
+	.CLK(CTS_5),
+	.D(n_5299),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][8]  (
+	.CLK(CTS_5),
+	.D(n_5300),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][1]  (
+	.CLK(CTS_57),
+	.D(n_5301),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][2]  (
+	.CLK(CTS_57),
+	.D(n_5303),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][3]  (
+	.CLK(CTS_57),
+	.D(n_5304),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][4]  (
+	.CLK(CTS_16),
+	.D(n_5305),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][5]  (
+	.CLK(CTS_16),
+	.D(n_5307),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][6]  (
+	.CLK(CTS_57),
+	.D(n_5308),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][7]  (
+	.CLK(CTS_8),
+	.D(n_5309),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][8]  (
+	.CLK(CTS_57),
+	.D(n_4887),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][1]  (
+	.CLK(CTS_4),
+	.D(n_5310),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][2]  (
+	.CLK(CTS_4),
+	.D(n_5311),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
+	.RESET_B(FE_OFN54_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][3]  (
+	.CLK(CTS_4),
+	.D(n_5312),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][4]  (
+	.CLK(CTS_4),
+	.D(n_5313),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][5]  (
+	.CLK(CTS_4),
+	.D(n_5315),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][6]  (
+	.CLK(CTS_4),
+	.D(n_5316),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][7]  (
+	.CLK(CTS_4),
+	.D(n_5317),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][8]  (
+	.CLK(CTS_4),
+	.D(n_5318),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][1]  (
+	.CLK(CTS_16),
+	.D(n_5319),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][2]  (
+	.CLK(CTS_6),
+	.D(n_5320),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][3]  (
+	.CLK(CTS_6),
+	.D(n_5321),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][4]  (
+	.CLK(CTS_6),
+	.D(n_5322),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][5]  (
+	.CLK(CTS_6),
+	.D(n_4701),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][6]  (
+	.CLK(CTS_6),
+	.D(n_5323),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][7]  (
+	.CLK(CTS_6),
+	.D(n_5324),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][8]  (
+	.CLK(CTS_6),
+	.D(n_3735),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][1]  (
+	.CLK(CTS_9),
+	.D(n_5037),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][2]  (
+	.CLK(CTS_9),
+	.D(n_4320),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][3]  (
+	.CLK(CTS_9),
+	.D(n_4319),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][4]  (
+	.CLK(CTS_9),
+	.D(n_4318),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][5]  (
+	.CLK(CTS_9),
+	.D(n_4317),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][6]  (
+	.CLK(CTS_9),
+	.D(n_4316),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][7]  (
+	.CLK(CTS_9),
+	.D(n_4315),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][8]  (
+	.CLK(CTS_9),
+	.D(n_4314),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][1]  (
+	.CLK(CTS_39),
+	.D(n_5173),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][2]  (
+	.CLK(CTS_39),
+	.D(n_4533),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][3]  (
+	.CLK(CTS_39),
+	.D(n_4437),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][4]  (
+	.CLK(CTS_39),
+	.D(n_4313),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][5]  (
+	.CLK(CTS_39),
+	.D(n_4312),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][6]  (
+	.CLK(CTS_39),
+	.D(n_4530),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][7]  (
+	.CLK(CTS_39),
+	.D(n_4554),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][8]  (
+	.CLK(CTS_12),
+	.D(n_4311),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][1]  (
+	.CLK(CTS_43),
+	.D(n_4310),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][2]  (
+	.CLK(CTS_43),
+	.D(n_4309),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][3]  (
+	.CLK(CTS_43),
+	.D(n_4308),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][4]  (
+	.CLK(CTS_43),
+	.D(n_4307),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][5]  (
+	.CLK(CTS_43),
+	.D(n_4306),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][6]  (
+	.CLK(CTS_43),
+	.D(n_4305),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][7]  (
+	.CLK(CTS_43),
+	.D(n_4304),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][8]  (
+	.CLK(CTS_43),
+	.D(n_4303),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][1]  (
+	.CLK(CTS_30),
+	.D(n_4302),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][2]  (
+	.CLK(CTS_44),
+	.D(n_4301),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][3]  (
+	.CLK(CTS_44),
+	.D(n_3794),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][4]  (
+	.CLK(CTS_44),
+	.D(n_4300),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][5]  (
+	.CLK(CTS_30),
+	.D(n_4299),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][6]  (
+	.CLK(CTS_44),
+	.D(n_5026),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][7]  (
+	.CLK(CTS_44),
+	.D(n_4297),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][8]  (
+	.CLK(CTS_44),
+	.D(n_4296),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][1]  (
+	.CLK(CTS_37),
+	.D(n_4761),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][2]  (
+	.CLK(CTS_37),
+	.D(n_4295),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][3]  (
+	.CLK(CTS_37),
+	.D(n_4294),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][4]  (
+	.CLK(CTS_37),
+	.D(n_4292),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][5]  (
+	.CLK(CTS_37),
+	.D(n_4291),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][6]  (
+	.CLK(CTS_37),
+	.D(n_4290),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][7]  (
+	.CLK(CTS_37),
+	.D(n_4289),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][8]  (
+	.CLK(CTS_37),
+	.D(n_4288),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][1]  (
+	.CLK(CTS_38),
+	.D(n_4287),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][2]  (
+	.CLK(CTS_38),
+	.D(n_4286),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][3]  (
+	.CLK(CTS_8),
+	.D(n_4285),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][4]  (
+	.CLK(CTS_38),
+	.D(n_4845),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][5]  (
+	.CLK(CTS_57),
+	.D(n_4284),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][6]  (
+	.CLK(CTS_73),
+	.D(n_4283),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][7]  (
+	.CLK(CTS_73),
+	.D(n_4282),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][8]  (
+	.CLK(CTS_8),
+	.D(n_4280),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][1]  (
+	.CLK(CTS_26),
+	.D(n_3159),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][2]  (
+	.CLK(CTS_28),
+	.D(n_4279),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][3]  (
+	.CLK(CTS_32),
+	.D(n_4278),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][4]  (
+	.CLK(CTS_32),
+	.D(n_4277),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][5]  (
+	.CLK(CTS_28),
+	.D(n_4276),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][6]  (
+	.CLK(CTS_32),
+	.D(n_4275),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][7]  (
+	.CLK(CTS_32),
+	.D(n_4274),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][8]  (
+	.CLK(CTS_28),
+	.D(n_4273),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][1]  (
+	.CLK(CTS_26),
+	.D(n_4272),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][2]  (
+	.CLK(CTS_32),
+	.D(n_4271),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][3]  (
+	.CLK(CTS_32),
+	.D(n_4270),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][4]  (
+	.CLK(CTS_32),
+	.D(n_3437),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][5]  (
+	.CLK(CTS_26),
+	.D(n_4269),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][6]  (
+	.CLK(CTS_32),
+	.D(n_4268),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][7]  (
+	.CLK(CTS_32),
+	.D(n_4267),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][8]  (
+	.CLK(CTS_32),
+	.D(n_4266),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][1]  (
+	.CLK(CTS_42),
+	.D(n_4265),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][2]  (
+	.CLK(CTS_42),
+	.D(n_4264),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][3]  (
+	.CLK(CTS_42),
+	.D(n_4263),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][4]  (
+	.CLK(CTS_42),
+	.D(n_3820),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][5]  (
+	.CLK(CTS_42),
+	.D(n_4262),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][6]  (
+	.CLK(CTS_42),
+	.D(n_4261),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][7]  (
+	.CLK(CTS_42),
+	.D(n_4260),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][8]  (
+	.CLK(CTS_42),
+	.D(n_4259),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][1]  (
+	.CLK(CTS_39),
+	.D(n_4258),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][2]  (
+	.CLK(CTS_39),
+	.D(n_4257),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][3]  (
+	.CLK(CTS_39),
+	.D(n_4256),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][4]  (
+	.CLK(CTS_39),
+	.D(n_4255),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][5]  (
+	.CLK(CTS_12),
+	.D(n_4846),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][6]  (
+	.CLK(CTS_39),
+	.D(n_4253),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][7]  (
+	.CLK(CTS_39),
+	.D(n_4252),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][8]  (
+	.CLK(CTS_39),
+	.D(n_4853),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][1]  (
+	.CLK(CTS_37),
+	.D(n_4251),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][2]  (
+	.CLK(CTS_43),
+	.D(n_4250),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][3]  (
+	.CLK(CTS_43),
+	.D(n_4249),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][4]  (
+	.CLK(CTS_43),
+	.D(n_4248),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][5]  (
+	.CLK(CTS_37),
+	.D(n_4247),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][6]  (
+	.CLK(CTS_43),
+	.D(n_4245),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][7]  (
+	.CLK(CTS_43),
+	.D(n_4244),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][8]  (
+	.CLK(CTS_43),
+	.D(n_4243),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][1]  (
+	.CLK(CTS_44),
+	.D(n_4242),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][2]  (
+	.CLK(CTS_44),
+	.D(n_4241),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][3]  (
+	.CLK(CTS_44),
+	.D(n_4240),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][4]  (
+	.CLK(CTS_44),
+	.D(n_5017),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][5]  (
+	.CLK(CTS_44),
+	.D(n_5025),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][6]  (
+	.CLK(CTS_44),
+	.D(n_4239),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][7]  (
+	.CLK(CTS_44),
+	.D(n_4238),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][8]  (
+	.CLK(CTS_44),
+	.D(n_4237),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][1]  (
+	.CLK(CTS_3),
+	.D(n_5063),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][2]  (
+	.CLK(CTS_3),
+	.D(n_4236),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][3]  (
+	.CLK(CTS_41),
+	.D(n_4235),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][4]  (
+	.CLK(CTS_3),
+	.D(n_4234),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][5]  (
+	.CLK(CTS_37),
+	.D(n_4387),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][6]  (
+	.CLK(CTS_41),
+	.D(n_4233),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][7]  (
+	.CLK(CTS_41),
+	.D(n_4232),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][8]  (
+	.CLK(CTS_41),
+	.D(n_4231),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][1]  (
+	.CLK(CTS_38),
+	.D(n_4764),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][2]  (
+	.CLK(CTS_38),
+	.D(n_4230),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][3]  (
+	.CLK(CTS_38),
+	.D(n_4229),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][4]  (
+	.CLK(CTS_38),
+	.D(n_4228),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][5]  (
+	.CLK(CTS_38),
+	.D(n_4892),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][6]  (
+	.CLK(CTS_57),
+	.D(n_4227),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][7]  (
+	.CLK(CTS_57),
+	.D(n_4886),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][8]  (
+	.CLK(CTS_57),
+	.D(n_4889),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][1]  (
+	.CLK(CTS_47),
+	.D(n_5192),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][2]  (
+	.CLK(CTS_38),
+	.D(n_4226),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][3]  (
+	.CLK(CTS_47),
+	.D(n_4225),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][4]  (
+	.CLK(CTS_47),
+	.D(n_4224),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][5]  (
+	.CLK(CTS_38),
+	.D(n_4222),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][6]  (
+	.CLK(CTS_47),
+	.D(n_4221),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][7]  (
+	.CLK(CTS_47),
+	.D(n_4220),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][8]  (
+	.CLK(CTS_38),
+	.D(n_4219),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][1]  (
+	.CLK(CTS_47),
+	.D(n_4218),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][2]  (
+	.CLK(CTS_28),
+	.D(n_4217),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][3]  (
+	.CLK(CTS_47),
+	.D(n_4216),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][4]  (
+	.CLK(CTS_28),
+	.D(n_4215),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][5]  (
+	.CLK(CTS_47),
+	.D(n_4214),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][6]  (
+	.CLK(CTS_28),
+	.D(n_4213),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][7]  (
+	.CLK(CTS_28),
+	.D(n_4212),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][8]  (
+	.CLK(CTS_28),
+	.D(n_4211),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][1]  (
+	.CLK(CTS_10),
+	.D(n_4210),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][2]  (
+	.CLK(CTS_10),
+	.D(n_4209),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][3]  (
+	.CLK(CTS_10),
+	.D(n_4208),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][4]  (
+	.CLK(CTS_10),
+	.D(n_4207),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][5]  (
+	.CLK(CTS_10),
+	.D(n_3164),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][6]  (
+	.CLK(CTS_10),
+	.D(n_4206),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][7]  (
+	.CLK(CTS_10),
+	.D(n_4204),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][8]  (
+	.CLK(CTS_10),
+	.D(n_5164),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][1]  (
+	.CLK(CTS_10),
+	.D(n_4203),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][2]  (
+	.CLK(CTS_12),
+	.D(n_4201),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][3]  (
+	.CLK(CTS_12),
+	.D(n_4200),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][4]  (
+	.CLK(CTS_7),
+	.D(n_4199),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][5]  (
+	.CLK(CTS_12),
+	.D(n_4198),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][6]  (
+	.CLK(CTS_12),
+	.D(n_4431),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][7]  (
+	.CLK(CTS_12),
+	.D(n_4499),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][8]  (
+	.CLK(CTS_10),
+	.D(n_4197),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][1]  (
+	.CLK(CTS_5),
+	.D(n_4552),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][2]  (
+	.CLK(CTS_5),
+	.D(n_4196),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][3]  (
+	.CLK(CTS_5),
+	.D(n_4194),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][4]  (
+	.CLK(CTS_5),
+	.D(n_4193),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][5]  (
+	.CLK(CTS_5),
+	.D(n_4192),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][6]  (
+	.CLK(CTS_5),
+	.D(n_4191),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][7]  (
+	.CLK(CTS_5),
+	.D(n_4994),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][8]  (
+	.CLK(CTS_5),
+	.D(n_4190),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][1]  (
+	.CLK(CTS_15),
+	.D(n_4189),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][2]  (
+	.CLK(CTS_15),
+	.D(n_5007),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][3]  (
+	.CLK(CTS_1),
+	.D(n_5009),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][4]  (
+	.CLK(CTS_15),
+	.D(n_4188),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][5]  (
+	.CLK(CTS_1),
+	.D(n_5010),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][6]  (
+	.CLK(CTS_15),
+	.D(n_4187),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][7]  (
+	.CLK(CTS_15),
+	.D(n_4186),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][8]  (
+	.CLK(CTS_1),
+	.D(n_4185),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][1]  (
+	.CLK(CTS_15),
+	.D(n_4183),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][2]  (
+	.CLK(CTS_15),
+	.D(n_4182),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][3]  (
+	.CLK(CTS_15),
+	.D(n_4181),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][4]  (
+	.CLK(CTS_15),
+	.D(n_4180),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][5]  (
+	.CLK(CTS_15),
+	.D(n_4179),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][6]  (
+	.CLK(CTS_15),
+	.D(n_4178),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][7]  (
+	.CLK(CTS_15),
+	.D(n_3162),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][8]  (
+	.CLK(CTS_15),
+	.D(n_4177),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][1]  (
+	.CLK(CTS_4),
+	.D(n_4176),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][2]  (
+	.CLK(CTS_8),
+	.D(n_4175),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][3]  (
+	.CLK(CTS_4),
+	.D(n_4174),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][4]  (
+	.CLK(CTS_4),
+	.D(n_4173),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][5]  (
+	.CLK(CTS_4),
+	.D(n_4171),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][6]  (
+	.CLK(CTS_8),
+	.D(n_4170),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][7]  (
+	.CLK(CTS_4),
+	.D(n_4169),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][8]  (
+	.CLK(CTS_8),
+	.D(n_4168),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][1]  (
+	.CLK(CTS_2),
+	.D(n_4167),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][2]  (
+	.CLK(CTS_2),
+	.D(n_4166),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][3]  (
+	.CLK(CTS_2),
+	.D(n_4165),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][4]  (
+	.CLK(CTS_2),
+	.D(n_4164),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][5]  (
+	.CLK(CTS_2),
+	.D(n_4163),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][6]  (
+	.CLK(CTS_2),
+	.D(n_4630),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][7]  (
+	.CLK(CTS_2),
+	.D(n_4162),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][8]  (
+	.CLK(CTS_2),
+	.D(n_4160),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][1]  (
+	.CLK(CTS_6),
+	.D(n_4159),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][2]  (
+	.CLK(CTS_2),
+	.D(n_4158),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][3]  (
+	.CLK(CTS_6),
+	.D(n_4157),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][4]  (
+	.CLK(CTS_13),
+	.D(n_4156),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][5]  (
+	.CLK(CTS_13),
+	.D(n_4155),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][6]  (
+	.CLK(CTS_2),
+	.D(n_4154),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][7]  (
+	.CLK(CTS_6),
+	.D(n_4153),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][8]  (
+	.CLK(CTS_2),
+	.D(n_4152),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][1]  (
+	.CLK(CTS_11),
+	.D(n_4151),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][2]  (
+	.CLK(CTS_11),
+	.D(n_5034),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][3]  (
+	.CLK(CTS_11),
+	.D(n_4150),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][4]  (
+	.CLK(CTS_11),
+	.D(n_4149),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][5]  (
+	.CLK(CTS_11),
+	.D(n_4148),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][6]  (
+	.CLK(CTS_11),
+	.D(n_4894),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][7]  (
+	.CLK(CTS_11),
+	.D(n_4147),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][8]  (
+	.CLK(CTS_11),
+	.D(n_4146),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][1]  (
+	.CLK(CTS_7),
+	.D(n_4145),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][2]  (
+	.CLK(CTS_7),
+	.D(n_4144),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][3]  (
+	.CLK(CTS_13),
+	.D(n_4143),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][4]  (
+	.CLK(CTS_7),
+	.D(n_4142),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][5]  (
+	.CLK(CTS_13),
+	.D(n_4141),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][6]  (
+	.CLK(CTS_7),
+	.D(n_4140),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][7]  (
+	.CLK(CTS_13),
+	.D(n_4139),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][8]  (
+	.CLK(CTS_7),
+	.D(n_4980),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][1]  (
+	.CLK(CTS_3),
+	.D(n_4137),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][2]  (
+	.CLK(CTS_41),
+	.D(n_4136),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][3]  (
+	.CLK(CTS_41),
+	.D(n_4135),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][4]  (
+	.CLK(CTS_41),
+	.D(n_4134),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][5]  (
+	.CLK(CTS_3),
+	.D(n_4133),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][6]  (
+	.CLK(CTS_41),
+	.D(n_4132),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][7]  (
+	.CLK(CTS_41),
+	.D(n_4130),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][8]  (
+	.CLK(CTS_3),
+	.D(n_4129),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][1]  (
+	.CLK(CTS_7),
+	.D(n_4128),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][2]  (
+	.CLK(CTS_13),
+	.D(n_4127),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][3]  (
+	.CLK(CTS_13),
+	.D(n_4126),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][4]  (
+	.CLK(CTS_7),
+	.D(n_4125),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][5]  (
+	.CLK(CTS_13),
+	.D(n_4124),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][6]  (
+	.CLK(CTS_13),
+	.D(n_4123),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][7]  (
+	.CLK(CTS_7),
+	.D(n_4122),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][8]  (
+	.CLK(CTS_7),
+	.D(n_4121),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][1]  (
+	.CLK(CTS_1),
+	.D(n_4120),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][2]  (
+	.CLK(CTS_1),
+	.D(n_4119),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][3]  (
+	.CLK(CTS_1),
+	.D(n_4118),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][4]  (
+	.CLK(CTS_1),
+	.D(n_4117),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][5]  (
+	.CLK(CTS_1),
+	.D(n_4116),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][6]  (
+	.CLK(CTS_1),
+	.D(n_4115),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][7]  (
+	.CLK(CTS_1),
+	.D(n_4114),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][8]  (
+	.CLK(CTS_1),
+	.D(n_4113),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
+	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][1]  (
+	.CLK(CTS_16),
+	.D(n_4112),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][2]  (
+	.CLK(CTS_16),
+	.D(n_4111),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][3]  (
+	.CLK(CTS_16),
+	.D(n_4110),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][4]  (
+	.CLK(CTS_16),
+	.D(n_4109),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][5]  (
+	.CLK(CTS_16),
+	.D(n_4108),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][6]  (
+	.CLK(CTS_16),
+	.D(n_4107),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][7]  (
+	.CLK(CTS_16),
+	.D(n_4105),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][8]  (
+	.CLK(CTS_16),
+	.D(n_4104),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][1]  (
+	.CLK(CTS_6),
+	.D(n_4573),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][2]  (
+	.CLK(CTS_6),
+	.D(n_4102),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][3]  (
+	.CLK(CTS_6),
+	.D(n_4101),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][4]  (
+	.CLK(CTS_6),
+	.D(n_4100),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][5]  (
+	.CLK(CTS_6),
+	.D(n_4099),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][6]  (
+	.CLK(CTS_6),
+	.D(n_4098),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][7]  (
+	.CLK(CTS_6),
+	.D(n_4097),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][8]  (
+	.CLK(CTS_6),
+	.D(n_4096),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][1]  (
+	.CLK(CTS_4),
+	.D(n_4095),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][2]  (
+	.CLK(CTS_16),
+	.D(n_4094),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][3]  (
+	.CLK(CTS_16),
+	.D(n_4093),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][4]  (
+	.CLK(CTS_16),
+	.D(n_4092),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][5]  (
+	.CLK(CTS_16),
+	.D(n_4091),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][6]  (
+	.CLK(CTS_16),
+	.D(n_4090),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][7]  (
+	.CLK(CTS_16),
+	.D(n_4089),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][8]  (
+	.CLK(CTS_16),
+	.D(n_4088),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][1]  (
+	.CLK(CTS_9),
+	.D(n_4087),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][2]  (
+	.CLK(CTS_9),
+	.D(n_4086),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][3]  (
+	.CLK(CTS_9),
+	.D(n_4085),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][4]  (
+	.CLK(CTS_9),
+	.D(n_4084),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][5]  (
+	.CLK(CTS_9),
+	.D(n_4083),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][6]  (
+	.CLK(CTS_9),
+	.D(n_4082),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][7]  (
+	.CLK(CTS_9),
+	.D(n_4081),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][8]  (
+	.CLK(CTS_9),
+	.D(n_4080),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][1]  (
+	.CLK(CTS_10),
+	.D(n_4079),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][2]  (
+	.CLK(CTS_42),
+	.D(n_4078),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][3]  (
+	.CLK(CTS_39),
+	.D(n_4077),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][4]  (
+	.CLK(CTS_26),
+	.D(n_4076),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][5]  (
+	.CLK(CTS_26),
+	.D(n_4075),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][6]  (
+	.CLK(CTS_26),
+	.D(n_4074),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][7]  (
+	.CLK(CTS_39),
+	.D(n_4073),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][8]  (
+	.CLK(CTS_39),
+	.D(n_4072),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][1]  (
+	.CLK(CTS_37),
+	.D(n_4071),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][2]  (
+	.CLK(CTS_37),
+	.D(n_4070),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][3]  (
+	.CLK(CTS_37),
+	.D(n_4069),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][4]  (
+	.CLK(CTS_37),
+	.D(n_4067),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][5]  (
+	.CLK(CTS_37),
+	.D(n_4066),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][6]  (
+	.CLK(CTS_37),
+	.D(n_4065),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][7]  (
+	.CLK(CTS_37),
+	.D(n_4064),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][8]  (
+	.CLK(CTS_37),
+	.D(n_4063),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][1]  (
+	.CLK(CTS_42),
+	.D(n_4062),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][2]  (
+	.CLK(CTS_40),
+	.D(n_4587),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][3]  (
+	.CLK(CTS_40),
+	.D(n_4654),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][4]  (
+	.CLK(CTS_40),
+	.D(n_4061),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][5]  (
+	.CLK(CTS_40),
+	.D(n_4060),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][6]  (
+	.CLK(CTS_40),
+	.D(n_4059),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][7]  (
+	.CLK(CTS_40),
+	.D(n_4058),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][8]  (
+	.CLK(CTS_42),
+	.D(n_4057),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][1]  (
+	.CLK(CTS_37),
+	.D(n_4056),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][2]  (
+	.CLK(CTS_41),
+	.D(n_4458),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][3]  (
+	.CLK(CTS_41),
+	.D(n_5302),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][4]  (
+	.CLK(CTS_41),
+	.D(n_4054),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][5]  (
+	.CLK(CTS_41),
+	.D(n_4053),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][6]  (
+	.CLK(CTS_37),
+	.D(n_4052),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][7]  (
+	.CLK(CTS_41),
+	.D(n_4051),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][8]  (
+	.CLK(CTS_41),
+	.D(n_4050),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][1]  (
+	.CLK(CTS_8),
+	.D(n_4950),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][2]  (
+	.CLK(CTS_38),
+	.D(n_4049),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][3]  (
+	.CLK(CTS_8),
+	.D(n_4048),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][4]  (
+	.CLK(CTS_8),
+	.D(n_4047),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][5]  (
+	.CLK(CTS_8),
+	.D(n_4046),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][6]  (
+	.CLK(CTS_8),
+	.D(n_4045),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][7]  (
+	.CLK(CTS_8),
+	.D(n_4947),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][8]  (
+	.CLK(CTS_8),
+	.D(n_4044),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][1]  (
+	.CLK(CTS_26),
+	.D(n_4043),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][2]  (
+	.CLK(CTS_26),
+	.D(n_4042),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][3]  (
+	.CLK(CTS_26),
+	.D(n_4041),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][4]  (
+	.CLK(CTS_26),
+	.D(n_4040),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][5]  (
+	.CLK(CTS_26),
+	.D(n_4039),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][6]  (
+	.CLK(CTS_26),
+	.D(n_4038),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][7]  (
+	.CLK(CTS_26),
+	.D(n_4037),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
+	.RESET_B(FE_OFN104_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][8]  (
+	.CLK(CTS_26),
+	.D(n_4036),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][1]  (
+	.CLK(CTS_26),
+	.D(n_4034),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][2]  (
+	.CLK(CTS_26),
+	.D(n_4033),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][3]  (
+	.CLK(CTS_26),
+	.D(n_4032),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][4]  (
+	.CLK(CTS_26),
+	.D(n_4031),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][5]  (
+	.CLK(CTS_26),
+	.D(n_4030),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][6]  (
+	.CLK(CTS_26),
+	.D(n_4029),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][7]  (
+	.CLK(CTS_26),
+	.D(n_4028),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][8]  (
+	.CLK(CTS_26),
+	.D(n_4027),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][1]  (
+	.CLK(CTS_42),
+	.D(n_4026),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][2]  (
+	.CLK(CTS_10),
+	.D(n_4025),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][3]  (
+	.CLK(CTS_10),
+	.D(n_4024),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][4]  (
+	.CLK(CTS_10),
+	.D(n_4023),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][5]  (
+	.CLK(CTS_10),
+	.D(n_4022),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][6]  (
+	.CLK(CTS_10),
+	.D(n_4021),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][7]  (
+	.CLK(CTS_10),
+	.D(n_4020),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][8]  (
+	.CLK(CTS_10),
+	.D(n_4018),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][1]  (
+	.CLK(CTS_26),
+	.D(n_4016),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][2]  (
+	.CLK(CTS_26),
+	.D(n_4765),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][3]  (
+	.CLK(CTS_26),
+	.D(n_4015),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][4]  (
+	.CLK(CTS_26),
+	.D(n_4014),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][5]  (
+	.CLK(CTS_26),
+	.D(n_4013),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][6]  (
+	.CLK(CTS_26),
+	.D(n_4012),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][7]  (
+	.CLK(CTS_26),
+	.D(n_4011),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][8]  (
+	.CLK(CTS_42),
+	.D(n_3152),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][1]  (
+	.CLK(CTS_3),
+	.D(n_3157),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][2]  (
+	.CLK(CTS_41),
+	.D(n_4010),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][3]  (
+	.CLK(CTS_41),
+	.D(n_4009),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][4]  (
+	.CLK(CTS_41),
+	.D(n_4008),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][5]  (
+	.CLK(CTS_3),
+	.D(n_4007),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][6]  (
+	.CLK(CTS_41),
+	.D(n_4006),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][7]  (
+	.CLK(CTS_41),
+	.D(n_4005),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][8]  (
+	.CLK(CTS_41),
+	.D(n_4004),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][1]  (
+	.CLK(CTS_42),
+	.D(n_4002),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][2]  (
+	.CLK(CTS_42),
+	.D(n_4001),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][3]  (
+	.CLK(CTS_32),
+	.D(n_4000),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][4]  (
+	.CLK(CTS_42),
+	.D(n_3999),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][5]  (
+	.CLK(CTS_26),
+	.D(n_4429),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][6]  (
+	.CLK(CTS_42),
+	.D(n_3998),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][7]  (
+	.CLK(CTS_42),
+	.D(n_3997),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][8]  (
+	.CLK(CTS_42),
+	.D(n_3995),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][1]  (
+	.CLK(CTS_3),
+	.D(n_3994),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][2]  (
+	.CLK(CTS_3),
+	.D(n_3993),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][3]  (
+	.CLK(CTS_3),
+	.D(n_4131),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][4]  (
+	.CLK(CTS_3),
+	.D(n_3992),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][5]  (
+	.CLK(CTS_3),
+	.D(n_3991),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][6]  (
+	.CLK(CTS_3),
+	.D(n_3990),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][7]  (
+	.CLK(CTS_3),
+	.D(n_5293),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][8]  (
+	.CLK(CTS_3),
+	.D(n_4836),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][1]  (
+	.CLK(CTS_38),
+	.D(n_3989),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][2]  (
+	.CLK(CTS_38),
+	.D(n_4989),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][3]  (
+	.CLK(CTS_38),
+	.D(n_5036),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][4]  (
+	.CLK(CTS_38),
+	.D(n_4019),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][5]  (
+	.CLK(CTS_39),
+	.D(n_3996),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][6]  (
+	.CLK(CTS_57),
+	.D(n_3988),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][7]  (
+	.CLK(CTS_57),
+	.D(n_4106),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][8]  (
+	.CLK(CTS_57),
+	.D(n_4223),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][1]  (
+	.CLK(CTS_38),
+	.D(n_5314),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][2]  (
+	.CLK(CTS_47),
+	.D(n_3987),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][3]  (
+	.CLK(CTS_38),
+	.D(n_3986),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][4]  (
+	.CLK(CTS_38),
+	.D(n_3985),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][5]  (
+	.CLK(CTS_38),
+	.D(n_3984),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][6]  (
+	.CLK(CTS_47),
+	.D(n_3983),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][7]  (
+	.CLK(CTS_38),
+	.D(n_3982),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][8]  (
+	.CLK(CTS_38),
+	.D(n_3981),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
+	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][1]  (
+	.CLK(CTS_47),
+	.D(n_3979),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][2]  (
+	.CLK(CTS_47),
+	.D(n_3379),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][3]  (
+	.CLK(CTS_47),
+	.D(n_3978),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][4]  (
+	.CLK(CTS_47),
+	.D(n_3977),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][5]  (
+	.CLK(CTS_47),
+	.D(n_3976),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][6]  (
+	.CLK(CTS_47),
+	.D(n_3975),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][7]  (
+	.CLK(CTS_47),
+	.D(n_3720),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][8]  (
+	.CLK(CTS_47),
+	.D(n_3974),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][1]  (
+	.CLK(CTS_10),
+	.D(n_3973),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][2]  (
+	.CLK(CTS_3),
+	.D(n_5023),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][3]  (
+	.CLK(CTS_10),
+	.D(n_3972),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][4]  (
+	.CLK(CTS_10),
+	.D(n_3971),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][5]  (
+	.CLK(CTS_10),
+	.D(n_3970),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][6]  (
+	.CLK(CTS_3),
+	.D(n_4997),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][7]  (
+	.CLK(CTS_10),
+	.D(n_3969),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][8]  (
+	.CLK(CTS_3),
+	.D(n_5001),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][1]  (
+	.CLK(CTS_12),
+	.D(n_3968),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][2]  (
+	.CLK(CTS_12),
+	.D(n_3967),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][3]  (
+	.CLK(CTS_12),
+	.D(n_3966),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][4]  (
+	.CLK(CTS_10),
+	.D(n_3965),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][5]  (
+	.CLK(CTS_12),
+	.D(n_3964),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][6]  (
+	.CLK(CTS_12),
+	.D(n_3963),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][7]  (
+	.CLK(CTS_12),
+	.D(n_3962),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][8]  (
+	.CLK(CTS_12),
+	.D(n_3961),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][1]  (
+	.CLK(CTS_5),
+	.D(n_3960),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][2]  (
+	.CLK(CTS_5),
+	.D(n_3959),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][3]  (
+	.CLK(CTS_5),
+	.D(n_3958),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][4]  (
+	.CLK(CTS_5),
+	.D(n_3957),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][5]  (
+	.CLK(CTS_5),
+	.D(n_3956),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][6]  (
+	.CLK(CTS_5),
+	.D(n_3955),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][7]  (
+	.CLK(CTS_5),
+	.D(n_3953),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][8]  (
+	.CLK(CTS_5),
+	.D(n_4859),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][1]  (
+	.CLK(CTS_1),
+	.D(n_3952),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][2]  (
+	.CLK(CTS_1),
+	.D(n_3951),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][3]  (
+	.CLK(CTS_1),
+	.D(n_3950),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][4]  (
+	.CLK(CTS_1),
+	.D(n_3949),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][5]  (
+	.CLK(CTS_1),
+	.D(n_4755),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][6]  (
+	.CLK(CTS_1),
+	.D(n_3948),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][7]  (
+	.CLK(CTS_1),
+	.D(n_4442),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][8]  (
+	.CLK(CTS_1),
+	.D(n_4984),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
+	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][1]  (
+	.CLK(CTS_15),
+	.D(n_3947),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][2]  (
+	.CLK(CTS_15),
+	.D(n_3946),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][3]  (
+	.CLK(CTS_15),
+	.D(n_3945),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][4]  (
+	.CLK(CTS_15),
+	.D(n_3944),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][5]  (
+	.CLK(CTS_15),
+	.D(n_4435),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][6]  (
+	.CLK(CTS_15),
+	.D(n_3943),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][7]  (
+	.CLK(CTS_15),
+	.D(n_4492),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][8]  (
+	.CLK(CTS_15),
+	.D(n_3942),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][1]  (
+	.CLK(CTS_16),
+	.D(n_5101),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][2]  (
+	.CLK(CTS_8),
+	.D(n_3941),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][3]  (
+	.CLK(CTS_4),
+	.D(n_3940),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][4]  (
+	.CLK(CTS_4),
+	.D(n_3939),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][5]  (
+	.CLK(CTS_4),
+	.D(n_3938),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][6]  (
+	.CLK(CTS_8),
+	.D(n_3937),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][7]  (
+	.CLK(CTS_4),
+	.D(n_3936),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][8]  (
+	.CLK(CTS_8),
+	.D(n_3935),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][1]  (
+	.CLK(CTS_11),
+	.D(n_3934),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][2]  (
+	.CLK(CTS_2),
+	.D(n_3160),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][3]  (
+	.CLK(CTS_2),
+	.D(n_3933),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][4]  (
+	.CLK(CTS_2),
+	.D(n_3161),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][5]  (
+	.CLK(CTS_2),
+	.D(n_3932),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][6]  (
+	.CLK(CTS_2),
+	.D(n_3931),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][7]  (
+	.CLK(CTS_2),
+	.D(n_3930),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][8]  (
+	.CLK(CTS_2),
+	.D(n_3163),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][1]  (
+	.CLK(CTS_16),
+	.D(n_3929),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][2]  (
+	.CLK(CTS_2),
+	.D(n_3928),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][3]  (
+	.CLK(CTS_13),
+	.D(n_3927),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][4]  (
+	.CLK(CTS_13),
+	.D(n_5006),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][5]  (
+	.CLK(CTS_16),
+	.D(n_3926),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][6]  (
+	.CLK(CTS_2),
+	.D(n_5008),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][7]  (
+	.CLK(CTS_16),
+	.D(n_3925),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][8]  (
+	.CLK(CTS_13),
+	.D(n_5011),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][1]  (
+	.CLK(CTS_9),
+	.D(n_3924),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][2]  (
+	.CLK(CTS_11),
+	.D(n_3923),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][3]  (
+	.CLK(CTS_11),
+	.D(n_3922),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][4]  (
+	.CLK(CTS_9),
+	.D(n_3921),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][5]  (
+	.CLK(CTS_11),
+	.D(n_3920),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][6]  (
+	.CLK(CTS_11),
+	.D(n_3919),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][7]  (
+	.CLK(CTS_9),
+	.D(n_3918),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][8]  (
+	.CLK(CTS_11),
+	.D(n_3917),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][1]  (
+	.CLK(CTS_7),
+	.D(n_3916),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][2]  (
+	.CLK(CTS_13),
+	.D(n_3915),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][3]  (
+	.CLK(CTS_13),
+	.D(n_3153),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][4]  (
+	.CLK(CTS_7),
+	.D(n_3914),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][5]  (
+	.CLK(CTS_13),
+	.D(n_3154),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][6]  (
+	.CLK(CTS_13),
+	.D(n_3913),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][7]  (
+	.CLK(CTS_13),
+	.D(n_3156),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][8]  (
+	.CLK(CTS_7),
+	.D(n_3912),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][1]  (
+	.CLK(CTS_3),
+	.D(n_3911),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][2]  (
+	.CLK(CTS_41),
+	.D(n_4068),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][3]  (
+	.CLK(CTS_41),
+	.D(n_3910),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][4]  (
+	.CLK(CTS_41),
+	.D(n_4791),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][5]  (
+	.CLK(CTS_3),
+	.D(n_3909),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][6]  (
+	.CLK(CTS_41),
+	.D(n_4992),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][7]  (
+	.CLK(CTS_41),
+	.D(n_3908),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][8]  (
+	.CLK(CTS_41),
+	.D(n_4996),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][1]  (
+	.CLK(CTS_7),
+	.D(n_3907),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][2]  (
+	.CLK(CTS_7),
+	.D(n_3906),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][3]  (
+	.CLK(CTS_7),
+	.D(n_3905),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][4]  (
+	.CLK(CTS_7),
+	.D(n_3904),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][5]  (
+	.CLK(CTS_7),
+	.D(n_3903),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][6]  (
+	.CLK(CTS_1),
+	.D(n_3902),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][7]  (
+	.CLK(CTS_7),
+	.D(n_3901),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][8]  (
+	.CLK(CTS_7),
+	.D(n_3900),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][1]  (
+	.CLK(CTS_1),
+	.D(n_3899),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][2]  (
+	.CLK(CTS_5),
+	.D(n_3898),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][3]  (
+	.CLK(CTS_9),
+	.D(n_3897),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][4]  (
+	.CLK(CTS_5),
+	.D(n_3896),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][5]  (
+	.CLK(CTS_9),
+	.D(n_3895),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][6]  (
+	.CLK(CTS_5),
+	.D(n_3894),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][7]  (
+	.CLK(CTS_9),
+	.D(n_5030),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][8]  (
+	.CLK(CTS_9),
+	.D(n_5032),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][1]  (
+	.CLK(CTS_57),
+	.D(n_3893),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][2]  (
+	.CLK(CTS_8),
+	.D(n_3892),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][3]  (
+	.CLK(CTS_57),
+	.D(n_3891),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][4]  (
+	.CLK(CTS_16),
+	.D(n_3890),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][5]  (
+	.CLK(CTS_57),
+	.D(n_3889),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][6]  (
+	.CLK(CTS_8),
+	.D(n_3888),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][7]  (
+	.CLK(CTS_8),
+	.D(n_3887),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][8]  (
+	.CLK(CTS_57),
+	.D(n_3886),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][1]  (
+	.CLK(CTS_4),
+	.D(n_4969),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][2]  (
+	.CLK(CTS_4),
+	.D(n_3885),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][3]  (
+	.CLK(CTS_4),
+	.D(n_3884),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][4]  (
+	.CLK(CTS_4),
+	.D(n_3883),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][5]  (
+	.CLK(CTS_4),
+	.D(n_3882),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][6]  (
+	.CLK(CTS_4),
+	.D(n_3881),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][7]  (
+	.CLK(CTS_4),
+	.D(n_3880),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][8]  (
+	.CLK(CTS_4),
+	.D(n_3879),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][1]  (
+	.CLK(CTS_16),
+	.D(n_3878),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][2]  (
+	.CLK(CTS_16),
+	.D(n_3877),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][3]  (
+	.CLK(CTS_6),
+	.D(n_3876),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][4]  (
+	.CLK(CTS_6),
+	.D(n_3875),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][5]  (
+	.CLK(CTS_6),
+	.D(n_3874),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][6]  (
+	.CLK(CTS_16),
+	.D(n_4861),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][7]  (
+	.CLK(CTS_16),
+	.D(n_3873),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][8]  (
+	.CLK(CTS_16),
+	.D(n_3872),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][1]  (
+	.CLK(CTS_9),
+	.D(n_3871),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][2]  (
+	.CLK(CTS_9),
+	.D(n_4943),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][3]  (
+	.CLK(CTS_9),
+	.D(n_3870),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][4]  (
+	.CLK(CTS_9),
+	.D(n_3168),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][5]  (
+	.CLK(CTS_9),
+	.D(n_3869),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][6]  (
+	.CLK(CTS_9),
+	.D(n_3868),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][7]  (
+	.CLK(CTS_9),
+	.D(n_3867),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][8]  (
+	.CLK(CTS_9),
+	.D(n_3866),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][1]  (
+	.CLK(CTS_42),
+	.D(n_3865),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][2]  (
+	.CLK(CTS_39),
+	.D(n_3864),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][3]  (
+	.CLK(CTS_39),
+	.D(n_3863),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][4]  (
+	.CLK(CTS_39),
+	.D(n_3862),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][5]  (
+	.CLK(CTS_39),
+	.D(n_3861),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][6]  (
+	.CLK(CTS_39),
+	.D(n_3860),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][7]  (
+	.CLK(CTS_39),
+	.D(n_3859),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][8]  (
+	.CLK(CTS_10),
+	.D(n_3858),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][1]  (
+	.CLK(CTS_43),
+	.D(n_3857),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][2]  (
+	.CLK(CTS_43),
+	.D(n_3856),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][3]  (
+	.CLK(CTS_43),
+	.D(n_3855),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][4]  (
+	.CLK(CTS_43),
+	.D(n_3854),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][5]  (
+	.CLK(CTS_43),
+	.D(n_3853),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][6]  (
+	.CLK(CTS_43),
+	.D(n_3852),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][7]  (
+	.CLK(CTS_43),
+	.D(n_3851),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][8]  (
+	.CLK(CTS_43),
+	.D(n_3850),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][1]  (
+	.CLK(CTS_44),
+	.D(n_4868),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][2]  (
+	.CLK(CTS_44),
+	.D(n_3849),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][3]  (
+	.CLK(CTS_44),
+	.D(n_3848),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][4]  (
+	.CLK(CTS_44),
+	.D(n_3847),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][5]  (
+	.CLK(CTS_44),
+	.D(n_4665),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][6]  (
+	.CLK(CTS_44),
+	.D(n_3846),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][7]  (
+	.CLK(CTS_44),
+	.D(n_3845),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][8]  (
+	.CLK(CTS_44),
+	.D(n_3844),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][1]  (
+	.CLK(CTS_37),
+	.D(n_4858),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][2]  (
+	.CLK(CTS_37),
+	.D(n_3225),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][3]  (
+	.CLK(CTS_37),
+	.D(n_3843),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][4]  (
+	.CLK(CTS_37),
+	.D(n_4793),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][5]  (
+	.CLK(CTS_37),
+	.D(n_3842),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][6]  (
+	.CLK(CTS_37),
+	.D(n_4352),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][7]  (
+	.CLK(CTS_37),
+	.D(n_4388),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][8]  (
+	.CLK(CTS_37),
+	.D(n_3841),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
+	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][1]  (
+	.CLK(CTS_38),
+	.D(n_3840),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][2]  (
+	.CLK(CTS_38),
+	.D(n_3839),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][3]  (
+	.CLK(CTS_8),
+	.D(n_3838),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][4]  (
+	.CLK(CTS_38),
+	.D(n_3837),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][5]  (
+	.CLK(CTS_57),
+	.D(n_3836),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][6]  (
+	.CLK(CTS_38),
+	.D(n_4830),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][7]  (
+	.CLK(CTS_38),
+	.D(n_3835),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][8]  (
+	.CLK(CTS_38),
+	.D(n_4803),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][1]  (
+	.CLK(CTS_32),
+	.D(n_3834),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][2]  (
+	.CLK(CTS_28),
+	.D(n_3166),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][3]  (
+	.CLK(CTS_32),
+	.D(n_5031),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][4]  (
+	.CLK(CTS_32),
+	.D(n_3833),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][5]  (
+	.CLK(CTS_28),
+	.D(n_4986),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][6]  (
+	.CLK(CTS_32),
+	.D(n_3832),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][7]  (
+	.CLK(CTS_32),
+	.D(n_3831),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][8]  (
+	.CLK(CTS_28),
+	.D(n_4860),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][1]  (
+	.CLK(CTS_26),
+	.D(n_3830),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][2]  (
+	.CLK(CTS_47),
+	.D(n_3829),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][3]  (
+	.CLK(CTS_26),
+	.D(n_5185),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][4]  (
+	.CLK(CTS_47),
+	.D(n_3828),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][5]  (
+	.CLK(CTS_47),
+	.D(n_4937),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][6]  (
+	.CLK(CTS_28),
+	.D(n_4885),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][7]  (
+	.CLK(CTS_32),
+	.D(n_3827),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][8]  (
+	.CLK(CTS_32),
+	.D(n_3249),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][1]  (
+	.CLK(CTS_42),
+	.D(n_4205),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][2]  (
+	.CLK(CTS_42),
+	.D(n_3826),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][3]  (
+	.CLK(CTS_42),
+	.D(n_3825),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][4]  (
+	.CLK(CTS_42),
+	.D(n_3824),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][5]  (
+	.CLK(CTS_42),
+	.D(n_3823),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][6]  (
+	.CLK(CTS_42),
+	.D(n_3822),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][7]  (
+	.CLK(CTS_42),
+	.D(n_3821),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][8]  (
+	.CLK(CTS_42),
+	.D(n_3819),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][1]  (
+	.CLK(CTS_39),
+	.D(n_3818),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][2]  (
+	.CLK(CTS_12),
+	.D(n_4975),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][3]  (
+	.CLK(CTS_12),
+	.D(n_4977),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][4]  (
+	.CLK(CTS_39),
+	.D(n_3817),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][5]  (
+	.CLK(CTS_12),
+	.D(n_4750),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][6]  (
+	.CLK(CTS_12),
+	.D(n_3816),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][7]  (
+	.CLK(CTS_39),
+	.D(n_3815),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][8]  (
+	.CLK(CTS_12),
+	.D(n_3814),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][1]  (
+	.CLK(CTS_37),
+	.D(n_4877),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][2]  (
+	.CLK(CTS_43),
+	.D(n_3812),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][3]  (
+	.CLK(CTS_43),
+	.D(n_3811),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][4]  (
+	.CLK(CTS_37),
+	.D(n_4939),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][5]  (
+	.CLK(CTS_40),
+	.D(n_3810),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][6]  (
+	.CLK(CTS_43),
+	.D(n_3809),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][7]  (
+	.CLK(CTS_37),
+	.D(n_4784),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][8]  (
+	.CLK(CTS_37),
+	.D(n_3808),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][1]  (
+	.CLK(CTS_44),
+	.D(n_4918),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][2]  (
+	.CLK(CTS_44),
+	.D(n_3807),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][3]  (
+	.CLK(CTS_44),
+	.D(n_3806),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][4]  (
+	.CLK(CTS_44),
+	.D(n_4759),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][5]  (
+	.CLK(CTS_44),
+	.D(n_3805),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][6]  (
+	.CLK(CTS_44),
+	.D(n_3804),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][7]  (
+	.CLK(CTS_44),
+	.D(n_4826),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][8]  (
+	.CLK(CTS_44),
+	.D(n_4993),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][1]  (
+	.CLK(CTS_3),
+	.D(n_4956),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][2]  (
+	.CLK(CTS_3),
+	.D(n_3803),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][3]  (
+	.CLK(CTS_41),
+	.D(n_3802),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][4]  (
+	.CLK(CTS_3),
+	.D(n_4903),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][5]  (
+	.CLK(CTS_41),
+	.D(n_3801),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][6]  (
+	.CLK(CTS_41),
+	.D(n_3800),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][7]  (
+	.CLK(CTS_41),
+	.D(n_3799),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][8]  (
+	.CLK(CTS_41),
+	.D(n_3798),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][1]  (
+	.CLK(CTS_38),
+	.D(n_3797),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][2]  (
+	.CLK(CTS_57),
+	.D(n_4870),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][3]  (
+	.CLK(CTS_57),
+	.D(n_3796),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][4]  (
+	.CLK(CTS_57),
+	.D(n_4971),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][5]  (
+	.CLK(CTS_39),
+	.D(n_4812),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][6]  (
+	.CLK(CTS_57),
+	.D(n_3795),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][7]  (
+	.CLK(CTS_57),
+	.D(n_3793),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][8]  (
+	.CLK(CTS_12),
+	.D(n_4899),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][1]  (
+	.CLK(CTS_47),
+	.D(n_4906),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][2]  (
+	.CLK(CTS_47),
+	.D(n_4924),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][3]  (
+	.CLK(CTS_47),
+	.D(n_3792),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][4]  (
+	.CLK(CTS_47),
+	.D(n_4921),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][5]  (
+	.CLK(CTS_38),
+	.D(n_3791),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][6]  (
+	.CLK(CTS_47),
+	.D(n_4929),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][7]  (
+	.CLK(CTS_47),
+	.D(n_3790),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][8]  (
+	.CLK(CTS_47),
+	.D(n_4914),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][1]  (
+	.CLK(CTS_47),
+	.D(n_3789),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][2]  (
+	.CLK(CTS_47),
+	.D(n_4842),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][3]  (
+	.CLK(CTS_47),
+	.D(n_4833),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][4]  (
+	.CLK(CTS_28),
+	.D(n_4946),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][5]  (
+	.CLK(CTS_47),
+	.D(n_3787),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][6]  (
+	.CLK(CTS_28),
+	.D(n_3658),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][7]  (
+	.CLK(CTS_28),
+	.D(n_3786),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][8]  (
+	.CLK(CTS_28),
+	.D(n_3155),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.RESET_B(FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][1]  (
+	.CLK(CTS_10),
+	.D(n_3785),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][2]  (
+	.CLK(CTS_10),
+	.D(n_3784),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][3]  (
+	.CLK(CTS_10),
+	.D(n_3783),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][4]  (
+	.CLK(CTS_10),
+	.D(n_3782),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][5]  (
+	.CLK(CTS_10),
+	.D(n_3781),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][6]  (
+	.CLK(CTS_3),
+	.D(n_3780),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][7]  (
+	.CLK(CTS_10),
+	.D(n_3779),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][8]  (
+	.CLK(CTS_3),
+	.D(n_3778),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][1]  (
+	.CLK(CTS_12),
+	.D(n_3777),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][2]  (
+	.CLK(CTS_12),
+	.D(n_3776),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][3]  (
+	.CLK(CTS_7),
+	.D(n_4998),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][4]  (
+	.CLK(CTS_7),
+	.D(n_3775),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][5]  (
+	.CLK(CTS_7),
+	.D(n_5000),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][6]  (
+	.CLK(CTS_12),
+	.D(n_3774),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][7]  (
+	.CLK(CTS_7),
+	.D(n_5020),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][8]  (
+	.CLK(CTS_10),
+	.D(n_3773),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][1]  (
+	.CLK(CTS_5),
+	.D(n_5019),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][2]  (
+	.CLK(CTS_15),
+	.D(n_5021),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][3]  (
+	.CLK(CTS_5),
+	.D(n_3772),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][4]  (
+	.CLK(CTS_5),
+	.D(n_5022),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][5]  (
+	.CLK(CTS_5),
+	.D(n_3771),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][6]  (
+	.CLK(CTS_15),
+	.D(n_3770),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][7]  (
+	.CLK(CTS_15),
+	.D(n_5029),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][8]  (
+	.CLK(CTS_5),
+	.D(n_3769),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][1]  (
+	.CLK(CTS_3),
+	.D(n_3768),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][2]  (
+	.CLK(CTS_3),
+	.D(n_3767),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][3]  (
+	.CLK(CTS_3),
+	.D(n_3766),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][4]  (
+	.CLK(CTS_3),
+	.D(n_3765),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][5]  (
+	.CLK(CTS_3),
+	.D(n_3172),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][6]  (
+	.CLK(CTS_1),
+	.D(n_3764),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][7]  (
+	.CLK(CTS_1),
+	.D(n_3763),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][8]  (
+	.CLK(CTS_1),
+	.D(n_3762),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][1]  (
+	.CLK(CTS_15),
+	.D(n_3761),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][2]  (
+	.CLK(CTS_15),
+	.D(n_3760),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][3]  (
+	.CLK(CTS_15),
+	.D(n_4829),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][4]  (
+	.CLK(CTS_3),
+	.D(n_4828),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][5]  (
+	.CLK(CTS_15),
+	.D(n_4872),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][6]  (
+	.CLK(CTS_41),
+	.D(n_4949),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][7]  (
+	.CLK(CTS_15),
+	.D(n_4923),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][8]  (
+	.CLK(CTS_15),
+	.D(n_4954),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][1]  (
+	.CLK(CTS_4),
+	.D(n_3759),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][2]  (
+	.CLK(CTS_8),
+	.D(n_3758),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][3]  (
+	.CLK(CTS_8),
+	.D(n_3757),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][4]  (
+	.CLK(CTS_4),
+	.D(n_3756),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][5]  (
+	.CLK(CTS_4),
+	.D(n_3755),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][6]  (
+	.CLK(CTS_8),
+	.D(n_3754),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][7]  (
+	.CLK(CTS_4),
+	.D(n_3753),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][8]  (
+	.CLK(CTS_8),
+	.D(n_3752),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][1]  (
+	.CLK(CTS_2),
+	.D(n_3751),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][2]  (
+	.CLK(CTS_2),
+	.D(n_4944),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][3]  (
+	.CLK(CTS_2),
+	.D(n_3749),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][4]  (
+	.CLK(CTS_2),
+	.D(n_4742),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][5]  (
+	.CLK(CTS_2),
+	.D(n_3748),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][6]  (
+	.CLK(CTS_2),
+	.D(n_4281),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][7]  (
+	.CLK(CTS_2),
+	.D(n_3747),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][8]  (
+	.CLK(CTS_2),
+	.D(n_3746),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][1]  (
+	.CLK(CTS_13),
+	.D(n_3745),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][2]  (
+	.CLK(CTS_13),
+	.D(n_3744),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][3]  (
+	.CLK(CTS_13),
+	.D(n_3743),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][4]  (
+	.CLK(CTS_13),
+	.D(n_3742),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][5]  (
+	.CLK(CTS_13),
+	.D(n_3741),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][6]  (
+	.CLK(CTS_13),
+	.D(n_3740),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][7]  (
+	.CLK(CTS_13),
+	.D(n_3739),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][8]  (
+	.CLK(CTS_13),
+	.D(n_3738),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][1]  (
+	.CLK(CTS_11),
+	.D(n_3737),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][2]  (
+	.CLK(CTS_11),
+	.D(n_3736),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][3]  (
+	.CLK(CTS_11),
+	.D(n_3734),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][4]  (
+	.CLK(CTS_11),
+	.D(n_3733),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][5]  (
+	.CLK(CTS_11),
+	.D(n_3732),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][6]  (
+	.CLK(CTS_11),
+	.D(n_3731),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][7]  (
+	.CLK(CTS_11),
+	.D(n_4832),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][8]  (
+	.CLK(CTS_11),
+	.D(n_3730),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][1]  (
+	.CLK(CTS_7),
+	.D(n_3729),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][2]  (
+	.CLK(CTS_7),
+	.D(n_4843),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][3]  (
+	.CLK(CTS_13),
+	.D(n_3728),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][4]  (
+	.CLK(CTS_7),
+	.D(n_3727),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][5]  (
+	.CLK(CTS_7),
+	.D(n_3726),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][6]  (
+	.CLK(CTS_13),
+	.D(n_4928),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][7]  (
+	.CLK(CTS_7),
+	.D(n_3725),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][8]  (
+	.CLK(CTS_7),
+	.D(n_4978),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][1]  (
+	.CLK(CTS_3),
+	.D(n_3724),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][2]  (
+	.CLK(CTS_3),
+	.D(n_3723),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][3]  (
+	.CLK(CTS_3),
+	.D(n_3722),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][4]  (
+	.CLK(CTS_41),
+	.D(n_3721),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][5]  (
+	.CLK(CTS_3),
+	.D(n_3719),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][6]  (
+	.CLK(CTS_41),
+	.D(n_3718),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][7]  (
+	.CLK(CTS_41),
+	.D(n_3717),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][8]  (
+	.CLK(CTS_41),
+	.D(n_3716),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][1]  (
+	.CLK(CTS_13),
+	.D(n_3715),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][2]  (
+	.CLK(CTS_2),
+	.D(n_4801),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][3]  (
+	.CLK(CTS_11),
+	.D(n_4795),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][4]  (
+	.CLK(CTS_11),
+	.D(n_3714),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][5]  (
+	.CLK(CTS_11),
+	.D(n_4999),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][6]  (
+	.CLK(CTS_2),
+	.D(n_3713),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][7]  (
+	.CLK(CTS_7),
+	.D(n_3712),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][8]  (
+	.CLK(CTS_11),
+	.D(n_3711),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][1]  (
+	.CLK(CTS_5),
+	.D(n_4804),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][2]  (
+	.CLK(CTS_5),
+	.D(n_3710),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][3]  (
+	.CLK(CTS_5),
+	.D(n_3709),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][4]  (
+	.CLK(CTS_15),
+	.D(n_3165),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][5]  (
+	.CLK(CTS_5),
+	.D(n_3708),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][6]  (
+	.CLK(CTS_15),
+	.D(n_3707),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][7]  (
+	.CLK(CTS_1),
+	.D(n_3706),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][8]  (
+	.CLK(CTS_1),
+	.D(n_4871),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][1]  (
+	.CLK(CTS_57),
+	.D(n_3705),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][2]  (
+	.CLK(CTS_57),
+	.D(n_3704),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][3]  (
+	.CLK(CTS_12),
+	.D(n_3703),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][4]  (
+	.CLK(CTS_57),
+	.D(n_3702),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][5]  (
+	.CLK(CTS_57),
+	.D(n_3701),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][6]  (
+	.CLK(CTS_57),
+	.D(n_3700),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][7]  (
+	.CLK(CTS_12),
+	.D(n_3699),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][8]  (
+	.CLK(CTS_57),
+	.D(n_3698),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][1]  (
+	.CLK(CTS_6),
+	.D(n_3697),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][2]  (
+	.CLK(CTS_6),
+	.D(n_3696),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][3]  (
+	.CLK(CTS_6),
+	.D(n_4246),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][4]  (
+	.CLK(CTS_6),
+	.D(n_3695),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][5]  (
+	.CLK(CTS_6),
+	.D(n_3694),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][6]  (
+	.CLK(CTS_6),
+	.D(n_3693),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][7]  (
+	.CLK(CTS_6),
+	.D(n_3171),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][8]  (
+	.CLK(CTS_6),
+	.D(n_3692),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][1]  (
+	.CLK(CTS_4),
+	.D(n_4897),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][2]  (
+	.CLK(CTS_4),
+	.D(n_3691),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][3]  (
+	.CLK(CTS_4),
+	.D(n_3690),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][4]  (
+	.CLK(CTS_4),
+	.D(n_3689),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][5]  (
+	.CLK(CTS_16),
+	.D(n_3688),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][6]  (
+	.CLK(CTS_4),
+	.D(n_3687),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][7]  (
+	.CLK(CTS_16),
+	.D(n_3686),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][8]  (
+	.CLK(CTS_4),
+	.D(n_3685),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][1]  (
+	.CLK(CTS_9),
+	.D(n_3684),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][2]  (
+	.CLK(CTS_9),
+	.D(n_3683),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][3]  (
+	.CLK(CTS_9),
+	.D(n_3682),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][4]  (
+	.CLK(CTS_9),
+	.D(n_4935),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][5]  (
+	.CLK(CTS_9),
+	.D(n_3681),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][6]  (
+	.CLK(CTS_9),
+	.D(n_4934),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][7]  (
+	.CLK(CTS_9),
+	.D(n_3680),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][8]  (
+	.CLK(CTS_1),
+	.D(n_3679),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][1]  (
+	.CLK(CTS_42),
+	.D(n_3678),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][2]  (
+	.CLK(CTS_42),
+	.D(n_4966),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][3]  (
+	.CLK(CTS_26),
+	.D(n_3677),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][4]  (
+	.CLK(CTS_42),
+	.D(n_4973),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][5]  (
+	.CLK(CTS_39),
+	.D(n_3675),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][6]  (
+	.CLK(CTS_26),
+	.D(n_4976),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][7]  (
+	.CLK(CTS_39),
+	.D(n_3674),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][8]  (
+	.CLK(CTS_42),
+	.D(n_3673),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][1]  (
+	.CLK(CTS_30),
+	.D(n_3672),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][2]  (
+	.CLK(CTS_43),
+	.D(n_3671),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][3]  (
+	.CLK(CTS_43),
+	.D(n_4788),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][4]  (
+	.CLK(CTS_30),
+	.D(n_3670),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][5]  (
+	.CLK(CTS_30),
+	.D(n_4749),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][6]  (
+	.CLK(CTS_30),
+	.D(n_3669),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][7]  (
+	.CLK(CTS_30),
+	.D(n_4760),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][8]  (
+	.CLK(CTS_43),
+	.D(n_3668),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][1]  (
+	.CLK(CTS_30),
+	.D(n_4778),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][2]  (
+	.CLK(CTS_30),
+	.D(n_4799),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][3]  (
+	.CLK(CTS_30),
+	.D(n_4798),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][4]  (
+	.CLK(CTS_30),
+	.D(n_3667),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][5]  (
+	.CLK(CTS_30),
+	.D(n_4821),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][6]  (
+	.CLK(CTS_30),
+	.D(n_3666),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][7]  (
+	.CLK(CTS_30),
+	.D(n_4827),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][8]  (
+	.CLK(CTS_30),
+	.D(n_4834),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][1]  (
+	.CLK(CTS_37),
+	.D(n_4837),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][2]  (
+	.CLK(CTS_37),
+	.D(n_3665),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][3]  (
+	.CLK(CTS_37),
+	.D(n_3664),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][4]  (
+	.CLK(CTS_37),
+	.D(n_4900),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][5]  (
+	.CLK(CTS_37),
+	.D(n_3663),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][6]  (
+	.CLK(CTS_37),
+	.D(n_3662),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][7]  (
+	.CLK(CTS_37),
+	.D(n_3661),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][8]  (
+	.CLK(CTS_37),
+	.D(n_4905),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][1]  (
+	.CLK(CTS_8),
+	.D(n_3660),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][2]  (
+	.CLK(CTS_8),
+	.D(n_4909),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][3]  (
+	.CLK(CTS_8),
+	.D(n_3659),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][4]  (
+	.CLK(CTS_8),
+	.D(n_4913),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][5]  (
+	.CLK(CTS_57),
+	.D(n_4915),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][6]  (
+	.CLK(CTS_8),
+	.D(n_4917),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][7]  (
+	.CLK(CTS_8),
+	.D(n_4925),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][8]  (
+	.CLK(CTS_8),
+	.D(n_4919),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][1]  (
+	.CLK(CTS_32),
+	.D(n_3657),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][2]  (
+	.CLK(CTS_32),
+	.D(n_3656),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][3]  (
+	.CLK(CTS_32),
+	.D(n_3655),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][4]  (
+	.CLK(CTS_32),
+	.D(n_3654),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][5]  (
+	.CLK(CTS_32),
+	.D(n_4951),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][6]  (
+	.CLK(CTS_32),
+	.D(n_3653),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][7]  (
+	.CLK(CTS_32),
+	.D(n_3652),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][8]  (
+	.CLK(CTS_32),
+	.D(n_3651),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][1]  (
+	.CLK(CTS_32),
+	.D(n_3650),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][2]  (
+	.CLK(CTS_32),
+	.D(n_3649),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][3]  (
+	.CLK(CTS_32),
+	.D(n_4802),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][4]  (
+	.CLK(CTS_32),
+	.D(n_3648),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][5]  (
+	.CLK(CTS_26),
+	.D(n_3647),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][6]  (
+	.CLK(CTS_32),
+	.D(n_3646),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][7]  (
+	.CLK(CTS_32),
+	.D(n_4883),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][8]  (
+	.CLK(CTS_32),
+	.D(n_3644),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][1]  (
+	.CLK(CTS_42),
+	.D(n_4936),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][2]  (
+	.CLK(CTS_40),
+	.D(n_4931),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][3]  (
+	.CLK(CTS_40),
+	.D(n_3643),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][4]  (
+	.CLK(CTS_40),
+	.D(n_3642),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][5]  (
+	.CLK(CTS_40),
+	.D(n_3641),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][6]  (
+	.CLK(CTS_40),
+	.D(n_3640),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][7]  (
+	.CLK(CTS_40),
+	.D(n_3639),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][8]  (
+	.CLK(CTS_40),
+	.D(n_3638),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][1]  (
+	.CLK(CTS_26),
+	.D(n_3637),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][2]  (
+	.CLK(CTS_26),
+	.D(n_4839),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][3]  (
+	.CLK(CTS_26),
+	.D(n_3635),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][4]  (
+	.CLK(CTS_39),
+	.D(n_4850),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][5]  (
+	.CLK(CTS_26),
+	.D(n_3634),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][6]  (
+	.CLK(CTS_39),
+	.D(n_4857),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][7]  (
+	.CLK(CTS_39),
+	.D(n_3633),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][8]  (
+	.CLK(CTS_26),
+	.D(n_3632),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][1]  (
+	.CLK(CTS_40),
+	.D(n_3631),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][2]  (
+	.CLK(CTS_43),
+	.D(n_3630),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][3]  (
+	.CLK(CTS_30),
+	.D(n_3629),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][4]  (
+	.CLK(CTS_40),
+	.D(n_3628),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][5]  (
+	.CLK(CTS_40),
+	.D(n_3627),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][6]  (
+	.CLK(CTS_30),
+	.D(n_3626),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][7]  (
+	.CLK(CTS_40),
+	.D(n_3625),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][8]  (
+	.CLK(CTS_43),
+	.D(n_3624),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][1]  (
+	.CLK(CTS_44),
+	.D(n_3623),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][2]  (
+	.CLK(CTS_32),
+	.D(n_3622),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][3]  (
+	.CLK(CTS_44),
+	.D(n_3621),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][4]  (
+	.CLK(CTS_44),
+	.D(n_3620),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][5]  (
+	.CLK(CTS_44),
+	.D(n_3619),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][6]  (
+	.CLK(CTS_44),
+	.D(n_3618),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][7]  (
+	.CLK(CTS_25),
+	.D(n_3617),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][8]  (
+	.CLK(CTS_44),
+	.D(n_3616),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][1]  (
+	.CLK(CTS_40),
+	.D(n_3615),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][2]  (
+	.CLK(CTS_40),
+	.D(n_4945),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][3]  (
+	.CLK(CTS_40),
+	.D(n_3614),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][4]  (
+	.CLK(CTS_40),
+	.D(n_3613),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][5]  (
+	.CLK(CTS_40),
+	.D(n_3612),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][6]  (
+	.CLK(CTS_40),
+	.D(n_3611),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][7]  (
+	.CLK(CTS_37),
+	.D(n_3610),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][8]  (
+	.CLK(CTS_40),
+	.D(n_3609),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][1]  (
+	.CLK(CTS_57),
+	.D(n_3608),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][2]  (
+	.CLK(CTS_57),
+	.D(n_4756),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][3]  (
+	.CLK(CTS_57),
+	.D(n_3607),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][4]  (
+	.CLK(CTS_57),
+	.D(n_3606),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][5]  (
+	.CLK(CTS_57),
+	.D(n_3605),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][6]  (
+	.CLK(CTS_57),
+	.D(n_3604),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][7]  (
+	.CLK(CTS_57),
+	.D(n_3603),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][8]  (
+	.CLK(CTS_57),
+	.D(n_4805),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][1]  (
+	.CLK(CTS_38),
+	.D(n_3602),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][2]  (
+	.CLK(CTS_39),
+	.D(n_3601),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][3]  (
+	.CLK(CTS_38),
+	.D(n_3600),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][4]  (
+	.CLK(CTS_38),
+	.D(n_3599),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][5]  (
+	.CLK(CTS_38),
+	.D(n_3598),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][6]  (
+	.CLK(CTS_47),
+	.D(n_3597),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][7]  (
+	.CLK(CTS_47),
+	.D(n_3596),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][8]  (
+	.CLK(CTS_39),
+	.D(n_3595),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][1]  (
+	.CLK(CTS_26),
+	.D(n_3593),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][2]  (
+	.CLK(CTS_47),
+	.D(n_4898),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][3]  (
+	.CLK(CTS_47),
+	.D(n_3590),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][4]  (
+	.CLK(CTS_47),
+	.D(n_3589),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][5]  (
+	.CLK(CTS_47),
+	.D(n_3588),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][6]  (
+	.CLK(CTS_28),
+	.D(n_4910),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][7]  (
+	.CLK(CTS_47),
+	.D(n_3586),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][8]  (
+	.CLK(CTS_28),
+	.D(n_3585),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][1]  (
+	.CLK(CTS_10),
+	.D(n_3584),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][2]  (
+	.CLK(CTS_3),
+	.D(n_4922),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][3]  (
+	.CLK(CTS_42),
+	.D(n_3583),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][4]  (
+	.CLK(CTS_3),
+	.D(n_3582),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][5]  (
+	.CLK(CTS_42),
+	.D(n_3581),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][6]  (
+	.CLK(CTS_40),
+	.D(n_3580),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][7]  (
+	.CLK(CTS_40),
+	.D(n_3579),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][8]  (
+	.CLK(CTS_3),
+	.D(n_3578),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][1]  (
+	.CLK(CTS_12),
+	.D(n_3577),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][2]  (
+	.CLK(CTS_12),
+	.D(n_4941),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
+	.RESET_B(FE_OFN72_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][3]  (
+	.CLK(CTS_57),
+	.D(n_3576),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][4]  (
+	.CLK(CTS_10),
+	.D(n_3575),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][5]  (
+	.CLK(CTS_12),
+	.D(n_3574),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][6]  (
+	.CLK(CTS_12),
+	.D(n_3573),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][7]  (
+	.CLK(CTS_12),
+	.D(n_3572),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][8]  (
+	.CLK(CTS_10),
+	.D(n_4825),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][1]  (
+	.CLK(CTS_5),
+	.D(n_3571),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][2]  (
+	.CLK(CTS_5),
+	.D(n_3570),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][3]  (
+	.CLK(CTS_5),
+	.D(n_3569),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][4]  (
+	.CLK(CTS_5),
+	.D(n_3568),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][5]  (
+	.CLK(CTS_5),
+	.D(n_3567),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][6]  (
+	.CLK(CTS_5),
+	.D(n_3566),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][7]  (
+	.CLK(CTS_5),
+	.D(n_3565),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][8]  (
+	.CLK(CTS_5),
+	.D(n_3564),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][1]  (
+	.CLK(CTS_1),
+	.D(n_3563),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][2]  (
+	.CLK(CTS_7),
+	.D(n_3562),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][3]  (
+	.CLK(CTS_10),
+	.D(n_3561),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][4]  (
+	.CLK(CTS_1),
+	.D(n_3560),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][5]  (
+	.CLK(CTS_10),
+	.D(n_3559),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][6]  (
+	.CLK(CTS_10),
+	.D(n_3558),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][7]  (
+	.CLK(CTS_7),
+	.D(n_3557),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][8]  (
+	.CLK(CTS_1),
+	.D(n_3556),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][1]  (
+	.CLK(CTS_15),
+	.D(n_3555),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][2]  (
+	.CLK(CTS_15),
+	.D(n_3554),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][3]  (
+	.CLK(CTS_15),
+	.D(n_4831),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][4]  (
+	.CLK(CTS_15),
+	.D(n_3553),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][5]  (
+	.CLK(CTS_15),
+	.D(n_3552),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][6]  (
+	.CLK(CTS_15),
+	.D(n_3551),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][7]  (
+	.CLK(CTS_15),
+	.D(n_3550),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][8]  (
+	.CLK(CTS_15),
+	.D(n_4785),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][1]  (
+	.CLK(CTS_4),
+	.D(n_4864),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][2]  (
+	.CLK(CTS_8),
+	.D(n_3549),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][3]  (
+	.CLK(CTS_4),
+	.D(n_3548),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][4]  (
+	.CLK(CTS_4),
+	.D(n_3547),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][5]  (
+	.CLK(CTS_4),
+	.D(n_3546),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][6]  (
+	.CLK(CTS_8),
+	.D(n_3545),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][7]  (
+	.CLK(CTS_4),
+	.D(n_3544),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][8]  (
+	.CLK(CTS_8),
+	.D(n_3543),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][1]  (
+	.CLK(CTS_11),
+	.D(n_3542),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][2]  (
+	.CLK(CTS_2),
+	.D(n_4841),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][3]  (
+	.CLK(CTS_2),
+	.D(n_3541),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][4]  (
+	.CLK(CTS_2),
+	.D(n_3540),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][5]  (
+	.CLK(CTS_2),
+	.D(n_3539),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][6]  (
+	.CLK(CTS_2),
+	.D(n_3538),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][7]  (
+	.CLK(CTS_2),
+	.D(n_4840),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][8]  (
+	.CLK(CTS_2),
+	.D(n_3537),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][1]  (
+	.CLK(CTS_13),
+	.D(n_4940),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][2]  (
+	.CLK(CTS_2),
+	.D(n_3536),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][3]  (
+	.CLK(CTS_13),
+	.D(n_3535),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][4]  (
+	.CLK(CTS_13),
+	.D(n_3534),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][5]  (
+	.CLK(CTS_16),
+	.D(n_3533),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][6]  (
+	.CLK(CTS_2),
+	.D(n_4902),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][7]  (
+	.CLK(CTS_13),
+	.D(n_3532),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][8]  (
+	.CLK(CTS_2),
+	.D(n_3531),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][1]  (
+	.CLK(CTS_9),
+	.D(n_3530),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][2]  (
+	.CLK(CTS_11),
+	.D(n_4912),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][3]  (
+	.CLK(CTS_11),
+	.D(n_4911),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][4]  (
+	.CLK(CTS_9),
+	.D(n_3529),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][5]  (
+	.CLK(CTS_11),
+	.D(n_3528),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][6]  (
+	.CLK(CTS_11),
+	.D(n_4959),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.RESET_B(FE_OFN73_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][7]  (
+	.CLK(CTS_9),
+	.D(n_3527),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][8]  (
+	.CLK(CTS_9),
+	.D(n_4835),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][1]  (
+	.CLK(CTS_7),
+	.D(n_4768),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][2]  (
+	.CLK(CTS_7),
+	.D(n_3526),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][3]  (
+	.CLK(CTS_13),
+	.D(n_3525),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][4]  (
+	.CLK(CTS_7),
+	.D(n_3524),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][5]  (
+	.CLK(CTS_13),
+	.D(n_3523),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][6]  (
+	.CLK(CTS_13),
+	.D(n_3522),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][7]  (
+	.CLK(CTS_13),
+	.D(n_3521),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][8]  (
+	.CLK(CTS_7),
+	.D(n_3520),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.RESET_B(FE_OFN64_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][1]  (
+	.CLK(CTS_3),
+	.D(n_3519),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][2]  (
+	.CLK(CTS_41),
+	.D(n_4874),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][3]  (
+	.CLK(CTS_41),
+	.D(n_3518),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][4]  (
+	.CLK(CTS_41),
+	.D(n_3517),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][5]  (
+	.CLK(CTS_3),
+	.D(n_3516),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][6]  (
+	.CLK(CTS_41),
+	.D(n_3515),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][7]  (
+	.CLK(CTS_41),
+	.D(n_3514),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.RESET_B(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][8]  (
+	.CLK(CTS_41),
+	.D(n_3513),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][1]  (
+	.CLK(CTS_7),
+	.D(n_4952),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][2]  (
+	.CLK(CTS_7),
+	.D(n_3512),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][3]  (
+	.CLK(CTS_7),
+	.D(n_3511),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][4]  (
+	.CLK(CTS_1),
+	.D(n_3510),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][5]  (
+	.CLK(CTS_7),
+	.D(n_3509),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][6]  (
+	.CLK(CTS_7),
+	.D(n_3508),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][7]  (
+	.CLK(CTS_7),
+	.D(n_4953),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][8]  (
+	.CLK(CTS_1),
+	.D(n_3507),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][1]  (
+	.CLK(CTS_1),
+	.D(n_3506),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][2]  (
+	.CLK(CTS_9),
+	.D(n_3505),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][3]  (
+	.CLK(CTS_9),
+	.D(n_4816),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][4]  (
+	.CLK(CTS_9),
+	.D(n_3504),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][5]  (
+	.CLK(CTS_9),
+	.D(n_4771),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][6]  (
+	.CLK(CTS_5),
+	.D(n_3503),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][7]  (
+	.CLK(CTS_1),
+	.D(n_4876),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][8]  (
+	.CLK(CTS_9),
+	.D(n_3502),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][1]  (
+	.CLK(CTS_13),
+	.D(n_4751),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][2]  (
+	.CLK(CTS_8),
+	.D(n_3501),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][3]  (
+	.CLK(CTS_8),
+	.D(n_3500),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][4]  (
+	.CLK(CTS_57),
+	.D(n_3499),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][5]  (
+	.CLK(CTS_8),
+	.D(n_3498),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][6]  (
+	.CLK(CTS_8),
+	.D(n_3497),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][7]  (
+	.CLK(CTS_16),
+	.D(n_3496),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][8]  (
+	.CLK(CTS_57),
+	.D(n_3495),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][1]  (
+	.CLK(CTS_16),
+	.D(n_3494),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][2]  (
+	.CLK(CTS_4),
+	.D(n_4862),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][3]  (
+	.CLK(CTS_6),
+	.D(n_3493),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][4]  (
+	.CLK(CTS_4),
+	.D(n_3492),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][5]  (
+	.CLK(CTS_16),
+	.D(n_3491),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][6]  (
+	.CLK(CTS_4),
+	.D(n_4867),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][7]  (
+	.CLK(CTS_16),
+	.D(n_3490),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][8]  (
+	.CLK(CTS_4),
+	.D(n_4796),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][1]  (
+	.CLK(CTS_16),
+	.D(n_3489),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][2]  (
+	.CLK(CTS_16),
+	.D(n_3488),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][3]  (
+	.CLK(CTS_6),
+	.D(n_3487),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][4]  (
+	.CLK(CTS_16),
+	.D(n_3486),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][5]  (
+	.CLK(CTS_6),
+	.D(n_3485),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][6]  (
+	.CLK(CTS_6),
+	.D(n_3484),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][7]  (
+	.CLK(CTS_16),
+	.D(n_3483),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][8]  (
+	.CLK(CTS_16),
+	.D(n_3482),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][1]  (
+	.CLK(CTS_9),
+	.D(n_3481),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][2]  (
+	.CLK(CTS_9),
+	.D(n_3480),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][3]  (
+	.CLK(CTS_9),
+	.D(n_4960),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][4]  (
+	.CLK(CTS_9),
+	.D(n_3479),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][5]  (
+	.CLK(CTS_9),
+	.D(n_4990),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][6]  (
+	.CLK(CTS_9),
+	.D(n_3478),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][7]  (
+	.CLK(CTS_9),
+	.D(n_4856),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][8]  (
+	.CLK(CTS_9),
+	.D(n_4938),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][1]  (
+	.CLK(CTS_42),
+	.D(n_4882),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][2]  (
+	.CLK(CTS_39),
+	.D(n_3477),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][3]  (
+	.CLK(CTS_39),
+	.D(n_3475),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][4]  (
+	.CLK(CTS_39),
+	.D(n_4933),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][5]  (
+	.CLK(CTS_39),
+	.D(n_3474),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][6]  (
+	.CLK(CTS_39),
+	.D(n_3473),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][7]  (
+	.CLK(CTS_39),
+	.D(n_3472),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][8]  (
+	.CLK(CTS_42),
+	.D(n_3471),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][1]  (
+	.CLK(CTS_30),
+	.D(n_3470),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][2]  (
+	.CLK(CTS_43),
+	.D(n_3468),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][3]  (
+	.CLK(CTS_30),
+	.D(n_3467),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][4]  (
+	.CLK(CTS_30),
+	.D(n_4745),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][5]  (
+	.CLK(CTS_43),
+	.D(n_3465),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][6]  (
+	.CLK(CTS_43),
+	.D(n_4776),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][7]  (
+	.CLK(CTS_43),
+	.D(n_3464),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][8]  (
+	.CLK(CTS_43),
+	.D(n_4792),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][1]  (
+	.CLK(CTS_30),
+	.D(n_3463),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][2]  (
+	.CLK(CTS_30),
+	.D(n_3462),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][3]  (
+	.CLK(CTS_30),
+	.D(n_3461),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][4]  (
+	.CLK(CTS_30),
+	.D(n_3460),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][5]  (
+	.CLK(CTS_30),
+	.D(n_3459),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][6]  (
+	.CLK(CTS_30),
+	.D(n_3458),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][7]  (
+	.CLK(CTS_30),
+	.D(n_3457),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][8]  (
+	.CLK(CTS_30),
+	.D(n_3456),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][1]  (
+	.CLK(CTS_40),
+	.D(n_3455),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][2]  (
+	.CLK(CTS_37),
+	.D(n_3454),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][3]  (
+	.CLK(CTS_37),
+	.D(n_4920),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][4]  (
+	.CLK(CTS_37),
+	.D(n_3453),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][5]  (
+	.CLK(CTS_37),
+	.D(n_3452),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][6]  (
+	.CLK(CTS_37),
+	.D(n_3451),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][7]  (
+	.CLK(CTS_37),
+	.D(n_4991),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][8]  (
+	.CLK(CTS_37),
+	.D(n_3450),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][1]  (
+	.CLK(CTS_38),
+	.D(n_3449),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][2]  (
+	.CLK(CTS_38),
+	.D(n_3448),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][3]  (
+	.CLK(CTS_8),
+	.D(n_3447),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][4]  (
+	.CLK(CTS_38),
+	.D(n_3446),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][5]  (
+	.CLK(CTS_8),
+	.D(n_3444),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][6]  (
+	.CLK(CTS_38),
+	.D(n_3443),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
+	.RESET_B(FE_OFN102_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][7]  (
+	.CLK(CTS_8),
+	.D(n_3442),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
+	.RESET_B(FE_OFN107_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][8]  (
+	.CLK(CTS_8),
+	.D(n_3441),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][1]  (
+	.CLK(CTS_32),
+	.D(n_3440),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][2]  (
+	.CLK(CTS_32),
+	.D(n_3645),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][3]  (
+	.CLK(CTS_32),
+	.D(n_3438),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][4]  (
+	.CLK(CTS_32),
+	.D(n_4758),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][5]  (
+	.CLK(CTS_32),
+	.D(n_3436),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][6]  (
+	.CLK(CTS_32),
+	.D(n_4865),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][7]  (
+	.CLK(CTS_32),
+	.D(n_3435),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][8]  (
+	.CLK(CTS_32),
+	.D(n_4773),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][1]  (
+	.CLK(CTS_32),
+	.D(n_3434),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][2]  (
+	.CLK(CTS_44),
+	.D(n_3433),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][3]  (
+	.CLK(CTS_44),
+	.D(n_3432),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][4]  (
+	.CLK(CTS_44),
+	.D(n_3431),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][5]  (
+	.CLK(CTS_32),
+	.D(n_3430),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][6]  (
+	.CLK(CTS_44),
+	.D(n_3429),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][7]  (
+	.CLK(CTS_32),
+	.D(n_3428),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][8]  (
+	.CLK(CTS_32),
+	.D(n_3427),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][1]  (
+	.CLK(CTS_40),
+	.D(n_3426),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][2]  (
+	.CLK(CTS_40),
+	.D(n_3425),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][3]  (
+	.CLK(CTS_40),
+	.D(n_4822),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][4]  (
+	.CLK(CTS_40),
+	.D(n_4955),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][5]  (
+	.CLK(CTS_40),
+	.D(n_4774),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][6]  (
+	.CLK(CTS_40),
+	.D(n_3424),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][7]  (
+	.CLK(CTS_40),
+	.D(n_4800),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][8]  (
+	.CLK(CTS_40),
+	.D(n_4763),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][1]  (
+	.CLK(CTS_12),
+	.D(n_4824),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][2]  (
+	.CLK(CTS_12),
+	.D(n_3423),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][3]  (
+	.CLK(CTS_12),
+	.D(n_3422),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][4]  (
+	.CLK(CTS_39),
+	.D(n_3421),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][5]  (
+	.CLK(CTS_12),
+	.D(n_3420),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][6]  (
+	.CLK(CTS_12),
+	.D(n_3419),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][7]  (
+	.CLK(CTS_39),
+	.D(n_3418),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][8]  (
+	.CLK(CTS_12),
+	.D(n_4878),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][1]  (
+	.CLK(CTS_43),
+	.D(n_3417),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][2]  (
+	.CLK(CTS_43),
+	.D(n_3416),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
+	.RESET_B(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][3]  (
+	.CLK(CTS_43),
+	.D(n_3170),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][4]  (
+	.CLK(CTS_37),
+	.D(n_3415),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][5]  (
+	.CLK(CTS_37),
+	.D(n_4847),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][6]  (
+	.CLK(CTS_43),
+	.D(n_3414),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][7]  (
+	.CLK(CTS_43),
+	.D(n_4852),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][8]  (
+	.CLK(CTS_43),
+	.D(n_3413),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][1]  (
+	.CLK(CTS_32),
+	.D(n_3412),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][2]  (
+	.CLK(CTS_32),
+	.D(n_3411),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][3]  (
+	.CLK(CTS_32),
+	.D(n_3410),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][4]  (
+	.CLK(CTS_44),
+	.D(n_3409),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][5]  (
+	.CLK(CTS_32),
+	.D(n_3407),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][6]  (
+	.CLK(CTS_32),
+	.D(n_3406),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][7]  (
+	.CLK(CTS_25),
+	.D(n_3405),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][8]  (
+	.CLK(CTS_44),
+	.D(n_3404),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][1]  (
+	.CLK(CTS_3),
+	.D(n_3403),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][2]  (
+	.CLK(CTS_41),
+	.D(n_4927),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][3]  (
+	.CLK(CTS_3),
+	.D(n_3182),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][4]  (
+	.CLK(CTS_41),
+	.D(n_4932),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][5]  (
+	.CLK(CTS_3),
+	.D(n_3402),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][6]  (
+	.CLK(CTS_3),
+	.D(n_4907),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][7]  (
+	.CLK(CTS_3),
+	.D(n_4820),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][8]  (
+	.CLK(CTS_3),
+	.D(n_3401),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][1]  (
+	.CLK(CTS_57),
+	.D(n_3400),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][2]  (
+	.CLK(CTS_57),
+	.D(n_3399),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][3]  (
+	.CLK(CTS_57),
+	.D(n_3398),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][4]  (
+	.CLK(CTS_57),
+	.D(n_3397),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][5]  (
+	.CLK(CTS_39),
+	.D(n_3396),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][6]  (
+	.CLK(CTS_57),
+	.D(n_3395),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][7]  (
+	.CLK(CTS_57),
+	.D(n_3394),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][8]  (
+	.CLK(CTS_12),
+	.D(n_3393),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][1]  (
+	.CLK(CTS_38),
+	.D(n_3392),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][2]  (
+	.CLK(CTS_26),
+	.D(n_3391),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][3]  (
+	.CLK(CTS_47),
+	.D(n_3390),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][4]  (
+	.CLK(CTS_38),
+	.D(n_3389),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][5]  (
+	.CLK(CTS_26),
+	.D(n_4926),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][6]  (
+	.CLK(CTS_26),
+	.D(n_4942),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][7]  (
+	.CLK(CTS_38),
+	.D(n_3388),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][8]  (
+	.CLK(CTS_26),
+	.D(n_4908),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][1]  (
+	.CLK(CTS_28),
+	.D(n_3387),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][2]  (
+	.CLK(CTS_28),
+	.D(n_3386),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][3]  (
+	.CLK(CTS_28),
+	.D(n_3385),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][4]  (
+	.CLK(CTS_28),
+	.D(n_3384),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][5]  (
+	.CLK(CTS_28),
+	.D(n_3383),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][6]  (
+	.CLK(CTS_32),
+	.D(n_3382),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][7]  (
+	.CLK(CTS_28),
+	.D(n_3380),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][8]  (
+	.CLK(CTS_28),
+	.D(n_3378),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
+	.RESET_B(FE_OFN113_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][1]  (
+	.CLK(CTS_10),
+	.D(n_3377),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][2]  (
+	.CLK(CTS_40),
+	.D(n_4838),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][3]  (
+	.CLK(CTS_40),
+	.D(n_3376),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][4]  (
+	.CLK(CTS_40),
+	.D(n_4854),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][5]  (
+	.CLK(CTS_42),
+	.D(n_3375),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][6]  (
+	.CLK(CTS_3),
+	.D(n_3374),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][7]  (
+	.CLK(CTS_40),
+	.D(n_3372),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][8]  (
+	.CLK(CTS_40),
+	.D(n_3371),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][1]  (
+	.CLK(CTS_12),
+	.D(n_3370),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][2]  (
+	.CLK(CTS_12),
+	.D(n_3369),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][3]  (
+	.CLK(CTS_12),
+	.D(n_3368),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][4]  (
+	.CLK(CTS_7),
+	.D(n_5004),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][5]  (
+	.CLK(CTS_12),
+	.D(n_3367),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][6]  (
+	.CLK(CTS_12),
+	.D(n_3366),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][7]  (
+	.CLK(CTS_12),
+	.D(n_3365),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][8]  (
+	.CLK(CTS_7),
+	.D(n_3364),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][1]  (
+	.CLK(CTS_5),
+	.D(n_3363),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][2]  (
+	.CLK(CTS_15),
+	.D(n_3362),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][3]  (
+	.CLK(CTS_5),
+	.D(n_4916),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][4]  (
+	.CLK(CTS_5),
+	.D(n_3361),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][5]  (
+	.CLK(CTS_15),
+	.D(n_3360),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][6]  (
+	.CLK(CTS_5),
+	.D(n_4781),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][7]  (
+	.CLK(CTS_15),
+	.D(n_3359),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
+	.RESET_B(FE_OFN62_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][8]  (
+	.CLK(CTS_5),
+	.D(n_4866),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][1]  (
+	.CLK(CTS_3),
+	.D(n_4103),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][2]  (
+	.CLK(CTS_3),
+	.D(n_3358),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][3]  (
+	.CLK(CTS_3),
+	.D(n_3357),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][4]  (
+	.CLK(CTS_3),
+	.D(n_3356),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][5]  (
+	.CLK(CTS_3),
+	.D(n_3355),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
+	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][6]  (
+	.CLK(CTS_3),
+	.D(n_3354),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][7]  (
+	.CLK(CTS_3),
+	.D(n_4855),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][8]  (
+	.CLK(CTS_10),
+	.D(n_3353),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
+	.RESET_B(FE_OFN61_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][1]  (
+	.CLK(CTS_15),
+	.D(n_3352),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][2]  (
+	.CLK(CTS_15),
+	.D(n_4948),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][3]  (
+	.CLK(CTS_3),
+	.D(n_3351),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][4]  (
+	.CLK(CTS_41),
+	.D(n_3350),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][5]  (
+	.CLK(CTS_3),
+	.D(n_3349),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][6]  (
+	.CLK(CTS_3),
+	.D(n_3348),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][7]  (
+	.CLK(CTS_3),
+	.D(n_4873),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][8]  (
+	.CLK(CTS_15),
+	.D(n_3788),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][1]  (
+	.CLK(CTS_4),
+	.D(n_3636),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][2]  (
+	.CLK(CTS_8),
+	.D(n_3347),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][3]  (
+	.CLK(CTS_8),
+	.D(n_3594),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][4]  (
+	.CLK(CTS_4),
+	.D(n_3346),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
+	.RESET_B(FE_OFN110_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][5]  (
+	.CLK(CTS_16),
+	.D(n_4848),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][6]  (
+	.CLK(CTS_8),
+	.D(n_3345),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][7]  (
+	.CLK(CTS_16),
+	.D(n_4746),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][8]  (
+	.CLK(CTS_8),
+	.D(n_3344),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
+	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][1]  (
+	.CLK(CTS_2),
+	.D(n_3343),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][2]  (
+	.CLK(CTS_2),
+	.D(n_3342),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][3]  (
+	.CLK(CTS_2),
+	.D(n_3341),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][4]  (
+	.CLK(CTS_2),
+	.D(n_3340),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][5]  (
+	.CLK(CTS_6),
+	.D(n_3339),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][6]  (
+	.CLK(CTS_2),
+	.D(n_3338),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][7]  (
+	.CLK(CTS_2),
+	.D(n_3337),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
+	.RESET_B(FE_OFN134_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][8]  (
+	.CLK(CTS_6),
+	.D(n_3336),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][1]  (
+	.CLK(CTS_13),
+	.D(n_3335),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][2]  (
+	.CLK(CTS_2),
+	.D(n_3334),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][3]  (
+	.CLK(CTS_13),
+	.D(n_3333),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
+	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][4]  (
+	.CLK(CTS_13),
+	.D(n_3332),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][5]  (
+	.CLK(CTS_13),
+	.D(n_3331),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][6]  (
+	.CLK(CTS_2),
+	.D(n_3330),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][7]  (
+	.CLK(CTS_13),
+	.D(n_3329),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
+	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][8]  (
+	.CLK(CTS_2),
+	.D(n_3328),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][1]  (
+	.CLK(CTS_11),
+	.D(n_3327),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][2]  (
+	.CLK(CTS_2),
+	.D(n_4884),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][3]  (
+	.CLK(CTS_11),
+	.D(n_3326),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][4]  (
+	.CLK(CTS_11),
+	.D(n_3325),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][5]  (
+	.CLK(CTS_11),
+	.D(n_3324),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
+	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][6]  (
+	.CLK(CTS_2),
+	.D(n_4904),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][7]  (
+	.CLK(CTS_11),
+	.D(n_3323),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
+	.RESET_B(FE_OFN69_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][8]  (
+	.CLK(CTS_11),
+	.D(n_4752),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
+	.RESET_B(FE_OFN125_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][1]  (
+	.CLK(CTS_7),
+	.D(n_3322),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][2]  (
+	.CLK(CTS_7),
+	.D(n_4809),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][3]  (
+	.CLK(CTS_13),
+	.D(n_3321),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][4]  (
+	.CLK(CTS_7),
+	.D(n_4844),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][5]  (
+	.CLK(CTS_13),
+	.D(n_3320),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][6]  (
+	.CLK(CTS_13),
+	.D(n_4172),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
+	.RESET_B(FE_OFN70_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][7]  (
+	.CLK(CTS_7),
+	.D(n_3319),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][8]  (
+	.CLK(CTS_1),
+	.D(n_4818),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
+	.RESET_B(FE_OFN66_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][1]  (
+	.CLK(CTS_3),
+	.D(n_3318),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][2]  (
+	.CLK(CTS_41),
+	.D(n_3317),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][3]  (
+	.CLK(CTS_3),
+	.D(n_3316),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][4]  (
+	.CLK(CTS_41),
+	.D(n_3315),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][5]  (
+	.CLK(CTS_3),
+	.D(n_3314),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][6]  (
+	.CLK(CTS_41),
+	.D(n_3313),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][7]  (
+	.CLK(CTS_3),
+	.D(n_3312),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][8]  (
+	.CLK(CTS_41),
+	.D(n_3311),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][1]  (
+	.CLK(CTS_13),
+	.D(n_3310),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][2]  (
+	.CLK(CTS_13),
+	.D(n_3309),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][3]  (
+	.CLK(CTS_11),
+	.D(n_4815),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][4]  (
+	.CLK(CTS_11),
+	.D(n_3308),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][5]  (
+	.CLK(CTS_11),
+	.D(n_4770),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
+	.RESET_B(FE_OFN68_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][6]  (
+	.CLK(CTS_13),
+	.D(n_3307),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][7]  (
+	.CLK(CTS_11),
+	.D(n_4769),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][8]  (
+	.CLK(CTS_11),
+	.D(n_3306),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
+	.RESET_B(FE_OFN124_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][1]  (
+	.CLK(CTS_15),
+	.D(n_4772),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][2]  (
+	.CLK(CTS_5),
+	.D(n_3305),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][3]  (
+	.CLK(CTS_1),
+	.D(n_3304),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][4]  (
+	.CLK(CTS_15),
+	.D(n_3303),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][5]  (
+	.CLK(CTS_1),
+	.D(n_3302),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][6]  (
+	.CLK(CTS_15),
+	.D(n_3301),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][7]  (
+	.CLK(CTS_1),
+	.D(n_3300),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][8]  (
+	.CLK(CTS_1),
+	.D(n_3299),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][1]  (
+	.CLK(CTS_57),
+	.D(n_3298),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][2]  (
+	.CLK(CTS_57),
+	.D(n_4819),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][3]  (
+	.CLK(CTS_12),
+	.D(n_3297),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][4]  (
+	.CLK(CTS_57),
+	.D(n_4806),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][5]  (
+	.CLK(CTS_16),
+	.D(n_3296),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
+	.RESET_B(FE_OFN109_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][6]  (
+	.CLK(CTS_57),
+	.D(n_4757),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][7]  (
+	.CLK(CTS_12),
+	.D(n_3295),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][8]  (
+	.CLK(CTS_12),
+	.D(n_4787),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][1]  (
+	.CLK(CTS_6),
+	.D(n_3294),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][2]  (
+	.CLK(CTS_6),
+	.D(n_3293),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][3]  (
+	.CLK(CTS_6),
+	.D(n_3292),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][4]  (
+	.CLK(CTS_6),
+	.D(n_3291),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][5]  (
+	.CLK(CTS_6),
+	.D(n_4814),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][6]  (
+	.CLK(CTS_6),
+	.D(n_3290),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][7]  (
+	.CLK(CTS_6),
+	.D(n_3289),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][8]  (
+	.CLK(CTS_6),
+	.D(n_3288),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
+	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][1]  (
+	.CLK(CTS_16),
+	.D(n_3287),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][2]  (
+	.CLK(CTS_4),
+	.D(n_3286),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][3]  (
+	.CLK(CTS_4),
+	.D(n_4930),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][4]  (
+	.CLK(CTS_4),
+	.D(n_3285),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][5]  (
+	.CLK(CTS_16),
+	.D(n_4035),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][6]  (
+	.CLK(CTS_16),
+	.D(n_3284),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][7]  (
+	.CLK(CTS_16),
+	.D(n_4055),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
+	.RESET_B(FE_OFN112_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][8]  (
+	.CLK(CTS_4),
+	.D(n_3283),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
+	.RESET_B(FE_OFN133_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][1]  (
+	.CLK(CTS_9),
+	.D(n_4744),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][2]  (
+	.CLK(CTS_9),
+	.D(n_3282),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][3]  (
+	.CLK(CTS_9),
+	.D(n_3281),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][4]  (
+	.CLK(CTS_9),
+	.D(n_3280),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][5]  (
+	.CLK(CTS_9),
+	.D(n_3279),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][6]  (
+	.CLK(CTS_9),
+	.D(n_3278),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
+	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][7]  (
+	.CLK(CTS_9),
+	.D(n_3277),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][8]  (
+	.CLK(CTS_9),
+	.D(n_3276),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][1]  (
+	.CLK(CTS_42),
+	.D(n_3587),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
+	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][2]  (
+	.CLK(CTS_39),
+	.D(n_4003),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][3]  (
+	.CLK(CTS_39),
+	.D(n_3275),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][4]  (
+	.CLK(CTS_39),
+	.D(n_3274),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][5]  (
+	.CLK(CTS_39),
+	.D(n_3272),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][6]  (
+	.CLK(CTS_26),
+	.D(n_4851),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][7]  (
+	.CLK(CTS_39),
+	.D(n_3271),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
+	.RESET_B(FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][8]  (
+	.CLK(CTS_42),
+	.D(n_3270),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][1]  (
+	.CLK(CTS_30),
+	.D(n_3269),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][2]  (
+	.CLK(CTS_43),
+	.D(n_3592),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][3]  (
+	.CLK(CTS_30),
+	.D(n_3268),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][4]  (
+	.CLK(CTS_30),
+	.D(n_3591),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][5]  (
+	.CLK(CTS_30),
+	.D(n_3267),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][6]  (
+	.CLK(CTS_43),
+	.D(n_3199),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][7]  (
+	.CLK(CTS_43),
+	.D(n_3266),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][8]  (
+	.CLK(CTS_43),
+	.D(n_4017),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
+	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][1]  (
+	.CLK(CTS_44),
+	.D(n_3265),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][2]  (
+	.CLK(CTS_42),
+	.D(n_3264),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][3]  (
+	.CLK(CTS_44),
+	.D(n_3263),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][4]  (
+	.CLK(CTS_30),
+	.D(n_3262),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][5]  (
+	.CLK(CTS_30),
+	.D(n_3261),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][6]  (
+	.CLK(CTS_30),
+	.D(n_3260),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][7]  (
+	.CLK(CTS_30),
+	.D(n_3259),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][8]  (
+	.CLK(CTS_30),
+	.D(n_3258),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][1]  (
+	.CLK(CTS_37),
+	.D(n_3257),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][2]  (
+	.CLK(CTS_37),
+	.D(n_3256),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][3]  (
+	.CLK(CTS_37),
+	.D(n_4875),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][4]  (
+	.CLK(CTS_37),
+	.D(n_3255),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][5]  (
+	.CLK(CTS_37),
+	.D(n_3254),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][6]  (
+	.CLK(CTS_37),
+	.D(n_3253),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][7]  (
+	.CLK(CTS_37),
+	.D(n_3252),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][8]  (
+	.CLK(CTS_37),
+	.D(n_3251),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][1]  (
+	.CLK(CTS_8),
+	.D(n_3250),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][2]  (
+	.CLK(CTS_38),
+	.D(n_3248),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][3]  (
+	.CLK(CTS_57),
+	.D(n_4817),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][4]  (
+	.CLK(CTS_38),
+	.D(n_3247),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][5]  (
+	.CLK(CTS_57),
+	.D(n_4863),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][6]  (
+	.CLK(CTS_8),
+	.D(n_3246),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][7]  (
+	.CLK(CTS_8),
+	.D(n_3245),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][8]  (
+	.CLK(CTS_8),
+	.D(n_3244),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
+	.RESET_B(FE_OFN130_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][1]  (
+	.CLK(CTS_32),
+	.D(n_3158),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][2]  (
+	.CLK(CTS_32),
+	.D(n_3243),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][3]  (
+	.CLK(CTS_32),
+	.D(n_3242),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][4]  (
+	.CLK(CTS_32),
+	.D(n_3241),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][5]  (
+	.CLK(CTS_32),
+	.D(n_4901),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][6]  (
+	.CLK(CTS_32),
+	.D(n_3240),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][7]  (
+	.CLK(CTS_32),
+	.D(n_5013),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][8]  (
+	.CLK(CTS_32),
+	.D(n_3239),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
+	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][1]  (
+	.CLK(CTS_26),
+	.D(n_3167),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][2]  (
+	.CLK(CTS_32),
+	.D(n_3238),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][3]  (
+	.CLK(CTS_26),
+	.D(n_3237),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][4]  (
+	.CLK(CTS_32),
+	.D(n_3236),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][5]  (
+	.CLK(CTS_26),
+	.D(n_3235),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][6]  (
+	.CLK(CTS_32),
+	.D(n_3234),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][7]  (
+	.CLK(CTS_32),
+	.D(n_3233),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][8]  (
+	.CLK(CTS_32),
+	.D(n_3232),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][1]  (
+	.CLK(CTS_42),
+	.D(n_3231),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][2]  (
+	.CLK(CTS_40),
+	.D(n_3230),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][3]  (
+	.CLK(CTS_42),
+	.D(n_3229),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][4]  (
+	.CLK(CTS_40),
+	.D(n_3228),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][5]  (
+	.CLK(CTS_42),
+	.D(n_3373),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][6]  (
+	.CLK(CTS_42),
+	.D(n_3227),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][7]  (
+	.CLK(CTS_40),
+	.D(n_4810),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][8]  (
+	.CLK(CTS_40),
+	.D(n_4753),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][1]  (
+	.CLK(CTS_42),
+	.D(n_4786),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][2]  (
+	.CLK(CTS_42),
+	.D(n_3226),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][3]  (
+	.CLK(CTS_42),
+	.D(n_5204),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][4]  (
+	.CLK(CTS_39),
+	.D(n_3224),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][5]  (
+	.CLK(CTS_39),
+	.D(n_3223),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][6]  (
+	.CLK(CTS_39),
+	.D(n_3222),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][7]  (
+	.CLK(CTS_39),
+	.D(n_3221),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][8]  (
+	.CLK(CTS_42),
+	.D(n_4780),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
+	.RESET_B(FE_OFN71_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][1]  (
+	.CLK(CTS_43),
+	.D(n_3220),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][2]  (
+	.CLK(CTS_37),
+	.D(n_3219),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
+	.RESET_B(FE_OFN20_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][3]  (
+	.CLK(CTS_40),
+	.D(n_4766),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][4]  (
+	.CLK(CTS_40),
+	.D(n_4869),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][5]  (
+	.CLK(CTS_40),
+	.D(n_3218),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][6]  (
+	.CLK(CTS_40),
+	.D(n_4743),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][7]  (
+	.CLK(CTS_40),
+	.D(n_3217),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
+	.RESET_B(FE_OFN57_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][8]  (
+	.CLK(CTS_43),
+	.D(n_3216),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][1]  (
+	.CLK(CTS_44),
+	.D(n_3215),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][2]  (
+	.CLK(CTS_44),
+	.D(n_3214),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][3]  (
+	.CLK(CTS_44),
+	.D(n_3213),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
+	.RESET_B(FE_OFN129_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][4]  (
+	.CLK(CTS_44),
+	.D(n_3212),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][5]  (
+	.CLK(CTS_44),
+	.D(n_3211),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][6]  (
+	.CLK(CTS_44),
+	.D(n_3210),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][7]  (
+	.CLK(CTS_44),
+	.D(n_3209),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][8]  (
+	.CLK(CTS_44),
+	.D(n_3208),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
+	.RESET_B(FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][1]  (
+	.CLK(CTS_40),
+	.D(n_3207),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][2]  (
+	.CLK(CTS_40),
+	.D(n_4694),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][3]  (
+	.CLK(CTS_40),
+	.D(n_3206),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][4]  (
+	.CLK(CTS_40),
+	.D(n_3205),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][5]  (
+	.CLK(CTS_37),
+	.D(n_3203),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][6]  (
+	.CLK(CTS_40),
+	.D(n_3169),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][7]  (
+	.CLK(CTS_37),
+	.D(n_3469),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
+	.RESET_B(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][8]  (
+	.CLK(CTS_40),
+	.D(n_3202),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][1]  (
+	.CLK(CTS_39),
+	.D(n_3201),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][2]  (
+	.CLK(CTS_38),
+	.D(n_3676),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][3]  (
+	.CLK(CTS_39),
+	.D(n_3200),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][4]  (
+	.CLK(CTS_38),
+	.D(n_3198),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][5]  (
+	.CLK(CTS_39),
+	.D(n_3197),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][6]  (
+	.CLK(CTS_57),
+	.D(n_3196),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
+	.RESET_B(FE_OFN131_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][7]  (
+	.CLK(CTS_57),
+	.D(n_3273),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
+	.RESET_B(FE_OFN106_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][8]  (
+	.CLK(CTS_12),
+	.D(n_3195),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
+	.RESET_B(FE_OFN108_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][1]  (
+	.CLK(CTS_47),
+	.D(n_3173),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][2]  (
+	.CLK(CTS_38),
+	.D(n_3194),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][3]  (
+	.CLK(CTS_47),
+	.D(n_3193),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][4]  (
+	.CLK(CTS_38),
+	.D(n_3192),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][5]  (
+	.CLK(CTS_38),
+	.D(n_3954),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][6]  (
+	.CLK(CTS_47),
+	.D(n_3191),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
+	.RESET_B(FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][7]  (
+	.CLK(CTS_47),
+	.D(n_3190),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][8]  (
+	.CLK(CTS_38),
+	.D(n_4797),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
+	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][1]  (
+	.CLK(CTS_26),
+	.D(n_4849),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][2]  (
+	.CLK(CTS_28),
+	.D(n_3189),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][3]  (
+	.CLK(CTS_26),
+	.D(n_3188),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][4]  (
+	.CLK(CTS_28),
+	.D(n_3187),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][5]  (
+	.CLK(CTS_26),
+	.D(n_3186),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
+	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][6]  (
+	.CLK(CTS_28),
+	.D(n_3185),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][7]  (
+	.CLK(CTS_28),
+	.D(n_3184),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][8]  (
+	.CLK(CTS_28),
+	.D(n_3183),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
+	.RESET_B(FE_OFN138_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][1]  (
+	.CLK(CTS_10),
+	.D(n_3181),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][2]  (
+	.CLK(CTS_10),
+	.D(n_3180),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][3]  (
+	.CLK(CTS_42),
+	.D(n_3179),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][4]  (
+	.CLK(CTS_10),
+	.D(n_3178),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][5]  (
+	.CLK(CTS_42),
+	.D(n_3177),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][6]  (
+	.CLK(CTS_10),
+	.D(n_3176),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][7]  (
+	.CLK(CTS_40),
+	.D(n_3175),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
+	.RESET_B(FE_OFN21_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][8]  (
+	.CLK(CTS_3),
+	.D(n_3174),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
+	.RESET_B(FE_OFN19_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[2]  (
+	.CLK(CTS_30),
+	.D(n_2405),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[3]  (
+	.CLK(CTS_30),
+	.D(n_5548),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[4]  (
+	.CLK(CTS_30),
+	.D(n_6681),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[5]  (
+	.CLK(CTS_30),
+	.D(n_7336),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[6]  (
+	.CLK(CTS_30),
+	.D(n_7901),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[7]  (
+	.CLK(CTS_30),
+	.D(n_8416),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[8]  (
+	.CLK(CTS_30),
+	.D(n_8602),
+	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[0]  (
+	.CLK(CTS_44),
+	.D(n_1925),
+	.Q(n_94),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_buffer_size[0]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[2]  (
+	.CLK(CTS_44),
+	.D(n_2670),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[2]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[5]  (
+	.CLK(CTS_42),
+	.D(n_5529),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[6]  (
+	.CLK(CTS_42),
+	.D(n_5884),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[8]  (
+	.CLK(CTS_44),
+	.D(n_7332),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[8]),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfstp_1 u_soc_u_uart_u_uart_core_rx_clr_reg (
+	.CLK(CTS_46),
+	.D(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Q(u_soc_u_uart_u_uart_core_rx_clr),
+	.SCD(u_soc_u_uart_u_uart_core_rx_clr),
+	.SCE(n_15924),
+	.SET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_rx_en_reg (
+	.CLK(CTS_71),
+	.D(u_soc_u_uart_u_uart_core_rx_en),
+	.Q(u_soc_u_uart_u_uart_core_rx_en),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [0]),
+	.SCE(n_2176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 u_soc_u_uart_u_uart_core_rx_fifo_clr_reg (
+	.CLK(CTS_46),
+	.D(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Q(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.SCD(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.SCE(n_2902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 u_soc_u_uart_u_uart_core_rx_fifo_rst_reg (
+	.CLK(CTS_46),
+	.D(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Q(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.SCD(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.SCE(n_2306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_rx_status_reg (
+	.CLK(CTS_46),
+	.D(u_soc_u_uart_u_uart_core_rx_status),
+	.Q(u_soc_u_uart_u_uart_core_rx_status),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(n_1289),
+	.SCE(n_1468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[0]  (
+	.CLK(CTS_52),
+	.D(n_1023),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.RESET_B(FE_OFN33_io_out_37),
+	.SCD(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.SCE(u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[1]  (
+	.CLK(CTS_52),
+	.D(n_1731),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_1716),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[3]  (
+	.CLK(CTS_52),
+	.D(n_1706),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[4]  (
+	.CLK(CTS_52),
+	.D(n_1717),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[5]  (
+	.CLK(CTS_52),
+	.D(n_1711),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[6]  (
+	.CLK(CTS_52),
+	.D(n_1712),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[7]  (
+	.CLK(CTS_52),
+	.D(n_1732),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[8]  (
+	.CLK(CTS_52),
+	.D(n_1643),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[9]  (
+	.CLK(CTS_52),
+	.D(n_1663),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[10]  (
+	.CLK(CTS_52),
+	.D(n_1695),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[11]  (
+	.CLK(CTS_52),
+	.D(n_1660),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[12]  (
+	.CLK(CTS_52),
+	.D(n_1661),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[13]  (
+	.CLK(CTS_52),
+	.D(n_1664),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[14]  (
+	.CLK(CTS_52),
+	.D(n_1666),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[15]  (
+	.CLK(CTS_52),
+	.D(n_1633),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[16]  (
+	.CLK(CTS_52),
+	.D(n_1718),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[17]  (
+	.CLK(CTS_51),
+	.D(n_1626),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[18]  (
+	.CLK(CTS_51),
+	.D(n_1627),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[19]  (
+	.CLK(CTS_51),
+	.D(n_1687),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[20]  (
+	.CLK(CTS_51),
+	.D(n_1691),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[21]  (
+	.CLK(CTS_51),
+	.D(n_1703),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[22]  (
+	.CLK(CTS_51),
+	.D(n_1708),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[23]  (
+	.CLK(CTS_51),
+	.D(n_1707),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[24]  (
+	.CLK(CTS_50),
+	.D(n_1646),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[25]  (
+	.CLK(CTS_50),
+	.D(n_1697),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[26]  (
+	.CLK(CTS_50),
+	.D(n_1719),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[27]  (
+	.CLK(CTS_50),
+	.D(n_1678),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[28]  (
+	.CLK(CTS_50),
+	.D(n_1720),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[29]  (
+	.CLK(CTS_50),
+	.D(n_1630),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[30]  (
+	.CLK(CTS_50),
+	.D(n_1705),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[31]  (
+	.CLK(CTS_50),
+	.D(n_1657),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_u_uart_u_uart_core_rx_time_rx_timeout_o_reg (
+	.CLK(CTS_50),
+	.D(n_1734),
+	.Q(u_soc_intr_u_rx),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfstp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_1837),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]),
+	.SET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfstp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_1819),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]),
+	.SET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_1932),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[0]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[0]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[0]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[1]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [1]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[1]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[1]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[2]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [2]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[2]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[2]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[3]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [3]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[3]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[3]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[4]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [4]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[4]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[4]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[5]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [5]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[5]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[5]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[6]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [6]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[6]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[6]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[7]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [7]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[7]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[7]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[8]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [8]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[8]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[8]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[9]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [9]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[9]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[9]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[10]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [10]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[10]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[10]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[11]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [11]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[11]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[11]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[12]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [12]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[12]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[12]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[13]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [13]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[13]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[13]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[14]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [14]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[14]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[14]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[15]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [15]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[15]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[15]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[16]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [16]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[16]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[16]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[17]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [17]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[17]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[17]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[18]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [18]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[18]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[18]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[19]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [19]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[19]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[19]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[20]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [20]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[20]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[20]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[21]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [21]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[21]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[21]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[22]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [22]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[22]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[22]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[23]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [23]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[23]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[23]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[24]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [24]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[24]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[24]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[25]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [25]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[25]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[25]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[26]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [26]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[26]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[26]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[27]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [27]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[27]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[27]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[28]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [28]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[28]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[28]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[29]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [29]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[29]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[29]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[30]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [30]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[30]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[30]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[31]  (
+	.CLK(CTS_50),
+	.D(\u_soc_xbar_to_dccm[a_data] [31]),
+	.Q(u_soc_u_uart_u_uart_core_rx_timeout[31]),
+	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[31]),
+	.SCE(FE_OFN1475_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_tx_en_reg (
+	.CLK(CTS_71),
+	.D(u_soc_u_uart_u_uart_core_tx_en),
+	.Q(u_soc_u_uart_u_uart_core_tx_en),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_data] [0]),
+	.SCE(n_1923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 u_soc_u_uart_u_uart_core_tx_fifo_init_reg (
+	.CLK(CTS_71),
+	.D(n_5148),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_init),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_write_fifo_buffer_empty_reg (
+	.CLK(CTS_71),
+	.D(n_29),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_buffer_empty),
+	.RESET_B(FE_OFN31_io_out_37),
+	.SCD(u_soc_u_uart_u_uart_core_write_fifo_buffer_empty),
+	.SCE(n_3040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][1]  (
+	.CLK(CTS_36),
+	.D(n_6105),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][2]  (
+	.CLK(CTS_27),
+	.D(n_6104),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][3]  (
+	.CLK(CTS_36),
+	.D(n_6103),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][4]  (
+	.CLK(CTS_36),
+	.D(n_6102),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][5]  (
+	.CLK(CTS_36),
+	.D(n_6118),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][6]  (
+	.CLK(CTS_36),
+	.D(n_6125),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][7]  (
+	.CLK(CTS_27),
+	.D(n_6101),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][8]  (
+	.CLK(CTS_27),
+	.D(n_6147),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][1]  (
+	.CLK(CTS_36),
+	.D(n_6100),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][2]  (
+	.CLK(CTS_27),
+	.D(n_6099),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][3]  (
+	.CLK(CTS_27),
+	.D(n_6098),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][4]  (
+	.CLK(CTS_36),
+	.D(n_6097),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][5]  (
+	.CLK(CTS_71),
+	.D(n_6096),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][6]  (
+	.CLK(CTS_71),
+	.D(n_6095),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][7]  (
+	.CLK(CTS_27),
+	.D(n_6094),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][8]  (
+	.CLK(CTS_36),
+	.D(n_6093),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][1]  (
+	.CLK(CTS_54),
+	.D(n_6092),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][2]  (
+	.CLK(CTS_48),
+	.D(n_6091),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][3]  (
+	.CLK(CTS_48),
+	.D(n_6090),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][4]  (
+	.CLK(CTS_54),
+	.D(n_6129),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][5]  (
+	.CLK(CTS_48),
+	.D(n_6121),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][6]  (
+	.CLK(CTS_48),
+	.D(n_6088),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][7]  (
+	.CLK(CTS_48),
+	.D(n_6087),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][8]  (
+	.CLK(CTS_48),
+	.D(n_6086),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][1]  (
+	.CLK(CTS_54),
+	.D(n_6109),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][2]  (
+	.CLK(CTS_48),
+	.D(n_6085),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][3]  (
+	.CLK(CTS_48),
+	.D(n_6084),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][4]  (
+	.CLK(CTS_48),
+	.D(n_6083),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][5]  (
+	.CLK(CTS_54),
+	.D(n_6082),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][6]  (
+	.CLK(CTS_36),
+	.D(n_6081),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][7]  (
+	.CLK(CTS_27),
+	.D(n_6080),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][8]  (
+	.CLK(CTS_48),
+	.D(n_6079),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][1]  (
+	.CLK(CTS_36),
+	.D(n_7003),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][2]  (
+	.CLK(CTS_36),
+	.D(n_7002),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][3]  (
+	.CLK(CTS_36),
+	.D(n_7129),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][4]  (
+	.CLK(CTS_71),
+	.D(n_7063),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][5]  (
+	.CLK(CTS_36),
+	.D(n_7096),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][6]  (
+	.CLK(CTS_36),
+	.D(n_7001),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][7]  (
+	.CLK(CTS_27),
+	.D(n_7000),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][8]  (
+	.CLK(CTS_27),
+	.D(n_6999),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][1]  (
+	.CLK(CTS_36),
+	.D(n_6998),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][2]  (
+	.CLK(CTS_27),
+	.D(n_6997),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][3]  (
+	.CLK(CTS_27),
+	.D(n_6996),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][4]  (
+	.CLK(CTS_36),
+	.D(n_6995),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][5]  (
+	.CLK(CTS_46),
+	.D(n_6994),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][6]  (
+	.CLK(CTS_46),
+	.D(n_6993),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][7]  (
+	.CLK(CTS_27),
+	.D(n_6992),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
+	.RESET_B(FE_OFN116_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][8]  (
+	.CLK(CTS_36),
+	.D(n_6991),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][1]  (
+	.CLK(CTS_54),
+	.D(n_6990),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][2]  (
+	.CLK(CTS_48),
+	.D(n_6989),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][3]  (
+	.CLK(CTS_48),
+	.D(n_7006),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][4]  (
+	.CLK(CTS_54),
+	.D(n_6988),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][5]  (
+	.CLK(CTS_48),
+	.D(n_6987),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][6]  (
+	.CLK(CTS_48),
+	.D(n_6986),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][7]  (
+	.CLK(CTS_48),
+	.D(n_6985),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][8]  (
+	.CLK(CTS_48),
+	.D(n_6984),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][1]  (
+	.CLK(CTS_54),
+	.D(n_6983),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][2]  (
+	.CLK(CTS_48),
+	.D(n_6982),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][3]  (
+	.CLK(CTS_48),
+	.D(n_6981),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][4]  (
+	.CLK(CTS_48),
+	.D(n_6980),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][5]  (
+	.CLK(CTS_54),
+	.D(n_6979),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][6]  (
+	.CLK(CTS_54),
+	.D(n_6978),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][7]  (
+	.CLK(CTS_48),
+	.D(n_7005),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][8]  (
+	.CLK(CTS_48),
+	.D(n_6977),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][0]  (
+	.CLK(CTS_71),
+	.D(n_5885),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][1]  (
+	.CLK(CTS_36),
+	.D(n_6077),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][2]  (
+	.CLK(CTS_36),
+	.D(n_6076),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][3]  (
+	.CLK(CTS_36),
+	.D(n_6075),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][4]  (
+	.CLK(CTS_71),
+	.D(n_6074),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][5]  (
+	.CLK(CTS_36),
+	.D(n_6073),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][6]  (
+	.CLK(CTS_36),
+	.D(n_6072),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][7]  (
+	.CLK(CTS_27),
+	.D(n_6473),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][8]  (
+	.CLK(CTS_27),
+	.D(n_6069),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][1]  (
+	.CLK(CTS_36),
+	.D(n_6068),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][2]  (
+	.CLK(CTS_27),
+	.D(n_6067),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][3]  (
+	.CLK(CTS_36),
+	.D(n_6066),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][4]  (
+	.CLK(CTS_36),
+	.D(n_6065),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][5]  (
+	.CLK(CTS_71),
+	.D(n_6400),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][6]  (
+	.CLK(CTS_36),
+	.D(n_6064),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][7]  (
+	.CLK(CTS_27),
+	.D(n_6063),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][8]  (
+	.CLK(CTS_36),
+	.D(n_6120),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][1]  (
+	.CLK(CTS_54),
+	.D(n_6048),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][2]  (
+	.CLK(CTS_48),
+	.D(n_6062),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][3]  (
+	.CLK(CTS_48),
+	.D(n_6061),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][4]  (
+	.CLK(CTS_54),
+	.D(n_6060),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][5]  (
+	.CLK(CTS_48),
+	.D(n_6113),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][6]  (
+	.CLK(CTS_48),
+	.D(n_6059),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][7]  (
+	.CLK(CTS_48),
+	.D(n_6058),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][8]  (
+	.CLK(CTS_48),
+	.D(n_6057),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][1]  (
+	.CLK(CTS_54),
+	.D(n_6056),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][2]  (
+	.CLK(CTS_48),
+	.D(n_6055),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][3]  (
+	.CLK(CTS_48),
+	.D(n_6054),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][4]  (
+	.CLK(CTS_54),
+	.D(n_6053),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][5]  (
+	.CLK(CTS_54),
+	.D(n_6052),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][6]  (
+	.CLK(CTS_54),
+	.D(n_6051),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][7]  (
+	.CLK(CTS_27),
+	.D(n_6050),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][8]  (
+	.CLK(CTS_48),
+	.D(n_6049),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][0]  (
+	.CLK(CTS_71),
+	.D(n_7337),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][1]  (
+	.CLK(CTS_71),
+	.D(n_7431),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][2]  (
+	.CLK(CTS_36),
+	.D(n_7430),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][3]  (
+	.CLK(CTS_36),
+	.D(n_7429),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][4]  (
+	.CLK(CTS_71),
+	.D(n_7428),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][5]  (
+	.CLK(CTS_36),
+	.D(n_7427),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][6]  (
+	.CLK(CTS_36),
+	.D(n_7426),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][7]  (
+	.CLK(CTS_36),
+	.D(n_7425),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][8]  (
+	.CLK(CTS_27),
+	.D(n_7424),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][1]  (
+	.CLK(CTS_36),
+	.D(n_7423),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][2]  (
+	.CLK(CTS_27),
+	.D(n_7422),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][3]  (
+	.CLK(CTS_36),
+	.D(n_7421),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][4]  (
+	.CLK(CTS_36),
+	.D(n_7420),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][5]  (
+	.CLK(CTS_71),
+	.D(n_7419),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][6]  (
+	.CLK(CTS_71),
+	.D(n_7418),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][7]  (
+	.CLK(CTS_27),
+	.D(n_7549),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][8]  (
+	.CLK(CTS_36),
+	.D(n_7417),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][1]  (
+	.CLK(CTS_54),
+	.D(n_7416),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][2]  (
+	.CLK(CTS_48),
+	.D(n_7415),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][3]  (
+	.CLK(CTS_48),
+	.D(n_7452),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][4]  (
+	.CLK(CTS_54),
+	.D(n_7414),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][5]  (
+	.CLK(CTS_48),
+	.D(n_7413),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][6]  (
+	.CLK(CTS_48),
+	.D(n_7412),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][7]  (
+	.CLK(CTS_49),
+	.D(n_7411),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][8]  (
+	.CLK(CTS_48),
+	.D(n_7410),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][1]  (
+	.CLK(CTS_54),
+	.D(n_7409),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][2]  (
+	.CLK(CTS_54),
+	.D(n_7408),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][3]  (
+	.CLK(CTS_48),
+	.D(n_7407),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][4]  (
+	.CLK(CTS_54),
+	.D(n_7406),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][5]  (
+	.CLK(CTS_54),
+	.D(n_7405),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][6]  (
+	.CLK(CTS_54),
+	.D(n_7404),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][7]  (
+	.CLK(CTS_48),
+	.D(n_7477),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][8]  (
+	.CLK(CTS_48),
+	.D(n_7552),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][0]  (
+	.CLK(CTS_51),
+	.D(n_5886),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][1]  (
+	.CLK(CTS_49),
+	.D(n_6047),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][2]  (
+	.CLK(CTS_53),
+	.D(n_6046),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][3]  (
+	.CLK(CTS_58),
+	.D(n_6045),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][4]  (
+	.CLK(CTS_51),
+	.D(n_6044),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][5]  (
+	.CLK(CTS_49),
+	.D(n_6043),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][6]  (
+	.CLK(CTS_51),
+	.D(n_6042),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][7]  (
+	.CLK(CTS_58),
+	.D(n_6041),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][8]  (
+	.CLK(CTS_58),
+	.D(n_6040),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][1]  (
+	.CLK(CTS_58),
+	.D(n_6145),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][2]  (
+	.CLK(CTS_49),
+	.D(n_6039),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][3]  (
+	.CLK(CTS_58),
+	.D(n_6038),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][4]  (
+	.CLK(CTS_51),
+	.D(n_6037),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][5]  (
+	.CLK(CTS_58),
+	.D(n_6266),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][6]  (
+	.CLK(CTS_51),
+	.D(n_6036),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][7]  (
+	.CLK(CTS_58),
+	.D(n_6035),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][8]  (
+	.CLK(CTS_49),
+	.D(n_6034),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][1]  (
+	.CLK(CTS_49),
+	.D(n_6148),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][2]  (
+	.CLK(CTS_49),
+	.D(n_6033),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][3]  (
+	.CLK(CTS_48),
+	.D(n_6032),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][4]  (
+	.CLK(CTS_58),
+	.D(n_6031),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][5]  (
+	.CLK(CTS_54),
+	.D(n_6162),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][6]  (
+	.CLK(CTS_51),
+	.D(n_6030),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][7]  (
+	.CLK(CTS_51),
+	.D(n_6029),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][8]  (
+	.CLK(CTS_51),
+	.D(n_6028),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][1]  (
+	.CLK(CTS_58),
+	.D(n_6027),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][2]  (
+	.CLK(CTS_48),
+	.D(n_6026),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][3]  (
+	.CLK(CTS_48),
+	.D(n_6025),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][4]  (
+	.CLK(CTS_54),
+	.D(n_6024),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][5]  (
+	.CLK(CTS_49),
+	.D(n_6436),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][6]  (
+	.CLK(CTS_54),
+	.D(n_6023),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][7]  (
+	.CLK(CTS_54),
+	.D(n_6071),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][8]  (
+	.CLK(CTS_54),
+	.D(n_6022),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][0]  (
+	.CLK(CTS_51),
+	.D(n_6797),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][1]  (
+	.CLK(CTS_49),
+	.D(n_6976),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][2]  (
+	.CLK(CTS_53),
+	.D(n_6975),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][3]  (
+	.CLK(CTS_58),
+	.D(n_6974),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][4]  (
+	.CLK(CTS_51),
+	.D(n_6973),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][5]  (
+	.CLK(CTS_58),
+	.D(n_6972),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][6]  (
+	.CLK(CTS_51),
+	.D(n_6971),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][7]  (
+	.CLK(CTS_58),
+	.D(n_6970),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][8]  (
+	.CLK(CTS_58),
+	.D(n_6969),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][1]  (
+	.CLK(CTS_58),
+	.D(n_6968),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][2]  (
+	.CLK(CTS_49),
+	.D(n_6967),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][3]  (
+	.CLK(CTS_49),
+	.D(n_6966),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][4]  (
+	.CLK(CTS_51),
+	.D(n_6965),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][5]  (
+	.CLK(CTS_58),
+	.D(n_6964),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][6]  (
+	.CLK(CTS_51),
+	.D(n_6963),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][7]  (
+	.CLK(CTS_58),
+	.D(n_6962),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][8]  (
+	.CLK(CTS_49),
+	.D(n_6961),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][1]  (
+	.CLK(CTS_49),
+	.D(n_6960),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][2]  (
+	.CLK(CTS_49),
+	.D(n_6959),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][3]  (
+	.CLK(CTS_48),
+	.D(n_6958),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][4]  (
+	.CLK(CTS_54),
+	.D(n_6957),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][5]  (
+	.CLK(CTS_54),
+	.D(n_6956),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][6]  (
+	.CLK(CTS_51),
+	.D(n_6955),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][7]  (
+	.CLK(CTS_54),
+	.D(n_6954),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][8]  (
+	.CLK(CTS_51),
+	.D(n_6953),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][1]  (
+	.CLK(CTS_54),
+	.D(n_6952),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][2]  (
+	.CLK(CTS_48),
+	.D(n_6951),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][3]  (
+	.CLK(CTS_48),
+	.D(n_6950),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][4]  (
+	.CLK(CTS_54),
+	.D(n_6949),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][5]  (
+	.CLK(CTS_49),
+	.D(n_6948),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][6]  (
+	.CLK(CTS_54),
+	.D(n_6947),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][7]  (
+	.CLK(CTS_54),
+	.D(n_6946),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][8]  (
+	.CLK(CTS_48),
+	.D(n_6945),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][1]  (
+	.CLK(CTS_49),
+	.D(n_6021),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][2]  (
+	.CLK(CTS_49),
+	.D(n_6020),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][3]  (
+	.CLK(CTS_58),
+	.D(n_6019),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][4]  (
+	.CLK(CTS_51),
+	.D(n_6018),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][5]  (
+	.CLK(CTS_58),
+	.D(n_6017),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][6]  (
+	.CLK(CTS_51),
+	.D(n_6016),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][7]  (
+	.CLK(CTS_58),
+	.D(n_6123),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][8]  (
+	.CLK(CTS_58),
+	.D(n_6283),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][1]  (
+	.CLK(CTS_58),
+	.D(n_6260),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][2]  (
+	.CLK(CTS_49),
+	.D(n_6358),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][3]  (
+	.CLK(CTS_49),
+	.D(n_6362),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][4]  (
+	.CLK(CTS_51),
+	.D(n_6382),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][5]  (
+	.CLK(CTS_49),
+	.D(n_6270),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][6]  (
+	.CLK(CTS_51),
+	.D(n_6383),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][7]  (
+	.CLK(CTS_58),
+	.D(n_6264),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][8]  (
+	.CLK(CTS_49),
+	.D(n_6265),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][1]  (
+	.CLK(CTS_49),
+	.D(n_6398),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][2]  (
+	.CLK(CTS_49),
+	.D(n_6384),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][3]  (
+	.CLK(CTS_49),
+	.D(n_6385),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][4]  (
+	.CLK(CTS_54),
+	.D(n_6468),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][5]  (
+	.CLK(CTS_54),
+	.D(n_6386),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][6]  (
+	.CLK(CTS_51),
+	.D(n_6387),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][7]  (
+	.CLK(CTS_51),
+	.D(n_6388),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][8]  (
+	.CLK(CTS_54),
+	.D(n_6390),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][1]  (
+	.CLK(CTS_54),
+	.D(n_6478),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][2]  (
+	.CLK(CTS_49),
+	.D(n_6391),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][3]  (
+	.CLK(CTS_49),
+	.D(n_6392),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][4]  (
+	.CLK(CTS_54),
+	.D(n_6421),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][5]  (
+	.CLK(CTS_48),
+	.D(n_6174),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][6]  (
+	.CLK(CTS_54),
+	.D(n_6394),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][7]  (
+	.CLK(CTS_58),
+	.D(n_6396),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][8]  (
+	.CLK(CTS_54),
+	.D(n_6397),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][1]  (
+	.CLK(CTS_49),
+	.D(n_7548),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][2]  (
+	.CLK(CTS_49),
+	.D(n_7547),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][3]  (
+	.CLK(CTS_58),
+	.D(n_7546),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][4]  (
+	.CLK(CTS_51),
+	.D(n_7545),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][5]  (
+	.CLK(CTS_49),
+	.D(n_7544),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][6]  (
+	.CLK(CTS_51),
+	.D(n_7543),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][7]  (
+	.CLK(CTS_58),
+	.D(n_7542),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][8]  (
+	.CLK(CTS_51),
+	.D(n_7541),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][1]  (
+	.CLK(CTS_58),
+	.D(n_7540),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][2]  (
+	.CLK(CTS_49),
+	.D(n_7539),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][3]  (
+	.CLK(CTS_58),
+	.D(n_7538),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][4]  (
+	.CLK(CTS_51),
+	.D(n_7537),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][5]  (
+	.CLK(CTS_58),
+	.D(n_7536),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][6]  (
+	.CLK(CTS_51),
+	.D(n_7535),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][7]  (
+	.CLK(CTS_58),
+	.D(n_7534),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][8]  (
+	.CLK(CTS_49),
+	.D(n_7533),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][1]  (
+	.CLK(CTS_49),
+	.D(n_7532),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][2]  (
+	.CLK(CTS_49),
+	.D(n_7531),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][3]  (
+	.CLK(CTS_49),
+	.D(n_7530),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][4]  (
+	.CLK(CTS_54),
+	.D(n_7529),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][5]  (
+	.CLK(CTS_54),
+	.D(n_7528),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][6]  (
+	.CLK(CTS_51),
+	.D(n_7527),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][7]  (
+	.CLK(CTS_54),
+	.D(n_7526),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][8]  (
+	.CLK(CTS_54),
+	.D(n_7525),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][1]  (
+	.CLK(CTS_54),
+	.D(n_7524),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][2]  (
+	.CLK(CTS_48),
+	.D(n_7523),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][3]  (
+	.CLK(CTS_49),
+	.D(n_7522),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][4]  (
+	.CLK(CTS_54),
+	.D(n_7521),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][5]  (
+	.CLK(CTS_48),
+	.D(n_7520),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][6]  (
+	.CLK(CTS_54),
+	.D(n_7519),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][7]  (
+	.CLK(CTS_58),
+	.D(n_7518),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][8]  (
+	.CLK(CTS_54),
+	.D(n_7517),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][0]  (
+	.CLK(CTS_54),
+	.D(n_5875),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][1]  (
+	.CLK(CTS_71),
+	.D(n_6422),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][2]  (
+	.CLK(CTS_36),
+	.D(n_6423),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][3]  (
+	.CLK(CTS_27),
+	.D(n_6424),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][4]  (
+	.CLK(CTS_71),
+	.D(n_6425),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][5]  (
+	.CLK(CTS_27),
+	.D(n_6114),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][6]  (
+	.CLK(CTS_36),
+	.D(n_6426),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][7]  (
+	.CLK(CTS_27),
+	.D(n_6427),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][8]  (
+	.CLK(CTS_27),
+	.D(n_6428),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][1]  (
+	.CLK(CTS_36),
+	.D(n_6127),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][2]  (
+	.CLK(CTS_27),
+	.D(n_6429),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][3]  (
+	.CLK(CTS_36),
+	.D(n_6430),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][4]  (
+	.CLK(CTS_36),
+	.D(n_6431),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][5]  (
+	.CLK(CTS_71),
+	.D(n_6432),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][6]  (
+	.CLK(CTS_71),
+	.D(n_6433),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][7]  (
+	.CLK(CTS_27),
+	.D(n_6434),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][8]  (
+	.CLK(CTS_27),
+	.D(n_6435),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][1]  (
+	.CLK(CTS_71),
+	.D(n_6130),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][2]  (
+	.CLK(CTS_27),
+	.D(n_6437),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][3]  (
+	.CLK(CTS_27),
+	.D(n_6438),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][4]  (
+	.CLK(CTS_71),
+	.D(n_6439),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][5]  (
+	.CLK(CTS_48),
+	.D(n_6134),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][6]  (
+	.CLK(CTS_48),
+	.D(n_6440),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][7]  (
+	.CLK(CTS_27),
+	.D(n_6175),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][8]  (
+	.CLK(CTS_48),
+	.D(n_6441),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][1]  (
+	.CLK(CTS_36),
+	.D(n_6138),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][2]  (
+	.CLK(CTS_27),
+	.D(n_6442),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][3]  (
+	.CLK(CTS_36),
+	.D(n_6443),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][4]  (
+	.CLK(CTS_36),
+	.D(n_6268),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][5]  (
+	.CLK(CTS_36),
+	.D(n_6271),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][6]  (
+	.CLK(CTS_71),
+	.D(n_6445),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][7]  (
+	.CLK(CTS_48),
+	.D(n_6119),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][8]  (
+	.CLK(CTS_48),
+	.D(n_6446),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][0]  (
+	.CLK(CTS_54),
+	.D(n_6901),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][1]  (
+	.CLK(CTS_71),
+	.D(n_7128),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][2]  (
+	.CLK(CTS_36),
+	.D(n_7127),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][3]  (
+	.CLK(CTS_27),
+	.D(n_7126),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][4]  (
+	.CLK(CTS_71),
+	.D(n_7125),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][5]  (
+	.CLK(CTS_27),
+	.D(n_7124),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][6]  (
+	.CLK(CTS_36),
+	.D(n_7123),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][7]  (
+	.CLK(CTS_27),
+	.D(n_7122),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][8]  (
+	.CLK(CTS_27),
+	.D(n_7121),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][1]  (
+	.CLK(CTS_36),
+	.D(n_7120),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][2]  (
+	.CLK(CTS_27),
+	.D(n_7119),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][3]  (
+	.CLK(CTS_36),
+	.D(n_7118),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][4]  (
+	.CLK(CTS_36),
+	.D(n_7117),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][5]  (
+	.CLK(CTS_71),
+	.D(n_7116),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][6]  (
+	.CLK(CTS_71),
+	.D(n_7115),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][7]  (
+	.CLK(CTS_48),
+	.D(n_7114),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][8]  (
+	.CLK(CTS_27),
+	.D(n_7113),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][1]  (
+	.CLK(CTS_71),
+	.D(n_7112),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][2]  (
+	.CLK(CTS_36),
+	.D(n_7111),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][3]  (
+	.CLK(CTS_27),
+	.D(n_7110),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][4]  (
+	.CLK(CTS_71),
+	.D(n_7109),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][5]  (
+	.CLK(CTS_48),
+	.D(n_7108),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][6]  (
+	.CLK(CTS_48),
+	.D(n_7107),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][7]  (
+	.CLK(CTS_48),
+	.D(n_7106),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][8]  (
+	.CLK(CTS_48),
+	.D(n_7105),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][1]  (
+	.CLK(CTS_36),
+	.D(n_7104),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][2]  (
+	.CLK(CTS_27),
+	.D(n_7103),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][3]  (
+	.CLK(CTS_36),
+	.D(n_7102),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][4]  (
+	.CLK(CTS_36),
+	.D(n_7101),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][5]  (
+	.CLK(CTS_36),
+	.D(n_7100),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][6]  (
+	.CLK(CTS_71),
+	.D(n_7099),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][7]  (
+	.CLK(CTS_48),
+	.D(n_7098),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][8]  (
+	.CLK(CTS_36),
+	.D(n_7097),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][1]  (
+	.CLK(CTS_71),
+	.D(n_6128),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][2]  (
+	.CLK(CTS_36),
+	.D(n_6131),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][3]  (
+	.CLK(CTS_27),
+	.D(n_6447),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][4]  (
+	.CLK(CTS_71),
+	.D(n_6448),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][5]  (
+	.CLK(CTS_27),
+	.D(n_6449),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][6]  (
+	.CLK(CTS_36),
+	.D(n_6140),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][7]  (
+	.CLK(CTS_27),
+	.D(n_6450),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][8]  (
+	.CLK(CTS_27),
+	.D(n_6290),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][1]  (
+	.CLK(CTS_36),
+	.D(n_6451),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][2]  (
+	.CLK(CTS_27),
+	.D(n_6158),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][3]  (
+	.CLK(CTS_36),
+	.D(n_6452),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][4]  (
+	.CLK(CTS_36),
+	.D(n_6161),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][5]  (
+	.CLK(CTS_71),
+	.D(n_6453),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][6]  (
+	.CLK(CTS_71),
+	.D(n_6170),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][7]  (
+	.CLK(CTS_27),
+	.D(n_6454),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][8]  (
+	.CLK(CTS_27),
+	.D(n_6455),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][1]  (
+	.CLK(CTS_54),
+	.D(n_6456),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][2]  (
+	.CLK(CTS_48),
+	.D(n_6258),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][3]  (
+	.CLK(CTS_48),
+	.D(n_6457),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][4]  (
+	.CLK(CTS_54),
+	.D(n_6458),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][5]  (
+	.CLK(CTS_48),
+	.D(n_6459),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][6]  (
+	.CLK(CTS_48),
+	.D(n_6269),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][7]  (
+	.CLK(CTS_48),
+	.D(n_6460),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][8]  (
+	.CLK(CTS_48),
+	.D(n_6461),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][1]  (
+	.CLK(CTS_71),
+	.D(n_6462),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][2]  (
+	.CLK(CTS_48),
+	.D(n_6463),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][3]  (
+	.CLK(CTS_48),
+	.D(n_6464),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][4]  (
+	.CLK(CTS_48),
+	.D(n_6465),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][5]  (
+	.CLK(CTS_36),
+	.D(n_6116),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][6]  (
+	.CLK(CTS_71),
+	.D(n_6444),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][7]  (
+	.CLK(CTS_27),
+	.D(n_6466),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][8]  (
+	.CLK(CTS_54),
+	.D(n_6467),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][1]  (
+	.CLK(CTS_71),
+	.D(n_7516),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][2]  (
+	.CLK(CTS_27),
+	.D(n_7515),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][3]  (
+	.CLK(CTS_27),
+	.D(n_7514),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][4]  (
+	.CLK(CTS_71),
+	.D(n_7513),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][5]  (
+	.CLK(CTS_27),
+	.D(n_7512),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][6]  (
+	.CLK(CTS_36),
+	.D(n_7511),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][7]  (
+	.CLK(CTS_27),
+	.D(n_7510),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][8]  (
+	.CLK(CTS_27),
+	.D(n_7509),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][1]  (
+	.CLK(CTS_36),
+	.D(n_7508),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][2]  (
+	.CLK(CTS_27),
+	.D(n_7507),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][3]  (
+	.CLK(CTS_36),
+	.D(n_7506),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
+	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][4]  (
+	.CLK(CTS_36),
+	.D(n_7505),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][5]  (
+	.CLK(CTS_71),
+	.D(n_7504),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][6]  (
+	.CLK(CTS_71),
+	.D(n_7503),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
+	.RESET_B(FE_OFN153_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][7]  (
+	.CLK(CTS_27),
+	.D(n_7502),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][8]  (
+	.CLK(CTS_27),
+	.D(n_7501),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][1]  (
+	.CLK(CTS_54),
+	.D(n_7500),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][2]  (
+	.CLK(CTS_48),
+	.D(n_7499),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][3]  (
+	.CLK(CTS_48),
+	.D(n_7498),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][4]  (
+	.CLK(CTS_54),
+	.D(n_7497),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][5]  (
+	.CLK(CTS_48),
+	.D(n_7496),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][6]  (
+	.CLK(CTS_48),
+	.D(n_7495),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][7]  (
+	.CLK(CTS_48),
+	.D(n_7494),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][8]  (
+	.CLK(CTS_48),
+	.D(n_7493),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][1]  (
+	.CLK(CTS_71),
+	.D(n_7492),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][2]  (
+	.CLK(CTS_48),
+	.D(n_7491),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][3]  (
+	.CLK(CTS_36),
+	.D(n_7490),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][4]  (
+	.CLK(CTS_36),
+	.D(n_7489),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
+	.RESET_B(FE_OFN152_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][5]  (
+	.CLK(CTS_36),
+	.D(n_7488),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][6]  (
+	.CLK(CTS_71),
+	.D(n_7487),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][7]  (
+	.CLK(CTS_27),
+	.D(n_7486),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
+	.RESET_B(FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][8]  (
+	.CLK(CTS_54),
+	.D(n_7485),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][0]  (
+	.CLK(CTS_51),
+	.D(n_5878),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][1]  (
+	.CLK(CTS_49),
+	.D(n_6469),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][2]  (
+	.CLK(CTS_49),
+	.D(n_6262),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][3]  (
+	.CLK(CTS_58),
+	.D(n_6470),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][4]  (
+	.CLK(CTS_58),
+	.D(n_6124),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][5]  (
+	.CLK(CTS_53),
+	.D(n_6471),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][6]  (
+	.CLK(CTS_51),
+	.D(n_6015),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][7]  (
+	.CLK(CTS_58),
+	.D(n_6472),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][8]  (
+	.CLK(CTS_58),
+	.D(n_6122),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][1]  (
+	.CLK(CTS_58),
+	.D(n_6474),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][2]  (
+	.CLK(CTS_53),
+	.D(n_6149),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][3]  (
+	.CLK(CTS_49),
+	.D(n_6475),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][4]  (
+	.CLK(CTS_51),
+	.D(n_6476),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][5]  (
+	.CLK(CTS_53),
+	.D(n_6477),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][6]  (
+	.CLK(CTS_51),
+	.D(n_6070),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][7]  (
+	.CLK(CTS_58),
+	.D(n_6165),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][8]  (
+	.CLK(CTS_53),
+	.D(n_6078),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][1]  (
+	.CLK(CTS_49),
+	.D(n_6089),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][2]  (
+	.CLK(CTS_49),
+	.D(n_6106),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][3]  (
+	.CLK(CTS_49),
+	.D(n_6107),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][4]  (
+	.CLK(CTS_58),
+	.D(n_6479),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][5]  (
+	.CLK(CTS_49),
+	.D(n_6108),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][6]  (
+	.CLK(CTS_51),
+	.D(n_6480),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][7]  (
+	.CLK(CTS_51),
+	.D(n_6481),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][8]  (
+	.CLK(CTS_54),
+	.D(n_6164),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][1]  (
+	.CLK(CTS_58),
+	.D(n_6110),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][2]  (
+	.CLK(CTS_49),
+	.D(n_6112),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][3]  (
+	.CLK(CTS_49),
+	.D(n_6111),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][4]  (
+	.CLK(CTS_51),
+	.D(n_6482),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][5]  (
+	.CLK(CTS_49),
+	.D(n_6115),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][6]  (
+	.CLK(CTS_51),
+	.D(n_6117),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][7]  (
+	.CLK(CTS_58),
+	.D(n_6483),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][8]  (
+	.CLK(CTS_49),
+	.D(n_6484),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][0]  (
+	.CLK(CTS_51),
+	.D(n_6902),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][1]  (
+	.CLK(CTS_53),
+	.D(n_7095),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][2]  (
+	.CLK(CTS_49),
+	.D(n_7094),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][3]  (
+	.CLK(CTS_58),
+	.D(n_7093),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][4]  (
+	.CLK(CTS_58),
+	.D(n_7092),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][5]  (
+	.CLK(CTS_53),
+	.D(n_7091),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][6]  (
+	.CLK(CTS_58),
+	.D(n_7090),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][7]  (
+	.CLK(CTS_58),
+	.D(n_7089),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][8]  (
+	.CLK(CTS_58),
+	.D(n_7088),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][1]  (
+	.CLK(CTS_58),
+	.D(n_7087),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][2]  (
+	.CLK(CTS_53),
+	.D(n_7086),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][3]  (
+	.CLK(CTS_49),
+	.D(n_7085),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][4]  (
+	.CLK(CTS_58),
+	.D(n_7084),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][5]  (
+	.CLK(CTS_53),
+	.D(n_7083),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][6]  (
+	.CLK(CTS_51),
+	.D(n_7082),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][7]  (
+	.CLK(CTS_58),
+	.D(n_7081),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][8]  (
+	.CLK(CTS_53),
+	.D(n_7080),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][1]  (
+	.CLK(CTS_49),
+	.D(n_7079),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][2]  (
+	.CLK(CTS_49),
+	.D(n_7078),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][3]  (
+	.CLK(CTS_58),
+	.D(n_7077),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][4]  (
+	.CLK(CTS_58),
+	.D(n_7076),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][5]  (
+	.CLK(CTS_58),
+	.D(n_7075),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][6]  (
+	.CLK(CTS_51),
+	.D(n_7074),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][7]  (
+	.CLK(CTS_51),
+	.D(n_7004),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][8]  (
+	.CLK(CTS_54),
+	.D(n_7073),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][1]  (
+	.CLK(CTS_58),
+	.D(n_7072),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][2]  (
+	.CLK(CTS_49),
+	.D(n_7071),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
+	.RESET_B(FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][3]  (
+	.CLK(CTS_49),
+	.D(n_7070),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][4]  (
+	.CLK(CTS_51),
+	.D(n_7069),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][5]  (
+	.CLK(CTS_49),
+	.D(n_7068),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][6]  (
+	.CLK(CTS_51),
+	.D(n_7067),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][7]  (
+	.CLK(CTS_58),
+	.D(n_7066),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][8]  (
+	.CLK(CTS_49),
+	.D(n_7065),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][1]  (
+	.CLK(CTS_49),
+	.D(n_6132),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][2]  (
+	.CLK(CTS_49),
+	.D(n_6133),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][3]  (
+	.CLK(CTS_58),
+	.D(n_6485),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][4]  (
+	.CLK(CTS_58),
+	.D(n_6150),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][5]  (
+	.CLK(CTS_53),
+	.D(n_6137),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][6]  (
+	.CLK(CTS_58),
+	.D(n_6135),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][7]  (
+	.CLK(CTS_58),
+	.D(n_6289),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][8]  (
+	.CLK(CTS_51),
+	.D(n_6288),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][1]  (
+	.CLK(CTS_58),
+	.D(n_6146),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][2]  (
+	.CLK(CTS_53),
+	.D(n_6287),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][3]  (
+	.CLK(CTS_53),
+	.D(n_6285),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][4]  (
+	.CLK(CTS_51),
+	.D(n_6284),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][5]  (
+	.CLK(CTS_49),
+	.D(n_6157),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][6]  (
+	.CLK(CTS_51),
+	.D(n_6159),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][7]  (
+	.CLK(CTS_58),
+	.D(n_6282),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][8]  (
+	.CLK(CTS_53),
+	.D(n_6160),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][1]  (
+	.CLK(CTS_58),
+	.D(n_6281),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][2]  (
+	.CLK(CTS_49),
+	.D(n_6163),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][3]  (
+	.CLK(CTS_49),
+	.D(n_6280),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][4]  (
+	.CLK(CTS_54),
+	.D(n_6279),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][5]  (
+	.CLK(CTS_58),
+	.D(n_6278),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][6]  (
+	.CLK(CTS_54),
+	.D(n_6171),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][7]  (
+	.CLK(CTS_54),
+	.D(n_6277),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][8]  (
+	.CLK(CTS_54),
+	.D(n_6176),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][1]  (
+	.CLK(CTS_54),
+	.D(n_6276),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][2]  (
+	.CLK(CTS_54),
+	.D(n_6272),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][3]  (
+	.CLK(CTS_49),
+	.D(n_6275),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][4]  (
+	.CLK(CTS_54),
+	.D(n_6259),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][5]  (
+	.CLK(CTS_54),
+	.D(n_6274),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][6]  (
+	.CLK(CTS_54),
+	.D(n_6263),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][7]  (
+	.CLK(CTS_58),
+	.D(n_6273),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][8]  (
+	.CLK(CTS_54),
+	.D(n_6267),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][1]  (
+	.CLK(CTS_53),
+	.D(n_7453),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][2]  (
+	.CLK(CTS_49),
+	.D(n_7484),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][3]  (
+	.CLK(CTS_58),
+	.D(n_7483),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][4]  (
+	.CLK(CTS_51),
+	.D(n_7482),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][5]  (
+	.CLK(CTS_53),
+	.D(n_7481),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][6]  (
+	.CLK(CTS_58),
+	.D(n_7480),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][7]  (
+	.CLK(CTS_58),
+	.D(n_7479),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][8]  (
+	.CLK(CTS_51),
+	.D(n_7478),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][1]  (
+	.CLK(CTS_58),
+	.D(n_7553),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][2]  (
+	.CLK(CTS_53),
+	.D(n_7476),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][3]  (
+	.CLK(CTS_49),
+	.D(n_7475),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][4]  (
+	.CLK(CTS_51),
+	.D(n_7474),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][5]  (
+	.CLK(CTS_49),
+	.D(n_7473),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][6]  (
+	.CLK(CTS_51),
+	.D(n_7472),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][7]  (
+	.CLK(CTS_58),
+	.D(n_7471),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
+	.RESET_B(FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][8]  (
+	.CLK(CTS_53),
+	.D(n_7470),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][1]  (
+	.CLK(CTS_58),
+	.D(n_7469),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][2]  (
+	.CLK(CTS_49),
+	.D(n_7468),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
+	.RESET_B(FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][3]  (
+	.CLK(CTS_49),
+	.D(n_7467),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][4]  (
+	.CLK(CTS_54),
+	.D(n_7466),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
+	.RESET_B(FE_OFN148_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][5]  (
+	.CLK(CTS_49),
+	.D(n_7465),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][6]  (
+	.CLK(CTS_54),
+	.D(n_7464),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][7]  (
+	.CLK(CTS_54),
+	.D(n_7463),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][8]  (
+	.CLK(CTS_54),
+	.D(n_7462),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][1]  (
+	.CLK(CTS_54),
+	.D(n_7461),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][2]  (
+	.CLK(CTS_54),
+	.D(n_7460),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][3]  (
+	.CLK(CTS_49),
+	.D(n_7459),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
+	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][4]  (
+	.CLK(CTS_54),
+	.D(n_7458),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][5]  (
+	.CLK(CTS_54),
+	.D(n_7457),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
+	.RESET_B(FE_OFN150_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][6]  (
+	.CLK(CTS_54),
+	.D(n_7456),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][7]  (
+	.CLK(CTS_58),
+	.D(n_7455),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][8]  (
+	.CLK(CTS_54),
+	.D(n_7454),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
+	.RESET_B(FE_OFN147_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_2359),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[1]  (
+	.CLK(CTS_71),
+	.D(n_5552),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[2]  (
+	.CLK(CTS_71),
+	.D(n_6393),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[3]  (
+	.CLK(CTS_71),
+	.D(n_6686),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[6]  (
+	.CLK(CTS_71),
+	.D(n_8107),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[7]  (
+	.CLK(CTS_71),
+	.D(n_8536),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[7]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlxtp_1 \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[0]  (
+	.D(n_6660),
+	.GATE(u_soc_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_5572),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[3]  (
+	.CLK(CTS_50),
+	.D(n_6656),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[5]  (
+	.CLK(CTS_51),
+	.D(n_7340),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[6]  (
+	.CLK(CTS_50),
+	.D(n_7567),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[7]  (
+	.CLK(CTS_50),
+	.D(n_8106),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[8]  (
+	.CLK(CTS_71),
+	.D(n_8426),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g430851 (
+	.A(n_6302),
+	.B(n_8787),
+	.C(n_3117),
+	.D(n_5646),
+	.Y(n_8790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g430853 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.A2(n_1074),
+	.B1(n_5193),
+	.C1(n_8786),
+	.D1(n_5710),
+	.Y(n_8789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g430854 (
+	.A(n_5710),
+	.B(n_8787),
+	.C(n_4650),
+	.D(n_5646),
+	.Y(n_8788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g430856 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.A2(n_2668),
+	.B1(FE_OFN1481_n_5649),
+	.B2(n_8784),
+	.C1(n_8782),
+	.C2(FE_OFN1482_n_5651),
+	.Y(n_8786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g430857 (
+	.A1(n_3096),
+	.A2(n_1056),
+	.A3(n_2286),
+	.B1(n_8785),
+	.Y(n_8787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g430858 (
+	.A1(n_8784),
+	.A2(n_5648),
+	.B1(n_5650),
+	.B2(n_8782),
+	.Y(n_8785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g430860 (
+	.A1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(n_8781),
+	.B1(n_6),
+	.B2(n_8780),
+	.Y(n_8783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g430861 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.A3(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.A4(n_8772),
+	.B1(n_2286),
+	.Y(n_8784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g431017 (
+	.A(FE_OFN19539_n_13391),
+	.B(FE_PSN4380_n_13390),
+	.C(n_13415),
+	.D(n_8769),
+	.Y(n_8782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g431252 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
+	.B(n_8766),
+	.X(n_8781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g431253 (
+	.A(n_13530),
+	.B(n_8767),
+	.X(n_8780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g431613 (
+	.A(n_1306),
+	.B(n_8712),
+	.X(n_8779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g431614 (
+	.A(n_8770),
+	.B(n_8339),
+	.Y(n_8778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g431779 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.D(n_8692),
+	.X(n_8772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431780 (
+	.A(n_8675),
+	.B(n_7622),
+	.C(n_7626),
+	.D(n_7624),
+	.Y(n_8771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431781 (
+	.A1(n_8336),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.B1(n_8331),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.C1(n_8722),
+	.Y(n_8770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g431782 (
+	.A(FE_COEN4275_n_13392),
+	.B(FE_OFN19544_n_13394),
+	.C(FE_OFN18312_n_13393),
+	.D(n_8693),
+	.X(n_8769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g431783 (
+	.A1(n_6883),
+	.A2(n_7796),
+	.B1(n_8764),
+	.Y(n_8768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g431808 (
+	.A(n_8765),
+	.B(n_13529),
+	.Y(n_8767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g431809 (
+	.A(n_8765),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
+	.Y(n_8766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g431815 (
+	.A(n_8742),
+	.B(n_8664),
+	.Y(n_8777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g431816 (
+	.A(n_8743),
+	.B(n_8664),
+	.Y(n_8776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g431817 (
+	.A(n_8738),
+	.B(n_8664),
+	.Y(n_8775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g431818 (
+	.A(n_8740),
+	.B(n_8664),
+	.Y(n_8774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g431819 (
+	.A(n_8741),
+	.B(n_8664),
+	.Y(n_8773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g431820 (
+	.A(n_8712),
+	.Y(n_8765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431821 (
+	.A1(n_8661),
+	.A2(n_7253),
+	.B1(FE_OFN18321_n_6708),
+	.B2(FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25),
+	.C1(n_8114),
+	.Y(n_8764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g431822 (
+	.A1(FE_PSN4157_n_8331),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.B1(FE_OFN18320_n_6708),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[26]),
+	.C1(n_8727),
+	.X(n_8763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_2 g431823 (
+	.A1(FE_OFN1612_n_3007),
+	.A2(n_8335),
+	.B1(n_5966),
+	.B2(n_8500),
+	.C1(n_8701),
+	.Y(n_8762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_2 g431824 (
+	.A1(FE_OFN19680_n_5953),
+	.A2(n_8550),
+	.B1(FE_OFN1610_n_2991),
+	.B2(FE_OFN18282_n_8333),
+	.C1(n_8726),
+	.Y(n_8761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g431825 (
+	.A1(FE_OFN1000_n_6857),
+	.A2(n_7386),
+	.B1(n_8450),
+	.C1(n_7924),
+	.D1(n_8674),
+	.Y(n_8760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g431843 (
+	.A1(n_5994),
+	.A2(n_5383),
+	.B1(n_2181),
+	.C1(n_2322),
+	.D1(n_8631),
+	.Y(n_8744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431844 (
+	.A1(n_7260),
+	.A2(n_1878),
+	.B1(n_7801),
+	.B2(n_1872),
+	.C1(n_8714),
+	.Y(n_8743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431845 (
+	.A1(n_7261),
+	.A2(n_1878),
+	.B1(n_7258),
+	.B2(n_1872),
+	.C1(n_8708),
+	.Y(n_8742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431846 (
+	.A1(n_7804),
+	.A2(n_1872),
+	.B1(n_7266),
+	.B2(n_1878),
+	.C1(n_8707),
+	.Y(n_8741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431847 (
+	.A1(n_7802),
+	.A2(n_1872),
+	.B1(n_7272),
+	.B2(n_1874),
+	.C1(n_8689),
+	.Y(n_8740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g431848 (
+	.A1(n_2683),
+	.A2(n_16010),
+	.B1(n_175),
+	.B2(n_2682),
+	.Y(n_8739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g431849 (
+	.A1(n_7262),
+	.A2(n_1878),
+	.B1(n_7263),
+	.B2(n_1872),
+	.C1(n_8713),
+	.Y(n_8738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431850 (
+	.A(n_8711),
+	.B(n_8686),
+	.C(n_7435),
+	.Y(n_8759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431851 (
+	.A(n_8711),
+	.B(n_8678),
+	.C(n_7434),
+	.Y(n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431852 (
+	.A(n_8711),
+	.B(n_8685),
+	.C(n_8136),
+	.Y(n_8757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431853 (
+	.A(n_8621),
+	.B(n_7448),
+	.C(n_2403),
+	.D(n_8153),
+	.Y(n_8756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431854 (
+	.A(n_8711),
+	.B(n_8691),
+	.C(n_7442),
+	.Y(n_8755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431855 (
+	.A(n_8619),
+	.B(n_7447),
+	.C(n_2343),
+	.D(n_8053),
+	.Y(n_8754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431856 (
+	.A(n_8618),
+	.B(n_7446),
+	.C(n_2366),
+	.D(n_8148),
+	.Y(n_8753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431857 (
+	.A(n_8617),
+	.B(n_8055),
+	.C(n_2404),
+	.D(n_8146),
+	.Y(n_8752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431858 (
+	.A(n_8620),
+	.B(n_8052),
+	.C(n_2350),
+	.D(n_8151),
+	.Y(n_8751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431859 (
+	.A(n_8711),
+	.B(n_8690),
+	.C(n_7441),
+	.Y(n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431860 (
+	.A(n_8711),
+	.B(n_8709),
+	.C(n_7440),
+	.Y(n_8749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431861 (
+	.A(n_8711),
+	.B(n_8680),
+	.C(n_7439),
+	.Y(n_8748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431862 (
+	.A(n_8711),
+	.B(n_8688),
+	.C(n_7438),
+	.Y(n_8747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431863 (
+	.A(n_8711),
+	.B(n_8679),
+	.C(n_7436),
+	.Y(n_8746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431864 (
+	.A(n_8711),
+	.B(n_8687),
+	.C(n_8137),
+	.Y(n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g431916 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[3]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8629),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g431917 (
+	.A1(n_8660),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
+	.B1(FE_OFN927_n_2421),
+	.B2(n_13574),
+	.C1(n_8669),
+	.X(n_8728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g431918 (
+	.A1(FE_OFN1615_n_5830),
+	.A2(FE_OFN18282_n_8333),
+	.B1(n_8450),
+	.C1(n_8435),
+	.D1(n_8597),
+	.Y(n_8727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g431919 (
+	.A1(n_8334),
+	.A2(n_3005),
+	.B1(n_7628),
+	.B2(n_7915),
+	.C1(n_8671),
+	.Y(n_8726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g431920 (
+	.A1(n_8614),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.B1(FE_OFN927_n_2421),
+	.B2(n_13573),
+	.C1(n_8616),
+	.X(n_8725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g431921 (
+	.A1(FE_OFN1619_n_5852),
+	.A2(n_8335),
+	.B1(FE_OFN1617_n_5836),
+	.B2(FE_OFN18282_n_8333),
+	.C1(n_8673),
+	.Y(n_8724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g431922 (
+	.A1(n_5993),
+	.A2(FE_OFN18279_n_16012),
+	.B1(n_5700),
+	.B2(n_13576),
+	.C1(n_8700),
+	.X(n_8723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g431923 (
+	.A1(FE_OFN1613_n_3011),
+	.A2(n_8335),
+	.B1(n_6891),
+	.C1(n_8697),
+	.Y(n_8722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431924 (
+	.A(n_8569),
+	.B(n_7622),
+	.C(n_7982),
+	.D(n_7998),
+	.Y(n_8721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431925 (
+	.A(n_8587),
+	.B(n_7809),
+	.C(n_7624),
+	.D(n_7977),
+	.Y(n_8720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431926 (
+	.A(n_8564),
+	.B(n_5583),
+	.C(n_6942),
+	.D(n_5765),
+	.Y(n_8719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g431927 (
+	.A1(n_1453),
+	.A2(n_8555),
+	.B1(n_8712),
+	.X(n_8718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g431928 (
+	.A1(FE_OFN1611_n_3001),
+	.A2(n_8335),
+	.B1(n_8449),
+	.C1(n_8460),
+	.D1(n_8582),
+	.Y(n_8717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 g431929 (
+	.A1(FE_OFN1614_n_5826),
+	.A2(FE_OFN18282_n_8333),
+	.B1(n_8339),
+	.C1(n_8458),
+	.D1(n_8580),
+	.Y(n_8716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431959 (
+	.A(n_8711),
+	.B(n_8251),
+	.C(n_7437),
+	.Y(n_8737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431960 (
+	.A(n_8711),
+	.B(n_8402),
+	.C(n_7433),
+	.Y(n_8736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g431961 (
+	.A(n_8610),
+	.B(n_8039),
+	.C(n_2351),
+	.D(n_8154),
+	.Y(n_8735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431962 (
+	.A(n_8711),
+	.B(n_8639),
+	.C(n_8138),
+	.Y(n_8734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431963 (
+	.A(n_8711),
+	.B(n_8530),
+	.C(n_8059),
+	.Y(n_8733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431964 (
+	.A(n_8711),
+	.B(n_8640),
+	.C(n_7443),
+	.Y(n_8732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g431965 (
+	.A(n_8711),
+	.B(n_8578),
+	.Y(n_8731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g431966 (
+	.A(n_8664),
+	.B(n_8632),
+	.C(n_2344),
+	.Y(n_8730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g431967 (
+	.A(n_8644),
+	.B(n_8141),
+	.Y(n_8714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g431968 (
+	.A(n_8645),
+	.B(n_8142),
+	.Y(n_8713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g432006 (
+	.A(n_8664),
+	.B(n_8576),
+	.Y(n_8715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432007 (
+	.A1(n_2281),
+	.A2(n_7802),
+	.B1(n_8548),
+	.B2(FE_OFN888_n_1852),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.C2(n_8),
+	.Y(n_8709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432008 (
+	.A(n_8642),
+	.B(n_8140),
+	.Y(n_8708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432009 (
+	.A(n_8641),
+	.B(n_8139),
+	.Y(n_8707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432010 (
+	.A1(n_5873),
+	.A2(n_5383),
+	.B1(n_2182),
+	.C1(n_2328),
+	.D1(n_8523),
+	.Y(n_8706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432011 (
+	.A1(n_5867),
+	.A2(n_5383),
+	.B1(n_2194),
+	.C1(n_2330),
+	.D1(n_8524),
+	.Y(n_8705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432012 (
+	.A(n_8653),
+	.B(n_7620),
+	.Y(n_8704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432013 (
+	.A(n_8592),
+	.B(FE_OFN18264_n_7388),
+	.C(n_7618),
+	.Y(n_8703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432014 (
+	.A(n_8567),
+	.B(n_8461),
+	.C(n_8449),
+	.Y(n_8702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g432015 (
+	.A(n_8568),
+	.B(n_8459),
+	.C(n_8339),
+	.X(n_8701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432016 (
+	.A1(n_1659),
+	.A2(n_6503),
+	.B1(n_8630),
+	.Y(n_8700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432017 (
+	.A(n_8299),
+	.B(n_8527),
+	.C(n_6892),
+	.D(n_7620),
+	.Y(n_8699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432018 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[11]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8563),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432019 (
+	.A1(n_8332),
+	.A2(n_2993),
+	.B1(n_8655),
+	.Y(n_8697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432020 (
+	.A1(n_1745),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B1(n_2419),
+	.B2(n_6649),
+	.C1(n_8557),
+	.X(n_8696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432021 (
+	.A1(n_6298),
+	.A2(n_3080),
+	.B1(n_2185),
+	.C1(n_8561),
+	.Y(n_8695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432022 (
+	.A1(n_6299),
+	.A2(n_3080),
+	.B1(n_2184),
+	.C1(n_8556),
+	.Y(n_8694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g432023 (
+	.A(FE_PSN4777_FE_OFN1082_n_13075),
+	.B(FE_PSN4129_n_13395),
+	.C(FE_COEN4304_n_13397),
+	.D(n_8503),
+	.X(n_8693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g432024 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.D(n_8502),
+	.X(n_8692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432025 (
+	.A1(n_2281),
+	.A2(n_7263),
+	.B1(n_8546),
+	.B2(FE_OFN888_n_1852),
+	.C1(n_8),
+	.C2(FE_OFN784_u_soc_u_top_u_core_rf_wdata_fwd_wb_17),
+	.Y(n_8691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432026 (
+	.A1(n_2281),
+	.A2(n_7801),
+	.B1(n_8547),
+	.B2(FE_OFN888_n_1852),
+	.C1(FE_OFN783_u_soc_u_top_u_core_rf_wdata_fwd_wb_18),
+	.C2(n_8),
+	.Y(n_8690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432027 (
+	.A(n_8643),
+	.B(n_7444),
+	.Y(n_8689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432028 (
+	.A1(n_2281),
+	.A2(n_7804),
+	.B1(n_8545),
+	.B2(FE_OFN888_n_1852),
+	.C1(FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21),
+	.C2(n_8),
+	.Y(n_8688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432029 (
+	.A1(FE_OFN888_n_1852),
+	.A2(n_7801),
+	.B1(n_8547),
+	.B2(n_1849),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.C2(n_8),
+	.Y(n_8687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432030 (
+	.A1(FE_OFN888_n_1852),
+	.A2(n_7802),
+	.B1(n_8548),
+	.B2(n_1849),
+	.C1(FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27),
+	.C2(n_8),
+	.Y(n_8686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432031 (
+	.A1(FE_OFN888_n_1852),
+	.A2(n_7804),
+	.B1(n_8545),
+	.B2(n_1849),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.C2(n_8),
+	.Y(n_8685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432032 (
+	.A1(n_8553),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
+	.B1(FE_OFN927_n_2421),
+	.B2(n_13572),
+	.C1(n_8551),
+	.X(n_8684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432034 (
+	.A1(n_3075),
+	.A2(n_13680),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.C1(n_8599),
+	.X(n_8682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432035 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13615),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.C1(n_8598),
+	.X(n_8681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432036 (
+	.A1(n_1869),
+	.A2(n_7261),
+	.B1(n_8549),
+	.B2(FE_OFN888_n_1852),
+	.C1(n_1849),
+	.C2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_8680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432037 (
+	.A1(n_1869),
+	.A2(n_7975),
+	.B1(n_8546),
+	.B2(n_1849),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.C2(n_8),
+	.Y(n_8679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432038 (
+	.A1(n_1869),
+	.A2(n_7978),
+	.B1(n_8549),
+	.B2(n_1849),
+	.C1(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28),
+	.C2(n_8),
+	.Y(n_8678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432039 (
+	.A(n_8591),
+	.B(n_8449),
+	.C(n_7977),
+	.Y(n_8677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432040 (
+	.A1(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
+	.A2(n_8584),
+	.B1(n_8672),
+	.Y(n_8676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432041 (
+	.A1(n_8331),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.B1(n_6708),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.C1(n_8658),
+	.Y(n_8675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432042 (
+	.A1(n_7972),
+	.A2(n_5965),
+	.B1(n_6708),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[28]),
+	.C1(n_8583),
+	.Y(n_8674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432043 (
+	.A1(FE_OFN18320_n_6708),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[29]),
+	.B1(n_8670),
+	.Y(n_8673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432044 (
+	.A(n_8555),
+	.B(n_1453),
+	.Y(n_8712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 g432045 (
+	.A1(n_1280),
+	.A2(n_8606),
+	.B1(n_8664),
+	.X(n_8711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432046 (
+	.A(n_8664),
+	.B(n_8566),
+	.Y(n_8710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432047 (
+	.A1(n_8392),
+	.A2(n_8522),
+	.B1(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
+	.Y(n_8672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432048 (
+	.A(n_8581),
+	.B(n_8449),
+	.Y(n_8671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432049 (
+	.A(n_8577),
+	.B(n_8289),
+	.Y(n_8670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g432050 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
+	.B_N(n_8616),
+	.Y(n_8669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432095 (
+	.A(n_8638),
+	.Y(n_8668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432096 (
+	.A(n_8637),
+	.Y(n_8667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432097 (
+	.A(n_8636),
+	.Y(n_8666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432098 (
+	.A(n_8635),
+	.Y(n_8665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432099 (
+	.A1(n_6871),
+	.A2(n_7921),
+	.B1(n_8450),
+	.C1(n_7709),
+	.D1(n_8513),
+	.Y(n_8663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432100 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_8562),
+	.Y(n_8662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432101 (
+	.A1(n_6883),
+	.A2(n_7808),
+	.B1(n_7917),
+	.C1(n_8534),
+	.Y(n_8661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g432102 (
+	.A1(n_1750),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.B1(n_8614),
+	.X(n_8660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432103 (
+	.A(n_8163),
+	.B(n_15908),
+	.C(n_7810),
+	.D(n_8398),
+	.Y(n_8659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g432104 (
+	.A1(n_6871),
+	.A2(n_8453),
+	.B1(n_7925),
+	.B2(n_7625),
+	.C1(n_8462),
+	.Y(n_8658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g432105 (
+	.A1(n_1406),
+	.A2(u_soc_u_top_u_core_exc_cause[3]),
+	.B1(n_8615),
+	.X(n_8657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432106 (
+	.A1_N(u_soc_u_top_u_core_instr_rdata_id[11]),
+	.A2_N(FE_OFN18321_n_6708),
+	.B1(FE_OFN1002_n_6865),
+	.B2(n_8525),
+	.Y(n_8656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432107 (
+	.A1(n_8550),
+	.A2(n_7382),
+	.B1(n_5964),
+	.Y(n_8655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432108 (
+	.A(n_8407),
+	.B(n_7622),
+	.C(n_7273),
+	.D(n_7875),
+	.Y(n_8654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g432109 (
+	.A(n_7641),
+	.B(n_8370),
+	.C(n_7966),
+	.D(n_7630),
+	.Y(n_8653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432110 (
+	.A1(n_5711),
+	.A2(n_5383),
+	.B1(n_2193),
+	.C1(n_5121),
+	.D1(n_8490),
+	.Y(n_8652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432111 (
+	.A(n_8510),
+	.B(n_5767),
+	.C(n_5122),
+	.Y(n_8651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432113 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[3]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[3]),
+	.C1(n_8615),
+	.X(n_8649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432114 (
+	.A1(n_2683),
+	.A2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_priv_mode_id[0]),
+	.C1(n_8613),
+	.X(n_8648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432115 (
+	.A1(n_2683),
+	.A2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_priv_mode_id[1]),
+	.C1(n_8613),
+	.X(n_8647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432116 (
+	.A1(n_5868),
+	.A2(n_5383),
+	.B1(n_2188),
+	.C1(n_2318),
+	.D1(n_8410),
+	.Y(n_8646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432117 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.A2(n_1849),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.C1(n_8546),
+	.C2(FE_OFN887_n_1753),
+	.Y(n_8645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432118 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.C1(n_8547),
+	.C2(FE_OFN887_n_1753),
+	.Y(n_8644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432119 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.C1(n_8548),
+	.C2(FE_OFN887_n_1753),
+	.Y(n_8643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432120 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.C1(n_8549),
+	.C2(FE_OFN887_n_1753),
+	.Y(n_8642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432121 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.C1(n_8545),
+	.C2(FE_OFN887_n_1753),
+	.Y(n_8641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432122 (
+	.A1(n_2281),
+	.A2(n_7800),
+	.B1(n_8448),
+	.B2(FE_OFN888_n_1852),
+	.C1(n_8),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_8640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432123 (
+	.A1(FE_OFN888_n_1852),
+	.A2(n_7800),
+	.B1(n_8448),
+	.B2(n_1849),
+	.C1(FE_OFN779_u_soc_u_top_u_core_rf_wdata_fwd_wb_24),
+	.C2(n_8),
+	.Y(n_8639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432124 (
+	.A1(n_13569),
+	.A2(FE_OFN927_n_2421),
+	.B1(n_8400),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
+	.C1(n_8126),
+	.C2(n_1907),
+	.Y(n_8638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432125 (
+	.A1(n_13570),
+	.A2(FE_OFN927_n_2421),
+	.B1(n_8399),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
+	.C1(n_8126),
+	.C2(n_2297),
+	.Y(n_8637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432126 (
+	.A1(n_13568),
+	.A2(FE_OFN927_n_2421),
+	.B1(n_8415),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
+	.C1(n_8126),
+	.C2(n_1550),
+	.Y(n_8636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432127 (
+	.A1(n_13567),
+	.A2(FE_OFN927_n_2421),
+	.B1(n_8414),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
+	.C1(n_8126),
+	.C2(n_1279),
+	.Y(n_8635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432128 (
+	.A1(n_3075),
+	.A2(n_13688),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.C1(n_8538),
+	.X(n_8634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432129 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13623),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.C1(n_8537),
+	.X(n_8633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432130 (
+	.A1(n_7974),
+	.A2(n_1874),
+	.B1(n_8590),
+	.Y(n_8632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432131 (
+	.A1(n_2986),
+	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.B1(n_8579),
+	.Y(n_8631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432132 (
+	.A1(n_5991),
+	.A2(n_1952),
+	.B1(n_8585),
+	.Y(n_8630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432133 (
+	.A1(u_soc_u_top_u_core_pc_id[3]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[3]),
+	.C1(n_8543),
+	.C2(n_1213),
+	.Y(n_8629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432134 (
+	.A1(FE_OFN1679_n_8544),
+	.A2(n_2674),
+	.B1(n_5520),
+	.Y(n_8628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432135 (
+	.A1(FE_OFN1679_n_8544),
+	.A2(n_2680),
+	.B1(n_5488),
+	.Y(n_8627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432136 (
+	.A1(FE_OFN927_n_2421),
+	.A2(n_13571),
+	.B1(n_8338),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
+	.C1(n_8541),
+	.X(n_8626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432137 (
+	.A1(n_1853),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.B1(n_1212),
+	.B2(u_soc_u_top_u_core_priv_mode_id[1]),
+	.C1(n_8552),
+	.X(n_8625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432138 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[17]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8482),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432139 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[21]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8481),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432140 (
+	.A1(n_8543),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[3]),
+	.X(n_8622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432141 (
+	.A1(n_8546),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.Y(n_8621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432142 (
+	.A1(n_8547),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.Y(n_8620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432143 (
+	.A1(n_8548),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.Y(n_8619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432144 (
+	.A1(n_8549),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.Y(n_8618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432145 (
+	.A1(n_8545),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.Y(n_8617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432146 (
+	.A(n_8501),
+	.B(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.C(u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Y(n_8664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432212 (
+	.A1(n_8448),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.Y(n_8610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432213 (
+	.A1(n_8446),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[11]),
+	.X(n_8609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432214 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[30]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8384),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432215 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[29]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8385),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432216 (
+	.A(n_8520),
+	.B(u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Y(n_8606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432217 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[27]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8386),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432218 (
+	.A(n_8512),
+	.B(n_8289),
+	.Y(n_8604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432219 (
+	.A(n_8156),
+	.B(n_5603),
+	.C(n_2312),
+	.D(n_6941),
+	.Y(n_8603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432220 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_8531),
+	.Y(n_8602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g432221 (
+	.A1(n_6708),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[6]),
+	.B1(n_7628),
+	.C1(n_8323),
+	.D1(n_7630),
+	.X(n_8601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432222 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[20]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8387),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432223 (
+	.A(FE_OFN1679_n_8544),
+	.B(n_2676),
+	.Y(n_8599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432224 (
+	.A(FE_OFN1679_n_8544),
+	.B(n_2677),
+	.Y(n_8598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g432225 (
+	.A(n_8246),
+	.B(n_8296),
+	.C(n_8465),
+	.Y(n_8597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432226 (
+	.A1(n_6881),
+	.A2(n_7796),
+	.B1(n_8359),
+	.C1(n_7622),
+	.Y(n_8596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432227 (
+	.A(n_8157),
+	.B(n_5602),
+	.C(n_2313),
+	.D(n_6943),
+	.Y(n_8595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432228 (
+	.A(n_8159),
+	.B(n_5600),
+	.C(n_2315),
+	.D(n_7051),
+	.Y(n_8594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432229 (
+	.A1(n_8129),
+	.A2(n_1466),
+	.B1(n_8555),
+	.Y(n_8593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432230 (
+	.A1(FE_OFN18321_n_6708),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[10]),
+	.B1(n_8499),
+	.Y(n_8592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g432231 (
+	.A1(n_6708),
+	.A2(FE_OFN19556_u_soc_u_top_u_core_instr_rdata_id_18),
+	.B1(n_7914),
+	.C1(n_8354),
+	.Y(n_8591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432232 (
+	.A(n_8554),
+	.B(n_8273),
+	.Y(n_8590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432233 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[8]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8383),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432234 (
+	.A1(n_1853),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.B1(n_1212),
+	.B2(u_soc_u_top_u_core_priv_mode_id[0]),
+	.C1(n_8552),
+	.X(n_8588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g432235 (
+	.A1(n_5966),
+	.A2(n_7970),
+	.B1(n_6888),
+	.C1(n_8229),
+	.D1(n_7810),
+	.X(n_8587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432236 (
+	.A1(FE_OFN19680_n_5953),
+	.A2(FE_OFN18264_n_7388),
+	.B1(n_6890),
+	.C1(n_8519),
+	.Y(n_8586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432237 (
+	.A(n_8397),
+	.B(n_6836),
+	.C(n_5652),
+	.D(n_6904),
+	.Y(n_8585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g432238 (
+	.A1(n_8132),
+	.A2(n_7393),
+	.A3(n_6501),
+	.B1(n_7708),
+	.C1(n_7904),
+	.Y(n_8584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432239 (
+	.A1(FE_COEN4707_n_8336),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.B1(FE_PSN4157_n_8331),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.C1(n_8464),
+	.X(n_8583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432240 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.A2(n_8331),
+	.B1(n_6708),
+	.B2(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.C1(n_8336),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.Y(n_8582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432241 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.A2(n_8331),
+	.B1(n_8336),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.C1(n_6708),
+	.C2(FE_OFN18534_n),
+	.Y(n_8581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432242 (
+	.A1(n_8334),
+	.A2(n_5842),
+	.B1(n_6708),
+	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.C1(n_8535),
+	.Y(n_8580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432243 (
+	.A1(n_6793),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5129),
+	.C1(n_8134),
+	.D1(n_5565),
+	.Y(n_8579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432244 (
+	.A1(n_7797),
+	.A2(FE_OFN888_n_1852),
+	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.B2(n_8),
+	.C1(n_8469),
+	.Y(n_8578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432245 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.A2(FE_PSN4157_n_8331),
+	.B1(n_7812),
+	.B2(n_6866),
+	.C1(FE_COEN4707_n_8336),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.Y(n_8577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432246 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.C1(n_8470),
+	.Y(n_8576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432247 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13694),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.C1(n_8422),
+	.X(n_8575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432248 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13698),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.C1(n_8421),
+	.X(n_8574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432249 (
+	.A1(n_3074),
+	.A2(n_13629),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.C1(n_8420),
+	.X(n_8573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432250 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13633),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.C1(n_8419),
+	.X(n_8572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432251 (
+	.A1(n_3075),
+	.A2(n_13679),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.C1(n_8428),
+	.X(n_8571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432252 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13614),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C1(n_8427),
+	.X(n_8570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432253 (
+	.A1(n_7966),
+	.A2(n_5954),
+	.B1(n_8518),
+	.Y(n_8569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432254 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.A2(n_8331),
+	.B1(n_7388),
+	.B2(n_5946),
+	.C1(n_8336),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.Y(n_8568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432255 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [1]),
+	.A2(n_8332),
+	.B1(n_8331),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.C1(n_8452),
+	.C2(n_6873),
+	.Y(n_8567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432256 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.C1(n_8471),
+	.Y(n_8566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432257 (
+	.A1_N(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.A2_N(n_1415),
+	.B1(FE_OFN1678_n_8447),
+	.B2(n_1415),
+	.Y(n_8565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g432258 (
+	.A1(n_2984),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.A3(n_13498),
+	.B1(n_8528),
+	.Y(n_8564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432259 (
+	.A1(u_soc_u_top_u_core_pc_id[11]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[11]),
+	.C1(n_8446),
+	.C2(n_1213),
+	.Y(n_8563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g432260 (
+	.A1_N(u_soc_u_uart_u_uart_core_fifo_read_size[8]),
+	.A2_N(n_8127),
+	.B1(u_soc_u_uart_u_uart_core_fifo_read_size[8]),
+	.B2(n_8127),
+	.Y(n_8562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432261 (
+	.A1(n_2419),
+	.A2(n_6645),
+	.B1(n_1746),
+	.B2(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.C1(n_8429),
+	.Y(n_8561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432262 (
+	.A1(FE_OFN1678_n_8447),
+	.A2(n_2674),
+	.B1(n_5511),
+	.Y(n_8560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432263 (
+	.A1(FE_OFN1678_n_8447),
+	.A2(n_2680),
+	.B1(n_5479),
+	.Y(n_8559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432264 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1678_n_8447),
+	.B1(n_2338),
+	.Y(n_8558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432265 (
+	.A1(n_6317),
+	.A2(n_3080),
+	.B1(n_2210),
+	.C1(n_5591),
+	.D1(n_8215),
+	.Y(n_8557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432266 (
+	.A1(n_2419),
+	.A2(n_6646),
+	.B1(n_1746),
+	.B2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.C1(n_8434),
+	.Y(n_8556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g432267 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.B_N(n_8551),
+	.Y(n_8616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432268 (
+	.A(FE_OFN1404_n_1848),
+	.B(FE_OFN1679_n_8544),
+	.Y(n_8615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g432269 (
+	.A1(n_1750),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
+	.B1(n_8553),
+	.X(n_8614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g432270 (
+	.A1(n_7908),
+	.A2(n_8446),
+	.B1(n_5857),
+	.X(n_8613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432275 (
+	.A(n_8057),
+	.B(n_8058),
+	.C(n_2368),
+	.D(n_8143),
+	.Y(n_8612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432276 (
+	.A(n_8056),
+	.B(n_7445),
+	.C(n_2361),
+	.D(n_8144),
+	.Y(n_8611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432277 (
+	.A(n_8448),
+	.B(FE_OFN887_n_1753),
+	.Y(n_8554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432372 (
+	.A(n_1466),
+	.B(n_8129),
+	.Y(n_8555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g432375 (
+	.A(FE_OFN1679_n_8544),
+	.Y(n_8543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432376 (
+	.A1(n_5872),
+	.A2(n_5383),
+	.B1(n_2192),
+	.C1(n_2327),
+	.D1(n_8116),
+	.Y(n_8542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432377 (
+	.A1(n_8341),
+	.A2(n_2619),
+	.B1(n_1751),
+	.Y(n_8541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432378 (
+	.A1(n_6863),
+	.A2(n_7273),
+	.B1(n_7560),
+	.C1(n_15908),
+	.D1(n_8209),
+	.Y(n_8540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432379 (
+	.A(FE_OFN1678_n_8447),
+	.B(n_2254),
+	.Y(n_8539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432380 (
+	.A(FE_OFN1678_n_8447),
+	.B(n_2676),
+	.Y(n_8538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432381 (
+	.A(FE_OFN1678_n_8447),
+	.B(n_2678),
+	.Y(n_8537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432382 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_8275),
+	.Y(n_8536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432383 (
+	.A1(n_5947),
+	.A2(n_8302),
+	.B1(FE_OFN1002_n_6865),
+	.B2(n_7571),
+	.Y(n_8535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g432384 (
+	.A1(n_7271),
+	.A2(n_7276),
+	.A3(n_8054),
+	.B1(n_5952),
+	.B2(n_8086),
+	.Y(n_8534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432385 (
+	.A1(n_8124),
+	.A2(n_5952),
+	.B1(FE_OFN18321_n_6708),
+	.B2(FE_PSN4810_u_soc_u_top_u_core_instr_rdata_id_2),
+	.C1(n_8101),
+	.Y(n_8533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432386 (
+	.A1(n_5858),
+	.A2(n_5383),
+	.B1(n_2191),
+	.C1(n_2323),
+	.D1(n_8117),
+	.Y(n_8532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g432387 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B(n_7980),
+	.Y(n_8531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g432388 (
+	.A1(n_8089),
+	.A2(n_1241),
+	.A3(n_1253),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_8530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g432389 (
+	.A1(n_2285),
+	.A2(n_1405),
+	.A3(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.B1(u_soc_u_top_u_core_csr_restore_mret_id),
+	.C1(n_8231),
+	.X(n_8529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432390 (
+	.A1(n_1182),
+	.A2(FE_OFN976_n_5364),
+	.B1(n_8438),
+	.Y(n_8528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g432391 (
+	.A1(n_7649),
+	.A2(n_8086),
+	.A3(n_8062),
+	.B1(FE_PSN4101_n_7253),
+	.Y(n_8527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432392 (
+	.A1(n_8109),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
+	.B1(FE_OFN927_n_2421),
+	.B2(n_13565),
+	.C1(n_8126),
+	.X(n_8526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g432393 (
+	.A(n_15910),
+	.B(n_7982),
+	.C(n_7331),
+	.X(n_8525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432394 (
+	.A1(n_2986),
+	.A2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.B1(n_8406),
+	.Y(n_8524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432395 (
+	.A1(n_2986),
+	.A2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.B1(n_8405),
+	.Y(n_8523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432396 (
+	.A1(n_8212),
+	.A2(n_6863),
+	.B1(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.Y(n_8522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432397 (
+	.A1(n_5964),
+	.A2(n_8123),
+	.B1(n_6887),
+	.C1(n_7382),
+	.Y(n_8521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432398 (
+	.A1(n_1252),
+	.A2(n_8288),
+	.B1(n_1249),
+	.B2(n_8088),
+	.Y(n_8520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432399 (
+	.A1(n_8060),
+	.A2(n_6856),
+	.B1(n_8353),
+	.Y(n_8519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432400 (
+	.A1(n_8343),
+	.A2(n_7618),
+	.B1(FE_OFN992_n_5974),
+	.Y(n_8518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432401 (
+	.A(n_8274),
+	.B(n_5768),
+	.C(n_5136),
+	.Y(n_8517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432402 (
+	.A1(n_5712),
+	.A2(n_5383),
+	.B1(n_2180),
+	.C1(n_5133),
+	.D1(n_8213),
+	.Y(n_8516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432403 (
+	.A(n_8112),
+	.B(n_6227),
+	.C(n_1205),
+	.D(n_5134),
+	.Y(n_8515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432404 (
+	.A(n_8228),
+	.B(n_5120),
+	.C(n_2356),
+	.Y(n_8514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432405 (
+	.A1(n_6708),
+	.A2(FE_PSN4459_u_soc_u_top_u_core_instr_rdata_id_27),
+	.B1(n_8412),
+	.Y(n_8513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g432406 (
+	.A1(FE_OFN18320_n_6708),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[30]),
+	.B1(n_8360),
+	.C1(n_7563),
+	.Y(n_8512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432407 (
+	.A1(n_7642),
+	.A2(n_5965),
+	.B1(FE_OFN18321_n_6708),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[9]),
+	.C1(n_8391),
+	.X(n_8511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432408 (
+	.A1(n_475),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.B1(n_8418),
+	.Y(n_8510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432409 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[2]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[2]),
+	.C1(n_8451),
+	.X(n_8509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432410 (
+	.A1(n_6306),
+	.A2(n_3080),
+	.B1(n_2183),
+	.C1(n_8167),
+	.Y(n_8508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g432411 (
+	.A1(n_6305),
+	.A2(n_3080),
+	.B1(n_2190),
+	.C1(n_8166),
+	.Y(n_8507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432412 (
+	.A1(n_1745),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B1(n_2419),
+	.B2(n_6647),
+	.C1(n_8165),
+	.X(n_8506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432413 (
+	.A1(n_1746),
+	.A2(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.C1(n_8404),
+	.X(n_8505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432414 (
+	.A1(n_1746),
+	.A2(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.C1(n_8403),
+	.X(n_8504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g432415 (
+	.A(n_13399),
+	.B(FE_OFN1083_n_13076),
+	.C(n_13405),
+	.D(n_8121),
+	.X(n_8503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g432416 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.D(n_8122),
+	.X(n_8502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432417 (
+	.A1(n_1249),
+	.A2(n_8288),
+	.B1(n_1252),
+	.B2(n_8087),
+	.Y(n_8501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g432418 (
+	.A(FE_OFN18299_n_15911),
+	.B(FE_OFN18258_n_7382),
+	.C(n_8344),
+	.Y(n_8500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432419 (
+	.A1(n_15910),
+	.A2(n_6868),
+	.B1(FE_OFN19255_n_6867),
+	.Y(n_8499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432420 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13697),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.C1(n_8312),
+	.X(n_8498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432421 (
+	.A1(n_3075),
+	.A2(n_13704),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.C1(n_8311),
+	.X(n_8497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432422 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13706),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.C1(n_8310),
+	.X(n_8496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432423 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13707),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.C1(n_8309),
+	.X(n_8495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432424 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13632),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.C1(n_8308),
+	.X(n_8494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432425 (
+	.A1(n_3074),
+	.A2(n_13641),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.C1(n_8306),
+	.X(n_8493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432426 (
+	.A1(n_3074),
+	.A2(n_13642),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.C1(n_8305),
+	.X(n_8492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432427 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13639),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.C1(n_8307),
+	.X(n_8491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432428 (
+	.A1(n_475),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.B1(n_1746),
+	.B2(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.C1(n_8162),
+	.Y(n_8490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432429 (
+	.A1(n_3075),
+	.A2(n_13685),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.C1(n_8318),
+	.X(n_8489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432430 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13620),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.C1(n_8317),
+	.X(n_8488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432431 (
+	.A1(n_5708),
+	.A2(n_5383),
+	.B1(n_2189),
+	.C1(n_5135),
+	.D1(n_8272),
+	.Y(n_8487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432432 (
+	.A1(n_3075),
+	.A2(n_13690),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.C1(n_8322),
+	.X(n_8486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432433 (
+	.A1(n_3074),
+	.A2(n_13625),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.C1(n_8321),
+	.X(n_8485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432434 (
+	.A1(n_8284),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[21]),
+	.X(n_8484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432435 (
+	.A1(n_8282),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[17]),
+	.X(n_8483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432436 (
+	.A1(u_soc_u_top_u_core_pc_id[17]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[17]),
+	.C1(n_8282),
+	.C2(n_1213),
+	.Y(n_8482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432437 (
+	.A1(u_soc_u_top_u_core_pc_id[21]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[21]),
+	.C1(n_8284),
+	.C2(n_1213),
+	.Y(n_8481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432438 (
+	.A1(FE_OFN1677_n_8287),
+	.A2(n_2674),
+	.B1(n_5521),
+	.Y(n_8480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432439 (
+	.A1(FE_OFN1675_n_8283),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5506),
+	.Y(n_8479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432440 (
+	.A1(FE_OFN1676_n_8285),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5502),
+	.Y(n_8478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432441 (
+	.A1(FE_OFN1677_n_8287),
+	.A2(n_2680),
+	.B1(n_5489),
+	.Y(n_8477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432442 (
+	.A1(FE_OFN1675_n_8283),
+	.A2(n_2679),
+	.B1(n_5474),
+	.Y(n_8476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432443 (
+	.A1(FE_OFN1676_n_8285),
+	.A2(n_2679),
+	.B1(n_5470),
+	.Y(n_8475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432444 (
+	.A1(n_1212),
+	.A2(FE_OFN1677_n_8287),
+	.B1(n_1948),
+	.Y(n_8474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432445 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1675_n_8283),
+	.B1(n_2383),
+	.Y(n_8473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432446 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1676_n_8285),
+	.B1(n_2331),
+	.Y(n_8472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432447 (
+	.A(n_8277),
+	.B(n_7307),
+	.C(n_8091),
+	.Y(n_8471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432448 (
+	.A(n_8276),
+	.B(n_7306),
+	.C(n_8092),
+	.Y(n_8470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g432449 (
+	.A1(n_1249),
+	.A2(n_1240),
+	.A3(n_8087),
+	.B1(n_1868),
+	.B2(n_7968),
+	.Y(n_8469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432450 (
+	.A1(n_8338),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.B1(FE_OFN927_n_2421),
+	.B2(n_13566),
+	.C1(n_8329),
+	.X(n_8468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432451 (
+	.A1_N(u_soc_u_top_u_core_debug_single_step),
+	.A2_N(n_1415),
+	.B1(FE_OFN1677_n_8287),
+	.B2(n_1415),
+	.Y(n_8467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432452 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[1]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8210),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432453 (
+	.A1(FE_OFN1618_n_5846),
+	.A2(n_8335),
+	.B1(FE_OFN19680_n_5953),
+	.B2(n_7819),
+	.Y(n_8465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432454 (
+	.A1(FE_OFN18969_n_5850),
+	.A2(n_8335),
+	.B1(FE_OFN1616_n_5834),
+	.B2(FE_OFN18282_n_8333),
+	.Y(n_8464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432455 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[7]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8211),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g432456 (
+	.A1(n_8124),
+	.A2(n_13608),
+	.A3(n_13),
+	.B1(n_8332),
+	.B2(\u_soc_iccm_to_xbar[d_data] [0]),
+	.Y(n_8462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g432457 (
+	.A1(n_8124),
+	.A2(n_13609),
+	.A3(n_13),
+	.B1(FE_OFN18219_n_6709),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.Y(n_8461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g432458 (
+	.A1(FE_OFN1002_n_6865),
+	.A2(n_8128),
+	.B1(FE_OFN1609_n_2989),
+	.B2(FE_OFN18282_n_8333),
+	.X(n_8460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432459 (
+	.A1(n_8332),
+	.A2(n_2995),
+	.B1(n_6708),
+	.B2(FE_PSN4366_u_soc_u_top_u_core_instr_rdata_id_22),
+	.Y(n_8459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432460 (
+	.A1(FE_COEN4707_n_8336),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.B1(FE_PSN4157_n_8331),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.Y(n_8458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432461 (
+	.A1_N(u_soc_u_top_u_core_csr_mstatus_tw),
+	.A2_N(n_2285),
+	.B1(FE_OFN1676_n_8285),
+	.B2(n_2285),
+	.Y(n_8457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432462 (
+	.A1_N(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.A2_N(n_2285),
+	.B1(FE_OFN1675_n_8283),
+	.B2(n_2285),
+	.Y(n_8456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432463 (
+	.A1(n_8286),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[2]),
+	.X(n_8455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432464 (
+	.A1(n_8340),
+	.A2(n_1751),
+	.B1(n_3084),
+	.Y(n_8553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g432465 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B(n_13484),
+	.C(n_8230),
+	.Y(n_8552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g432466 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
+	.B(n_8341),
+	.C(n_1751),
+	.Y(n_8551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g432467 (
+	.A(n_7641),
+	.B(n_7627),
+	.C(n_8344),
+	.Y(n_8550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432468 (
+	.A(n_4293),
+	.B(n_8147),
+	.C(n_1747),
+	.Y(n_8549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432469 (
+	.A(n_5306),
+	.B(n_8149),
+	.C(n_1747),
+	.Y(n_8548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432470 (
+	.A(n_5277),
+	.B(n_8150),
+	.C(n_1747),
+	.Y(n_8547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432471 (
+	.A(n_5018),
+	.B(n_8152),
+	.C(n_1747),
+	.Y(n_8546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432472 (
+	.A(n_4254),
+	.B(n_8145),
+	.C(n_1747),
+	.Y(n_8545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g432473 (
+	.A1(n_8090),
+	.A2(n_617),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13498),
+	.B2(n_1215),
+	.Y(n_8544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432595 (
+	.A(n_8401),
+	.Y(n_8454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g432596 (
+	.A(n_8452),
+	.Y(n_8453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g432597 (
+	.A(FE_OFN1678_n_8447),
+	.Y(n_8446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432598 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[23]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8045),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432599 (
+	.A1(n_8074),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[30]),
+	.X(n_8444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432600 (
+	.A1(n_8082),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[29]),
+	.X(n_8443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432601 (
+	.A1(n_8080),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[27]),
+	.X(n_8442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432602 (
+	.A1(n_8078),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[20]),
+	.X(n_8441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432603 (
+	.A1(n_8076),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[13]),
+	.X(n_8440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g432604 (
+	.A1(n_8084),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[8]),
+	.X(n_8439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g432605 (
+	.A1(n_1216),
+	.A2(n_8090),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1372),
+	.Y(n_8438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432606 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[31]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8040),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432607 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[28]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8041),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432608 (
+	.A(FE_COEN4707_n_8336),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.Y(n_8435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432609 (
+	.A(n_8161),
+	.B(n_5594),
+	.Y(n_8434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432610 (
+	.A(n_8000),
+	.B(n_7640),
+	.C(n_6923),
+	.Y(n_8433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432611 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[26]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8042),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432612 (
+	.A(n_7881),
+	.B(n_5599),
+	.C(n_2316),
+	.D(n_6939),
+	.Y(n_8431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432613 (
+	.A(n_7884),
+	.B(n_5601),
+	.C(n_2314),
+	.D(n_6940),
+	.Y(n_8430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432614 (
+	.A(n_8214),
+	.B(n_5595),
+	.Y(n_8429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432615 (
+	.A(FE_OFN1677_n_8287),
+	.B(n_2676),
+	.Y(n_8428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432616 (
+	.A(FE_OFN1677_n_8287),
+	.B(n_2677),
+	.Y(n_8427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432617 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_8135),
+	.Y(n_8426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432618 (
+	.A(n_7873),
+	.B(n_5598),
+	.C(n_2308),
+	.D(n_7449),
+	.Y(n_8425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432619 (
+	.A(FE_OFN1675_n_8283),
+	.B(n_2254),
+	.Y(n_8424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432620 (
+	.A(FE_OFN1676_n_8285),
+	.B(n_2254),
+	.Y(n_8423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432621 (
+	.A(FE_OFN1675_n_8283),
+	.B(n_2675),
+	.Y(n_8422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432622 (
+	.A(FE_OFN1676_n_8285),
+	.B(n_2675),
+	.Y(n_8421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432623 (
+	.A(FE_OFN1675_n_8283),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432624 (
+	.A(FE_OFN1676_n_8285),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432625 (
+	.A(n_5535),
+	.B(n_7997),
+	.C(n_7061),
+	.D(n_5197),
+	.Y(n_8418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432626 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[25]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8043),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g432627 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_7929),
+	.C(n_7980),
+	.Y(n_8416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432628 (
+	.A1(n_1279),
+	.A2(n_1751),
+	.B1(n_8337),
+	.Y(n_8415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g432629 (
+	.A1(n_1750),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.B1(n_8338),
+	.X(n_8414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432630 (
+	.A1(n_2983),
+	.A2(n_1838),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.C1(n_8002),
+	.X(n_8413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432631 (
+	.A1(n_15914),
+	.A2(n_8123),
+	.B1(n_5964),
+	.B2(n_8096),
+	.Y(n_8412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432632 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[24]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8044),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432633 (
+	.A1(n_2986),
+	.A2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.B1(n_8280),
+	.Y(n_8410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432634 (
+	.A(n_7887),
+	.B(n_5586),
+	.C(n_1194),
+	.D(n_5126),
+	.Y(n_8409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g432635 (
+	.A(n_7886),
+	.B(n_5588),
+	.C(n_1207),
+	.D(n_5125),
+	.Y(n_8408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432636 (
+	.A1(n_7966),
+	.A2(n_5963),
+	.B1(FE_OFN18321_n_6708),
+	.B2(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13),
+	.C1(n_8298),
+	.Y(n_8407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432637 (
+	.A1(n_6795),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5132),
+	.C1(n_7827),
+	.D1(n_5568),
+	.Y(n_8406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432638 (
+	.A1(n_6792),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5127),
+	.C1(n_7826),
+	.D1(n_5563),
+	.Y(n_8405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432639 (
+	.A1(n_6606),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_8279),
+	.Y(n_8404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432640 (
+	.A1(n_6644),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_8278),
+	.Y(n_8403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432641 (
+	.A1(n_1869),
+	.A2(n_7973),
+	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.B2(n_8),
+	.C1(n_7807),
+	.C2(n_1849),
+	.Y(n_8402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432642 (
+	.A1(n_7824),
+	.A2(n_1750),
+	.B1(n_7922),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
+	.C1(FE_OFN927_n_2421),
+	.C2(n_13564),
+	.Y(n_8401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432643 (
+	.A1(n_1550),
+	.A2(n_1751),
+	.B1(n_8337),
+	.Y(n_8400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432644 (
+	.A1(n_1907),
+	.A2(n_1751),
+	.B1(n_8337),
+	.Y(n_8399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432645 (
+	.A1(n_7818),
+	.A2(n_5946),
+	.B1(n_8281),
+	.Y(n_8398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g432646 (
+	.A(n_7243),
+	.B(n_7205),
+	.C(n_8093),
+	.D(n_7204),
+	.Y(n_8397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432647 (
+	.A1(n_3075),
+	.A2(n_13684),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.C1(n_8104),
+	.X(n_8396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432648 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13619),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.C1(n_8105),
+	.X(n_8395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432649 (
+	.A1(n_3075),
+	.A2(n_13678),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.C1(n_8102),
+	.X(n_8394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432650 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13613),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.C1(n_8103),
+	.X(n_8393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g432651 (
+	.A(n_8132),
+	.B(n_7389),
+	.C(n_7257),
+	.X(n_8392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432652 (
+	.A1(n_5947),
+	.A2(n_7620),
+	.B1(n_8233),
+	.Y(n_8391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432653 (
+	.A1(n_3075),
+	.A2(n_13689),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.C1(n_8098),
+	.X(n_8390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432654 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13624),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.C1(n_8099),
+	.X(n_8389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432655 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[22]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8046),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432656 (
+	.A1(u_soc_u_top_u_core_pc_id[20]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[20]),
+	.C1(n_8078),
+	.C2(n_1213),
+	.Y(n_8387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432657 (
+	.A1(u_soc_u_top_u_core_pc_id[27]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[27]),
+	.C1(n_8080),
+	.C2(n_1213),
+	.Y(n_8386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432658 (
+	.A1(u_soc_u_top_u_core_pc_id[29]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[29]),
+	.C1(n_8082),
+	.C2(n_1213),
+	.Y(n_8385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432659 (
+	.A1(u_soc_u_top_u_core_pc_id[30]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[30]),
+	.C1(n_8074),
+	.C2(n_1213),
+	.Y(n_8384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432660 (
+	.A1(u_soc_u_top_u_core_pc_id[8]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[8]),
+	.C1(n_8084),
+	.C2(n_1213),
+	.Y(n_8383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432661 (
+	.A1(FE_OFN1674_n_8085),
+	.A2(n_2674),
+	.B1(n_5515),
+	.Y(n_8382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432662 (
+	.A1(FE_OFN1670_n_8077),
+	.A2(n_2674),
+	.B1(n_5510),
+	.Y(n_8381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432663 (
+	.A1(FE_OFN1671_n_8079),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5503),
+	.Y(n_8380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432664 (
+	.A1(FE_OFN1672_n_8081),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5496),
+	.Y(n_8379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432665 (
+	.A1(FE_OFN1673_n_8083),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5494),
+	.Y(n_8378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432666 (
+	.A1(FE_OFN1669_n_8075),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5493),
+	.Y(n_8377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432667 (
+	.A1(FE_OFN1674_n_8085),
+	.A2(n_2680),
+	.B1(n_5483),
+	.Y(n_8376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432668 (
+	.A1(FE_OFN1670_n_8077),
+	.A2(n_2679),
+	.B1(n_5478),
+	.Y(n_8375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432669 (
+	.A1(FE_OFN1671_n_8079),
+	.A2(n_2679),
+	.B1(n_5471),
+	.Y(n_8374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432670 (
+	.A1(FE_OFN1672_n_8081),
+	.A2(n_2679),
+	.B1(n_5540),
+	.Y(n_8373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432671 (
+	.A1(FE_OFN1673_n_8083),
+	.A2(n_2679),
+	.B1(n_5578),
+	.Y(n_8372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432672 (
+	.A1(FE_OFN1669_n_8075),
+	.A2(n_2679),
+	.B1(n_5579),
+	.Y(n_8371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432673 (
+	.A1(n_5955),
+	.A2(n_7796),
+	.B1(n_8303),
+	.Y(n_8370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432674 (
+	.A1(n_1212),
+	.A2(FE_OFN1670_n_8077),
+	.B1(n_1970),
+	.Y(n_8369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432675 (
+	.A1(n_6871),
+	.A2(n_8130),
+	.B1(n_7829),
+	.Y(n_8368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432676 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1674_n_8085),
+	.B1(n_2371),
+	.Y(n_8367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432677 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1670_n_8077),
+	.B1(n_2337),
+	.Y(n_8366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432678 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1671_n_8079),
+	.B1(n_2408),
+	.Y(n_8365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432679 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1672_n_8081),
+	.B1(n_2406),
+	.Y(n_8364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432680 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1673_n_8083),
+	.B1(n_2321),
+	.Y(n_8363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432681 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1669_n_8075),
+	.B1(n_2320),
+	.Y(n_8362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432682 (
+	.A1_N(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.A2_N(n_1415),
+	.B1(FE_OFN1670_n_8077),
+	.B2(n_1415),
+	.Y(n_8361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432683 (
+	.A1(FE_OFN19255_n_6867),
+	.A2(n_8061),
+	.B1(n_6882),
+	.B2(n_7796),
+	.Y(n_8360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432684 (
+	.A1(n_6708),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[31]),
+	.B1(n_8328),
+	.Y(n_8359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432685 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[15]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8050),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432686 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[16]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8049),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432687 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[18]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8048),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432688 (
+	.A1_N(u_soc_u_top_u_core_csr_depc[19]),
+	.A2_N(FE_OFN1644_n_1999),
+	.B1(n_8047),
+	.B2(FE_OFN1644_n_1999),
+	.Y(n_8355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432689 (
+	.A1(FE_OFN19255_n_6867),
+	.A2(n_8128),
+	.B1(n_5883),
+	.B2(n_7970),
+	.Y(n_8354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432690 (
+	.A1(FE_OFN992_n_5974),
+	.A2(n_8130),
+	.B1(n_6860),
+	.B2(n_7382),
+	.Y(n_8353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432700 (
+	.A(n_8343),
+	.B(n_7977),
+	.Y(n_8452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432703 (
+	.A(FE_OFN1404_n_1848),
+	.B(FE_OFN1677_n_8287),
+	.Y(n_8451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432707 (
+	.A1(n_8086),
+	.A2(n_7918),
+	.B1(FE_PSN4101_n_7253),
+	.Y(n_8450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g432716 (
+	.A(n_7622),
+	.B(n_8339),
+	.X(n_8449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432718 (
+	.A(n_2955),
+	.B(n_8051),
+	.C(n_1747),
+	.Y(n_8448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432719 (
+	.A1(n_13506),
+	.A2(n_1215),
+	.B1(n_15937),
+	.Y(n_8447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432720 (
+	.A(n_8330),
+	.Y(n_8352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432721 (
+	.A(n_8327),
+	.Y(n_8351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432722 (
+	.A(n_8326),
+	.Y(n_8350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432723 (
+	.A(n_8325),
+	.Y(n_8349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432724 (
+	.A(n_8319),
+	.Y(n_8348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432725 (
+	.A(n_8304),
+	.Y(n_8347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432726 (
+	.A(n_8295),
+	.Y(n_8346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432727 (
+	.A(n_8294),
+	.Y(n_8345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g432728 (
+	.A(n_8341),
+	.Y(n_8340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432729 (
+	.A(n_8338),
+	.Y(n_8337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432730 (
+	.A(n_8335),
+	.Y(n_8334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432732 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [0]),
+	.A2(FE_OFN18202_n_7609),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.C1(FE_OFN1509_n_7907),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.Y(n_8330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432733 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.B(n_8125),
+	.Y(n_8329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432734 (
+	.A(n_8111),
+	.B(n_7252),
+	.Y(n_8328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432735 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [16]),
+	.A2(n_7606),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.Y(n_8327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432736 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [1]),
+	.A2(n_7606),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.Y(n_8326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432737 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [0]),
+	.A2(n_7606),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.Y(n_8325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432738 (
+	.A(n_2253),
+	.B(FE_OFN1673_n_8083),
+	.Y(n_8324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432739 (
+	.A(n_5947),
+	.B(n_8123),
+	.Y(n_8323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432740 (
+	.A(FE_OFN1670_n_8077),
+	.B(n_2676),
+	.Y(n_8322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432741 (
+	.A(FE_OFN1670_n_8077),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432742 (
+	.A(FE_OFN1670_n_8077),
+	.B(n_2254),
+	.Y(n_8320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432743 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [17]),
+	.A2(FE_OFN18202_n_7609),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.C1(FE_OFN1509_n_7907),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.Y(n_8319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432744 (
+	.A(FE_OFN1674_n_8085),
+	.B(n_2676),
+	.Y(n_8318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432745 (
+	.A(FE_OFN1674_n_8085),
+	.B(n_2678),
+	.Y(n_8317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432746 (
+	.A(FE_OFN1674_n_8085),
+	.B(n_2254),
+	.Y(n_8316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432747 (
+	.A(FE_OFN1671_n_8079),
+	.B(n_2254),
+	.Y(n_8315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432748 (
+	.A(FE_OFN1672_n_8081),
+	.B(n_2254),
+	.Y(n_8314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432749 (
+	.A(FE_OFN1669_n_8075),
+	.B(n_2254),
+	.Y(n_8313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432750 (
+	.A(FE_OFN1671_n_8079),
+	.B(n_2675),
+	.Y(n_8312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432751 (
+	.A(FE_OFN1672_n_8081),
+	.B(n_2675),
+	.Y(n_8311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432752 (
+	.A(FE_OFN1673_n_8083),
+	.B(n_2675),
+	.Y(n_8310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432753 (
+	.A(FE_OFN1669_n_8075),
+	.B(n_2675),
+	.Y(n_8309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432754 (
+	.A(FE_OFN1671_n_8079),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432755 (
+	.A(FE_OFN1672_n_8081),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432756 (
+	.A(FE_OFN1673_n_8083),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432757 (
+	.A(FE_OFN1669_n_8075),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_8305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432758 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [16]),
+	.A2(FE_OFN18202_n_7609),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.C1(FE_OFN1509_n_7907),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.Y(n_8304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432759 (
+	.A1(FE_OFN18321_n_6708),
+	.A2(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5),
+	.B1(n_8097),
+	.Y(n_8303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g432760 (
+	.A1(FE_PSN4101_n_7253),
+	.A2(n_7811),
+	.B1(FE_OFN18299_n_15911),
+	.C1(n_7972),
+	.Y(n_8302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g432761 (
+	.A1(n_1406),
+	.A2(u_soc_u_top_u_core_exc_cause[1]),
+	.B1(n_8131),
+	.X(n_8301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g432762 (
+	.A1(n_1452),
+	.A2(n_7633),
+	.B1(n_8129),
+	.X(n_8300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g432763 (
+	.A1(n_7388),
+	.A2(n_6858),
+	.A3(n_6866),
+	.B1(n_8113),
+	.Y(n_8299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g432764 (
+	.A1(FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
+	.A2(FE_OFN1001_n_6859),
+	.A3(n_6923),
+	.B1(FE_OFN1002_n_6865),
+	.B2(n_7926),
+	.Y(n_8298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g432766 (
+	.A1(n_7914),
+	.A2(n_7972),
+	.B1(n_5954),
+	.X(n_8296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432767 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [1]),
+	.A2(FE_OFN18202_n_7609),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.C1(FE_OFN1509_n_7907),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.Y(n_8295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432768 (
+	.A1(\u_soc_iccm_to_xbar[d_data] [17]),
+	.A2(n_7606),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.Y(n_8294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g432778 (
+	.A(n_7977),
+	.B(n_7401),
+	.C(n_7620),
+	.Y(n_8344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g432788 (
+	.A(n_7914),
+	.B_N(n_8128),
+	.Y(n_8343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g432868 (
+	.A_N(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(n_7981),
+	.C(n_2297),
+	.Y(n_8341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432878 (
+	.A(FE_PSN4101_n_7253),
+	.B(n_8086),
+	.Y(n_8339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432880 (
+	.A1(n_7981),
+	.A2(n_1751),
+	.B1(n_3084),
+	.Y(n_8338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432881 (
+	.A(n_1025),
+	.B(n_8123),
+	.Y(n_8336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432882 (
+	.A(n_8124),
+	.B(n_1027),
+	.Y(n_8335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g432883 (
+	.A(n_8124),
+	.B(n_1037),
+	.Y(n_8333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g432884 (
+	.A(n_1036),
+	.B(n_8123),
+	.Y(n_8331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432885 (
+	.A(n_8242),
+	.Y(n_8293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432886 (
+	.A(n_8241),
+	.Y(n_8292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432887 (
+	.A(n_8237),
+	.Y(n_8291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g432888 (
+	.A(n_8180),
+	.Y(n_8290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g432889 (
+	.A(FE_OFN1677_n_8287),
+	.Y(n_8286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g432890 (
+	.A(FE_OFN1676_n_8285),
+	.Y(n_8284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g432891 (
+	.A(FE_OFN1675_n_8283),
+	.Y(n_8282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g432892 (
+	.A1(FE_OFN1000_n_6857),
+	.A2(n_7970),
+	.B1(n_6863),
+	.B2(n_7386),
+	.Y(n_8281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432893 (
+	.A1(n_6855),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5128),
+	.C1(n_7721),
+	.D1(n_5564),
+	.Y(n_8280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432894 (
+	.A1(n_2986),
+	.A2(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.C1(n_8119),
+	.Y(n_8279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432895 (
+	.A1(n_2986),
+	.A2(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.C1(n_8120),
+	.Y(n_8278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432896 (
+	.A1(n_1872),
+	.A2(n_7269),
+	.B1(n_7807),
+	.B2(FE_OFN887_n_1753),
+	.C1(n_8),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_8277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432897 (
+	.A1(n_1872),
+	.A2(n_7797),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.C1(n_7806),
+	.C2(FE_OFN887_n_1753),
+	.Y(n_8276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432898 (
+	.A1(u_soc_u_uart_u_uart_core_fifo_read_size[7]),
+	.A2(n_15909),
+	.B1(n_8127),
+	.Y(n_8275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432899 (
+	.A1(n_475),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.B1(n_8110),
+	.Y(n_8274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432900 (
+	.A1(n_1878),
+	.A2(n_7264),
+	.B1(n_7800),
+	.B2(n_1872),
+	.C1(n_8),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_8273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432901 (
+	.A1(n_475),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.B1(n_1746),
+	.B2(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.C1(n_7903),
+	.Y(n_8272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432902 (
+	.A1(n_3075),
+	.A2(n_13692),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.C1(n_7946),
+	.X(n_8271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432903 (
+	.A1(n_3075),
+	.A2(n_13693),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.C1(n_7947),
+	.X(n_8270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432904 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13695),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.C1(n_7948),
+	.X(n_8269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432905 (
+	.A1(FE_OFN1681_n_3075),
+	.A2(n_13696),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.C1(n_7949),
+	.X(n_8268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432906 (
+	.A1(n_3075),
+	.A2(n_13702),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.C1(n_7953),
+	.X(n_8267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432907 (
+	.A1(n_3075),
+	.A2(n_13699),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.C1(n_7951),
+	.X(n_8266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432908 (
+	.A1(n_3075),
+	.A2(n_13703),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.C1(n_7954),
+	.X(n_8265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432909 (
+	.A1(n_3075),
+	.A2(n_13700),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.C1(n_7950),
+	.X(n_8264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432910 (
+	.A1(n_3075),
+	.A2(n_13701),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.C1(n_7952),
+	.X(n_8263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432911 (
+	.A1(n_3075),
+	.A2(n_13705),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.C1(n_7955),
+	.X(n_8262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432912 (
+	.A1(n_3074),
+	.A2(n_13627),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.C1(n_7956),
+	.X(n_8261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432913 (
+	.A1(n_3074),
+	.A2(n_13628),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.C1(n_7957),
+	.X(n_8260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432914 (
+	.A1(n_3074),
+	.A2(n_13630),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.C1(n_7958),
+	.X(n_8259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432915 (
+	.A1(n_3074),
+	.A2(n_13631),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.C1(n_7959),
+	.X(n_8258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432916 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13635),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.C1(n_7961),
+	.X(n_8257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432917 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13634),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.C1(n_7960),
+	.X(n_8256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432918 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13636),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.C1(n_7962),
+	.X(n_8255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432919 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13637),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.C1(n_7963),
+	.X(n_8254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432920 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13638),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.C1(n_7964),
+	.X(n_8253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432921 (
+	.A1(FE_OFN1680_n_3074),
+	.A2(n_13640),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.C1(n_7905),
+	.X(n_8252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432922 (
+	.A1(n_1869),
+	.A2(n_7267),
+	.B1(n_7807),
+	.B2(FE_OFN888_n_1852),
+	.C1(FE_OFN780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22),
+	.C2(n_8),
+	.Y(n_8251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432923 (
+	.A1(n_3075),
+	.A2(n_13708),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.C1(n_7933),
+	.X(n_8250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432924 (
+	.A1(n_3074),
+	.A2(n_13643),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.C1(n_7934),
+	.X(n_8249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432925 (
+	.A1(n_3075),
+	.A2(n_13682),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.C1(n_7931),
+	.X(n_8248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432926 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13617),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.C1(n_7932),
+	.X(n_8247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g432927 (
+	.A1(n_7921),
+	.A2(n_7382),
+	.B1(FE_OFN992_n_5974),
+	.Y(n_8246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432928 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.C1(n_7719),
+	.X(n_8245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432929 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.C1(n_7718),
+	.X(n_8244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432930 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.C1(n_7717),
+	.X(n_8243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432931 (
+	.A1(n_2993),
+	.A2(n_7606),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.Y(n_8242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432932 (
+	.A1(n_2995),
+	.A2(n_7606),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.Y(n_8241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432933 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.C1(n_7716),
+	.X(n_8240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432934 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.C1(n_7715),
+	.X(n_8239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432935 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.C1(n_7714),
+	.X(n_8238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432936 (
+	.A1(n_3005),
+	.A2(n_7606),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.Y(n_8237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432937 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.C1(n_7713),
+	.X(n_8236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432938 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.C1(n_7712),
+	.X(n_8235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432939 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.C1(n_7711),
+	.X(n_8234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432940 (
+	.A1(n_7971),
+	.A2(n_7916),
+	.B1(n_6873),
+	.Y(n_8233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g432941 (
+	.A1(n_1141),
+	.A2(FE_OFN18285_n_2984),
+	.B1(n_7189),
+	.C1(n_5530),
+	.D1(n_7872),
+	.Y(n_8232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g432942 (
+	.A1_N(n_7910),
+	.A2_N(n_5857),
+	.B1(n_175),
+	.B2(n_1405),
+	.Y(n_8231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432943 (
+	.A1(n_7610),
+	.A2(n_7912),
+	.B1(n_1462),
+	.Y(n_8230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g432944 (
+	.A1(n_7256),
+	.A2(n_7915),
+	.B1(n_7627),
+	.Y(n_8229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432945 (
+	.A1(FE_OFN18230_n_3080),
+	.A2(n_6616),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_13611),
+	.C1(n_7885),
+	.Y(n_8228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432946 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.C1(n_7734),
+	.X(n_8227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432947 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.C1(n_7733),
+	.X(n_8226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432948 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.C1(n_7732),
+	.X(n_8225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432949 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.C1(n_7731),
+	.X(n_8224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432950 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.C1(n_7730),
+	.X(n_8223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432951 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.C1(n_7729),
+	.X(n_8222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432952 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.C1(n_7728),
+	.X(n_8221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432953 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.C1(n_7727),
+	.X(n_8220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432954 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.C1(n_7726),
+	.X(n_8219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432955 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.C1(n_7725),
+	.X(n_8218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432956 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.C1(n_7724),
+	.X(n_8217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432957 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.C1(n_7723),
+	.X(n_8216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432958 (
+	.A1(n_1216),
+	.A2(n_7816),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.C1(n_5923),
+	.Y(n_8215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432959 (
+	.A1(n_1216),
+	.A2(n_7817),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.C1(n_5927),
+	.Y(n_8214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g432960 (
+	.A1(n_475),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.B1(n_1746),
+	.B2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.C1(n_7906),
+	.Y(n_8213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g432961 (
+	.A1(FE_COEN4876_n_7805),
+	.A2(n_7254),
+	.A3(n_6858),
+	.B1(n_7393),
+	.B2(n_6856),
+	.Y(n_8212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432962 (
+	.A1(u_soc_u_top_u_core_pc_id[7]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[7]),
+	.C1(n_7910),
+	.C2(n_1213),
+	.Y(n_8211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432963 (
+	.A1(u_soc_u_top_u_core_pc_id[1]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[1]),
+	.C1(n_7912),
+	.C2(n_1213),
+	.Y(n_8210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432964 (
+	.A1(n_6502),
+	.A2(n_7803),
+	.B1(FE_OFN18321_n_6708),
+	.B2(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
+	.C1(n_7966),
+	.C2(n_5965),
+	.Y(n_8209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432965 (
+	.A1(FE_OFN1668_n_7913),
+	.A2(n_2674),
+	.B1(n_5522),
+	.Y(n_8208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432966 (
+	.A1(FE_OFN1667_n_7911),
+	.A2(n_2674),
+	.B1(n_5516),
+	.Y(n_8207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432967 (
+	.A1(FE_OFN1666_n_7909),
+	.A2(n_2674),
+	.B1(n_5512),
+	.Y(n_8206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432968 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.C1(n_7822),
+	.X(n_8205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432969 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.C1(n_7823),
+	.X(n_8204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432970 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.C1(n_7690),
+	.X(n_8203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432971 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.C1(n_7768),
+	.X(n_8202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432972 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.C1(n_7767),
+	.X(n_8201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432973 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.C1(n_7766),
+	.X(n_8200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432974 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.C1(n_7765),
+	.X(n_8199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432975 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.C1(n_7764),
+	.X(n_8198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432976 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.C1(n_7763),
+	.X(n_8197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432977 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.C1(n_7762),
+	.X(n_8196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432978 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.C1(n_7761),
+	.X(n_8195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432979 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.C1(n_7760),
+	.X(n_8194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432980 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.C1(n_7759),
+	.X(n_8193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432981 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.B1(FE_OFN18424_n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.C1(n_7758),
+	.X(n_8192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432982 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.C1(n_7757),
+	.X(n_8191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432983 (
+	.A1(FE_OFN1509_n_7907),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.B1(n_7771),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.C1(n_7756),
+	.X(n_8190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432984 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.C1(n_7755),
+	.X(n_8189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432985 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.C1(n_7754),
+	.X(n_8188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432986 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.C1(n_7753),
+	.X(n_8187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432987 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.C1(n_7752),
+	.X(n_8186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432988 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.C1(n_7751),
+	.X(n_8185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432989 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.C1(n_7750),
+	.X(n_8184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432990 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.C1(n_7749),
+	.X(n_8183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432991 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.C1(n_7748),
+	.X(n_8182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g432992 (
+	.A1(FE_OFN1668_n_7913),
+	.A2(n_2680),
+	.B1(n_5490),
+	.Y(n_8181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g432993 (
+	.A1(n_5842),
+	.A2(n_7606),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.C1(FE_OFN1006_n_7965),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.Y(n_8180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432994 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.C1(n_7747),
+	.X(n_8179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432995 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.C1(n_7746),
+	.X(n_8178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432996 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.C1(n_7745),
+	.X(n_8177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432997 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.C1(n_7744),
+	.X(n_8176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432998 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.B1(n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.C1(n_7743),
+	.X(n_8175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g432999 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.C1(n_7742),
+	.X(n_8174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433000 (
+	.A1(FE_OFN1006_n_7965),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.B1(FE_OFN18428_n_7770),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.C1(n_7741),
+	.X(n_8173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433001 (
+	.A1(FE_OFN1667_n_7911),
+	.A2(n_2680),
+	.B1(n_5484),
+	.Y(n_8172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433002 (
+	.A1(FE_OFN1666_n_7909),
+	.A2(n_2680),
+	.B1(n_5480),
+	.Y(n_8171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433003 (
+	.A1(n_1212),
+	.A2(FE_OFN1666_n_7909),
+	.B1(n_1969),
+	.Y(n_8170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433004 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1667_n_7911),
+	.B1(n_2311),
+	.Y(n_8169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433005 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1666_n_7909),
+	.B1(n_2398),
+	.Y(n_8168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433006 (
+	.A1(n_2419),
+	.A2(n_6650),
+	.B1(n_1746),
+	.B2(FE_OFN762_u_soc_lsu_to_xbar_a_address__15),
+	.C1(n_7927),
+	.Y(n_8167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433007 (
+	.A1(n_2419),
+	.A2(n_6648),
+	.B1(n_1746),
+	.B2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.C1(n_7930),
+	.Y(n_8166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433008 (
+	.A1(n_6316),
+	.A2(n_3080),
+	.B1(n_2209),
+	.C1(n_5593),
+	.D1(n_7707),
+	.Y(n_8165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433009 (
+	.A1_N(u_soc_u_top_u_core_debug_ebreaku),
+	.A2_N(n_1415),
+	.B1(FE_OFN1666_n_7909),
+	.B2(n_1415),
+	.Y(n_8164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433010 (
+	.A1(n_7966),
+	.A2(n_5952),
+	.B1(FE_OFN18321_n_6708),
+	.B2(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
+	.Y(n_8163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433011 (
+	.A1(n_2419),
+	.A2(n_6639),
+	.B1(n_2986),
+	.B2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.C1(n_7895),
+	.X(n_8162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433012 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1398),
+	.B1(FE_OFN18196_n_13380),
+	.B2(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20),
+	.C1(n_7899),
+	.Y(n_8161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433013 (
+	.A1(n_7912),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[1]),
+	.X(n_8160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433014 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1395),
+	.B1(n_2986),
+	.B2(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+	.C1(n_7898),
+	.Y(n_8159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433015 (
+	.A1(n_7910),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[7]),
+	.X(n_8158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433016 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1393),
+	.B1(n_2986),
+	.B2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.C1(n_7897),
+	.Y(n_8157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433017 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1362),
+	.B1(n_2986),
+	.B2(FE_PSN4663_FE_OFN18361_n),
+	.C1(n_7896),
+	.Y(n_8156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433018 (
+	.A1(n_7908),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[12]),
+	.X(n_8155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433019 (
+	.A1(n_7974),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_8154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433020 (
+	.A1(n_7975),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_8153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433021 (
+	.A1(n_2008),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.B1(FE_OFN930_n_2987),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.C1(n_7880),
+	.Y(n_8152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433022 (
+	.A1(n_7976),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_8151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433023 (
+	.A1(n_2008),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.B1(FE_OFN930_n_2987),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.C1(n_7879),
+	.Y(n_8150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433024 (
+	.A1(n_2008),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.B1(FE_OFN930_n_2987),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.C1(n_7878),
+	.Y(n_8149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433025 (
+	.A1(n_7978),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.Y(n_8148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433026 (
+	.A1(n_2008),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.B1(FE_OFN930_n_2987),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.C1(n_7877),
+	.Y(n_8147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433027 (
+	.A1(n_7979),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.Y(n_8146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433028 (
+	.A1(n_2008),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.B1(FE_OFN930_n_2987),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.C1(n_7876),
+	.Y(n_8145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433029 (
+	.A1(n_7973),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.Y(n_8144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433030 (
+	.A1(n_7969),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.Y(n_8143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433031 (
+	.A1(n_7975),
+	.A2(n_1874),
+	.B1(n_1852),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_8142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433032 (
+	.A1(n_7976),
+	.A2(n_1874),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.Y(n_8141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433033 (
+	.A1(n_7978),
+	.A2(n_1874),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.Y(n_8140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433034 (
+	.A1(n_7979),
+	.A2(n_1874),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.Y(n_8139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433035 (
+	.A1(n_7974),
+	.A2(n_1869),
+	.B1(n_7264),
+	.B2(n_2281),
+	.Y(n_8138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433036 (
+	.A1(n_7976),
+	.A2(n_1869),
+	.B1(n_7260),
+	.B2(n_2281),
+	.Y(n_8137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433037 (
+	.A1(n_7979),
+	.A2(n_1869),
+	.B1(n_7266),
+	.B2(n_2281),
+	.Y(n_8136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g433038 (
+	.A1_N(u_soc_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.A2_N(n_7631),
+	.B1(u_soc_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.B2(n_7631),
+	.Y(n_8135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433039 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1402),
+	.B1(n_8094),
+	.Y(n_8134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433040 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[1]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[1]),
+	.C1(n_8131),
+	.X(n_8133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433041 (
+	.A1(n_7813),
+	.A2(n_7919),
+	.B1(FE_PSN4101_n_7253),
+	.Y(n_8289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433042 (
+	.A1(n_7969),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B1(n_7797),
+	.B2(n_28),
+	.Y(n_8288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433043 (
+	.A1(n_7820),
+	.A2(n_110),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4416_n_13497),
+	.B2(n_1215),
+	.Y(n_8287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433044 (
+	.A1(n_7817),
+	.A2(n_623),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13516),
+	.B2(n_1215),
+	.Y(n_8285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433045 (
+	.A1(n_7816),
+	.A2(n_131),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13512),
+	.B2(n_1215),
+	.Y(n_8283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433046 (
+	.A(n_8125),
+	.Y(n_8126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g433048 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.D(n_7599),
+	.X(n_8122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g433049 (
+	.A(FE_COEN4329_n_13402),
+	.B(n_13404),
+	.C(FE_OFN1086_n_13082),
+	.D(n_7598),
+	.X(n_8121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433050 (
+	.A1(n_6599),
+	.A2(n_3080),
+	.B1(n_5123),
+	.C1(n_7450),
+	.D1(n_5560),
+	.Y(n_8120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433051 (
+	.A1(n_6600),
+	.A2(n_3080),
+	.B1(n_5124),
+	.C1(n_7451),
+	.D1(n_5561),
+	.Y(n_8119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433052 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[31]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[31]),
+	.C1(n_7983),
+	.X(n_8118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433053 (
+	.A1(n_2986),
+	.A2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.B1(n_7888),
+	.Y(n_8117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433054 (
+	.A1(n_2986),
+	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.B1(n_7889),
+	.Y(n_8116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433055 (
+	.A(n_7252),
+	.B(n_7640),
+	.C(n_7383),
+	.D(n_7374),
+	.Y(n_8115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g433056 (
+	.A1(n_7620),
+	.A2(FE_OFN18264_n_7388),
+	.A3(n_7626),
+	.B1(FE_OFN1000_n_6857),
+	.Y(n_8114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g433057 (
+	.A1(n_5955),
+	.A2(n_15907),
+	.B1(n_6002),
+	.B2(n_7796),
+	.Y(n_8113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433058 (
+	.A1(n_2986),
+	.A2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.B1(n_1746),
+	.B2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.C1(n_7831),
+	.Y(n_8112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433059 (
+	.A1(n_7344),
+	.A2(n_6856),
+	.B1(n_7919),
+	.Y(n_8111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433060 (
+	.A(n_5538),
+	.B(n_7720),
+	.C(n_6944),
+	.D(n_5223),
+	.Y(n_8110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g433061 (
+	.A1(n_1750),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
+	.B1(n_7922),
+	.X(n_8109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g433062 (
+	.A1(n_1406),
+	.A2(u_soc_u_top_u_core_exc_cause[5]),
+	.B1(n_7983),
+	.X(n_8108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g433063 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_7558),
+	.C(n_15909),
+	.Y(n_8107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433064 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_7657),
+	.Y(n_8106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433065 (
+	.A(FE_OFN1667_n_7911),
+	.B(n_2678),
+	.Y(n_8105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433066 (
+	.A(FE_OFN1667_n_7911),
+	.B(n_2676),
+	.Y(n_8104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433067 (
+	.A(FE_OFN1668_n_7913),
+	.B(n_2677),
+	.Y(n_8103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433068 (
+	.A(FE_OFN1668_n_7913),
+	.B(n_2676),
+	.Y(n_8102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433069 (
+	.A(n_7915),
+	.B_N(n_7628),
+	.Y(n_8101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433070 (
+	.A(FE_OFN1666_n_7909),
+	.B(n_2254),
+	.Y(n_8100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433071 (
+	.A(FE_OFN1666_n_7909),
+	.B(n_2678),
+	.Y(n_8099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433072 (
+	.A(FE_OFN1666_n_7909),
+	.B(n_2676),
+	.Y(n_8098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g433073 (
+	.A1(n_7803),
+	.A2(n_7818),
+	.B1(n_7265),
+	.X(n_8097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433074 (
+	.A(n_7972),
+	.B_N(n_7819),
+	.Y(n_8096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433075 (
+	.A1(n_3075),
+	.A2(n_13677),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.C1(n_7696),
+	.X(n_8095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433076 (
+	.A(n_7920),
+	.B(FE_OFN1401_n_1217),
+	.Y(n_8094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433077 (
+	.A1(n_1416),
+	.A2(n_6589),
+	.B1(n_6905),
+	.C1(n_7349),
+	.D1(n_7891),
+	.Y(n_8093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433078 (
+	.A(n_7969),
+	.B(n_1874),
+	.Y(n_8092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433079 (
+	.A(n_7973),
+	.B(n_1874),
+	.Y(n_8091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g433080 (
+	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.B(n_6856),
+	.C_N(FE_COEN4876_n_7805),
+	.Y(n_8132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433086 (
+	.A(FE_OFN1404_n_1848),
+	.B(FE_OFN1668_n_7913),
+	.Y(n_8131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433099 (
+	.A(n_7971),
+	.B(n_7894),
+	.Y(n_8130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433101 (
+	.A(n_7633),
+	.B(n_1452),
+	.Y(n_8129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g433110 (
+	.A(n_7810),
+	.B(n_7687),
+	.C(n_7565),
+	.X(n_8128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433118 (
+	.A(n_15909),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[7]),
+	.Y(n_8127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433145 (
+	.A(n_1750),
+	.B(n_7981),
+	.Y(n_8125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g433146 (
+	.A(n_7796),
+	.B(n_7982),
+	.Y(n_8124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433147 (
+	.A(n_8088),
+	.Y(n_8089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433148 (
+	.A(FE_OFN1674_n_8085),
+	.Y(n_8084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433149 (
+	.A(FE_OFN1673_n_8083),
+	.Y(n_8082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433150 (
+	.A(FE_OFN1672_n_8081),
+	.Y(n_8080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433151 (
+	.A(FE_OFN1671_n_8079),
+	.Y(n_8078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433152 (
+	.A(FE_OFN1670_n_8077),
+	.Y(n_8076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433153 (
+	.A(FE_OFN1669_n_8075),
+	.Y(n_8074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433154 (
+	.A1(FE_OFN1654_n_7773),
+	.A2(n_2679),
+	.B1(n_5475),
+	.Y(n_8073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433155 (
+	.A1(n_3075),
+	.A2(n_13687),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.C1(n_7694),
+	.X(n_8072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433156 (
+	.A1(n_3075),
+	.A2(n_13691),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.C1(n_7693),
+	.X(n_8071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433157 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13612),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.C1(n_7692),
+	.X(n_8070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433158 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13621),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.C1(n_7691),
+	.X(n_8069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433159 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13622),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.C1(n_7769),
+	.X(n_8068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433160 (
+	.A1(n_3074),
+	.A2(n_13626),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.C1(n_7689),
+	.X(n_8067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433161 (
+	.A1(n_3075),
+	.A2(n_13681),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.C1(n_7702),
+	.X(n_8066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433162 (
+	.A1(n_3075),
+	.A2(n_13683),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.C1(n_7701),
+	.X(n_8065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433163 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13616),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.C1(n_7700),
+	.X(n_8064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433164 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13618),
+	.B1(FE_OFN18204_n_2680),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C1(n_7699),
+	.X(n_8063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g433165 (
+	.A1(n_7276),
+	.A2(n_7811),
+	.B1(n_5954),
+	.X(n_8062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433166 (
+	.A1(FE_OFN18244_n_7619),
+	.A2(n_7346),
+	.B1(n_7803),
+	.B2(n_6501),
+	.Y(n_8061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433167 (
+	.A(n_7902),
+	.B(n_7386),
+	.Y(n_8060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433168 (
+	.A1(n_7797),
+	.A2(n_2281),
+	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.B2(n_8),
+	.Y(n_8059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433169 (
+	.A1(n_7797),
+	.A2(n_1871),
+	.B1(n_7268),
+	.B2(n_1873),
+	.Y(n_8058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433170 (
+	.A1(n_7806),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.Y(n_8057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433171 (
+	.A1(n_7807),
+	.A2(n_1419),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.Y(n_8056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433172 (
+	.A1(n_7804),
+	.A2(n_1871),
+	.B1(n_7266),
+	.B2(n_1873),
+	.Y(n_8055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433173 (
+	.A1(n_7798),
+	.A2(FE_OFN1000_n_6857),
+	.B1(n_7392),
+	.Y(n_8054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433174 (
+	.A1(n_7802),
+	.A2(n_1871),
+	.B1(n_7259),
+	.B2(n_1873),
+	.Y(n_8053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433175 (
+	.A1(n_7801),
+	.A2(n_1871),
+	.B1(n_7260),
+	.B2(n_1873),
+	.Y(n_8052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433176 (
+	.A1(n_2149),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.C1(n_7698),
+	.Y(n_8051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433177 (
+	.A1(u_soc_u_top_u_core_pc_id[15]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[15]),
+	.C1(n_7782),
+	.C2(n_1213),
+	.Y(n_8050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433178 (
+	.A1(u_soc_u_top_u_core_pc_id[16]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[16]),
+	.C1(n_7772),
+	.C2(n_1213),
+	.Y(n_8049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433179 (
+	.A1(u_soc_u_top_u_core_pc_id[18]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[18]),
+	.C1(n_7776),
+	.C2(n_1213),
+	.Y(n_8048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433180 (
+	.A1(u_soc_u_top_u_core_pc_id[19]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[19]),
+	.C1(n_7778),
+	.C2(n_1213),
+	.Y(n_8047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433181 (
+	.A1(u_soc_u_top_u_core_pc_id[22]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[22]),
+	.C1(n_7780),
+	.C2(n_1213),
+	.Y(n_8046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433182 (
+	.A1(u_soc_u_top_u_core_pc_id[23]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[23]),
+	.C1(n_7774),
+	.C2(n_1213),
+	.Y(n_8045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433183 (
+	.A1(u_soc_u_top_u_core_pc_id[24]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[24]),
+	.C1(n_7786),
+	.C2(n_1213),
+	.Y(n_8044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433184 (
+	.A1(u_soc_u_top_u_core_pc_id[25]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[25]),
+	.C1(n_7788),
+	.C2(n_1213),
+	.Y(n_8043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433185 (
+	.A1(u_soc_u_top_u_core_pc_id[26]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[26]),
+	.C1(n_7790),
+	.C2(n_1213),
+	.Y(n_8042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433186 (
+	.A1(u_soc_u_top_u_core_pc_id[28]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[28]),
+	.C1(n_7784),
+	.C2(n_1213),
+	.Y(n_8041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433187 (
+	.A1(u_soc_u_top_u_core_pc_id[31]),
+	.A2(FE_OFN1403_n_1412),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[31]),
+	.C1(n_7792),
+	.C2(n_1213),
+	.Y(n_8040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433188 (
+	.A1(n_7800),
+	.A2(n_1871),
+	.B1(n_7264),
+	.B2(n_1873),
+	.Y(n_8039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433189 (
+	.A1(FE_OFN1665_n_7795),
+	.A2(n_2674),
+	.B1(n_5518),
+	.Y(n_8038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433190 (
+	.A1(FE_OFN1659_n_7783),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5508),
+	.Y(n_8037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433191 (
+	.A1(FE_OFN1654_n_7773),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5507),
+	.Y(n_8036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433192 (
+	.A1(FE_OFN1656_n_7777),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5505),
+	.Y(n_8035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433193 (
+	.A1(FE_OFN1657_n_7779),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5504),
+	.Y(n_8034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433194 (
+	.A1(FE_OFN1655_n_7775),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5500),
+	.Y(n_8033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433195 (
+	.A1(FE_OFN1658_n_7781),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5501),
+	.Y(n_8032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433196 (
+	.A1(FE_OFN1661_n_7787),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5499),
+	.Y(n_8031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433197 (
+	.A1(FE_OFN1662_n_7789),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5498),
+	.Y(n_8030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433198 (
+	.A1(FE_OFN1663_n_7791),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5497),
+	.Y(n_8029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433199 (
+	.A1(FE_OFN1660_n_7785),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5495),
+	.Y(n_8028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433200 (
+	.A1(FE_OFN1664_n_7793),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5492),
+	.Y(n_8027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433201 (
+	.A1(FE_OFN1665_n_7795),
+	.A2(n_2680),
+	.B1(n_5486),
+	.Y(n_8026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433202 (
+	.A1(FE_OFN1659_n_7783),
+	.A2(n_2679),
+	.B1(n_5476),
+	.Y(n_8025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433203 (
+	.A1(n_3075),
+	.A2(n_13686),
+	.B1(n_2673),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.C1(n_7695),
+	.X(n_8024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433204 (
+	.A1(FE_OFN1656_n_7777),
+	.A2(n_2679),
+	.B1(n_5473),
+	.Y(n_8023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433205 (
+	.A1(FE_OFN1657_n_7779),
+	.A2(n_2679),
+	.B1(n_5472),
+	.Y(n_8022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433206 (
+	.A1(FE_OFN1655_n_7775),
+	.A2(n_2679),
+	.B1(n_5526),
+	.Y(n_8021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433207 (
+	.A1(FE_OFN1658_n_7781),
+	.A2(n_2679),
+	.B1(n_5469),
+	.Y(n_8020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433208 (
+	.A1(FE_OFN1661_n_7787),
+	.A2(n_2679),
+	.B1(n_5528),
+	.Y(n_8019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433209 (
+	.A1(FE_OFN1662_n_7789),
+	.A2(n_2679),
+	.B1(n_5531),
+	.Y(n_8018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433210 (
+	.A1(FE_OFN1663_n_7791),
+	.A2(n_2679),
+	.B1(n_5536),
+	.Y(n_8017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433211 (
+	.A1(FE_OFN1660_n_7785),
+	.A2(n_2679),
+	.B1(n_5577),
+	.Y(n_8016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433212 (
+	.A1(FE_OFN1664_n_7793),
+	.A2(n_2679),
+	.B1(n_5580),
+	.Y(n_8015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433213 (
+	.A1(n_1212),
+	.A2(FE_OFN1665_n_7795),
+	.B1(n_1963),
+	.Y(n_8014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433214 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1665_n_7795),
+	.B1(n_2342),
+	.Y(n_8013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433215 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1659_n_7783),
+	.B1(n_2335),
+	.Y(n_8012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433216 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1654_n_7773),
+	.B1(n_2334),
+	.Y(n_8011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433217 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1656_n_7777),
+	.B1(n_2333),
+	.Y(n_8010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433218 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1657_n_7779),
+	.B1(n_2332),
+	.Y(n_8009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433219 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1658_n_7781),
+	.B1(n_2384),
+	.Y(n_8008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433220 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1655_n_7775),
+	.B1(n_2329),
+	.Y(n_8007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433221 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1661_n_7787),
+	.B1(n_2326),
+	.Y(n_8006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433222 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1662_n_7789),
+	.B1(n_2325),
+	.Y(n_8005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433223 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1663_n_7791),
+	.B1(n_2324),
+	.Y(n_8004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433224 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1660_n_7785),
+	.B1(n_2400),
+	.Y(n_8003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433225 (
+	.A(n_7655),
+	.B(n_5597),
+	.C(n_2187),
+	.D(n_5559),
+	.Y(n_8002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433226 (
+	.A1(FE_OFN927_n_2421),
+	.A2(n_13563),
+	.B1(n_3085),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
+	.C1(n_7739),
+	.X(n_8001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433227 (
+	.A1(n_7253),
+	.A2(n_7808),
+	.B1(FE_OFN18321_n_6708),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[1]),
+	.Y(n_8000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433228 (
+	.A1_N(u_soc_u_top_u_core_debug_ebreakm),
+	.A2_N(n_1415),
+	.B1(FE_OFN1659_n_7783),
+	.B2(n_1415),
+	.Y(n_7999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433229 (
+	.A1(FE_OFN18321_n_6708),
+	.A2(FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15),
+	.B1(n_7923),
+	.Y(n_7998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433230 (
+	.A1(n_1216),
+	.A2(n_7820),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1384),
+	.Y(n_7997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433231 (
+	.A1(n_7794),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[5]),
+	.X(n_7996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433232 (
+	.A1(n_7782),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[15]),
+	.X(n_7995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433233 (
+	.A1(n_7772),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[16]),
+	.X(n_7994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433234 (
+	.A1(n_7776),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[18]),
+	.X(n_7993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433235 (
+	.A1(n_7778),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[19]),
+	.X(n_7992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433236 (
+	.A1(n_7780),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[22]),
+	.X(n_7991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433237 (
+	.A1(n_7774),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[23]),
+	.X(n_7990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433238 (
+	.A1(n_7786),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[24]),
+	.X(n_7989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433239 (
+	.A1(n_7788),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[25]),
+	.X(n_7988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433240 (
+	.A1(n_7790),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[26]),
+	.X(n_7987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433241 (
+	.A1(n_7784),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[28]),
+	.X(n_7986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433242 (
+	.A1(n_7792),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[31]),
+	.X(n_7985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433243 (
+	.A(n_7432),
+	.B(n_1981),
+	.C(n_2663),
+	.D(n_2969),
+	.Y(n_8090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433244 (
+	.A1(n_7806),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B1(n_7268),
+	.B2(n_28),
+	.Y(n_8088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433245 (
+	.A1(n_7806),
+	.A2(n_28),
+	.B1(n_7268),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_8087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g433246 (
+	.A(FE_OFN1000_n_6857),
+	.B(n_7392),
+	.C(FE_COEN4879_n_7799),
+	.Y(n_8086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433247 (
+	.A1(n_7639),
+	.A2(FE_OFN18215_n_116),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4786_n_13503),
+	.B2(n_1215),
+	.Y(n_8085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433248 (
+	.A1(n_7637),
+	.A2(n_639),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13524),
+	.B2(n_1215),
+	.Y(n_8083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433249 (
+	.A1(n_7638),
+	.A2(n_614),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13522),
+	.B2(n_1215),
+	.Y(n_8081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433250 (
+	.A1(n_7635),
+	.A2(FE_OFN18257_n_619),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13515),
+	.B2(n_1215),
+	.Y(n_8079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433251 (
+	.A1(n_7636),
+	.A2(FE_OFN18214_n_135),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13508),
+	.B2(n_1215),
+	.Y(n_8077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433252 (
+	.A1(n_7634),
+	.A2(n_119),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13525),
+	.B2(n_1215),
+	.Y(n_8075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433254 (
+	.A(n_7969),
+	.Y(n_7968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433256 (
+	.A(FE_OFN1663_n_7791),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433257 (
+	.A(FE_OFN1662_n_7789),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433258 (
+	.A(FE_OFN1661_n_7787),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433259 (
+	.A(FE_OFN1655_n_7775),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433260 (
+	.A(FE_OFN1658_n_7781),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433261 (
+	.A(FE_OFN1657_n_7779),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433262 (
+	.A(FE_OFN1656_n_7777),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433263 (
+	.A(FE_OFN1654_n_7773),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433264 (
+	.A(FE_OFN1659_n_7783),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433265 (
+	.A(FE_OFN1660_n_7785),
+	.B(n_2675),
+	.Y(n_7955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433266 (
+	.A(FE_OFN1663_n_7791),
+	.B(n_2675),
+	.Y(n_7954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433267 (
+	.A(FE_OFN1662_n_7789),
+	.B(n_2675),
+	.Y(n_7953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433268 (
+	.A(FE_OFN1661_n_7787),
+	.B(n_2675),
+	.Y(n_7952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433269 (
+	.A(FE_OFN1658_n_7781),
+	.B(n_2675),
+	.Y(n_7951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433270 (
+	.A(FE_OFN1655_n_7775),
+	.B(n_2675),
+	.Y(n_7950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433271 (
+	.A(FE_OFN1657_n_7779),
+	.B(n_2675),
+	.Y(n_7949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433272 (
+	.A(FE_OFN1656_n_7777),
+	.B(n_2675),
+	.Y(n_7948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433273 (
+	.A(FE_OFN1654_n_7773),
+	.B(n_2675),
+	.Y(n_7947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433274 (
+	.A(FE_OFN1659_n_7783),
+	.B(n_2675),
+	.Y(n_7946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433275 (
+	.A(FE_OFN1664_n_7793),
+	.B(n_2254),
+	.Y(n_7945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433276 (
+	.A(FE_OFN1660_n_7785),
+	.B(n_2254),
+	.Y(n_7944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433277 (
+	.A(FE_OFN1663_n_7791),
+	.B(n_2254),
+	.Y(n_7943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433278 (
+	.A(FE_OFN1662_n_7789),
+	.B(n_2254),
+	.Y(n_7942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433279 (
+	.A(FE_OFN1661_n_7787),
+	.B(n_2254),
+	.Y(n_7941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433280 (
+	.A(FE_OFN1655_n_7775),
+	.B(n_2254),
+	.Y(n_7940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433281 (
+	.A(FE_OFN1658_n_7781),
+	.B(n_2254),
+	.Y(n_7939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433282 (
+	.A(FE_OFN1657_n_7779),
+	.B(n_2254),
+	.Y(n_7938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433283 (
+	.A(FE_OFN1656_n_7777),
+	.B(n_2254),
+	.Y(n_7937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433284 (
+	.A(FE_OFN1654_n_7773),
+	.B(n_2254),
+	.Y(n_7936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433285 (
+	.A(FE_OFN1659_n_7783),
+	.B(n_2254),
+	.Y(n_7935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433286 (
+	.A(FE_OFN1664_n_7793),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433287 (
+	.A(FE_OFN1664_n_7793),
+	.B(n_2675),
+	.Y(n_7933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433288 (
+	.A(FE_OFN1665_n_7795),
+	.B(n_2677),
+	.Y(n_7932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433289 (
+	.A(FE_OFN1665_n_7795),
+	.B(n_2676),
+	.Y(n_7931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433290 (
+	.A(n_7705),
+	.B(n_5592),
+	.Y(n_7930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433291 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(n_7825),
+	.Y(n_7929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433292 (
+	.A(n_7654),
+	.B(n_5652),
+	.Y(n_7928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433293 (
+	.A(n_7703),
+	.B(n_5590),
+	.Y(n_7927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433294 (
+	.A(n_7803),
+	.B(n_5946),
+	.Y(n_7926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433295 (
+	.A(n_5946),
+	.B(FE_COEN4879_n_7799),
+	.Y(n_7925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433296 (
+	.A(n_7812),
+	.B(n_6873),
+	.Y(n_7924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433297 (
+	.A(n_6861),
+	.B(n_7796),
+	.Y(n_7923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433299 (
+	.A(FE_OFN1404_n_1848),
+	.B(FE_OFN1664_n_7793),
+	.Y(n_7983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g433301 (
+	.A(n_7808),
+	.B(n_7252),
+	.X(n_7982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433302 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
+	.B_N(n_7824),
+	.Y(n_7981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433303 (
+	.A(n_7395),
+	.B_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.Y(n_7980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433305 (
+	.A(n_7365),
+	.B(n_6404),
+	.C(n_1747),
+	.D(n_6702),
+	.Y(n_7979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433306 (
+	.A(n_7364),
+	.B(n_6405),
+	.C(n_1747),
+	.D(n_6701),
+	.Y(n_7978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433307 (
+	.A(n_7803),
+	.B(n_7814),
+	.Y(n_7977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433308 (
+	.A(n_7363),
+	.B(n_6406),
+	.C(n_1747),
+	.D(n_6699),
+	.Y(n_7976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433309 (
+	.A(n_7362),
+	.B(n_6689),
+	.C(n_1747),
+	.D(n_6407),
+	.Y(n_7975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433310 (
+	.A(n_7361),
+	.B(n_6408),
+	.C(n_1747),
+	.D(n_6687),
+	.Y(n_7974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433311 (
+	.A(n_7366),
+	.B(n_6703),
+	.C(n_1747),
+	.D(n_6403),
+	.Y(n_7973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433321 (
+	.A(n_7798),
+	.B(n_7625),
+	.Y(n_7972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433341 (
+	.A(n_7796),
+	.B(n_7618),
+	.Y(n_7971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433342 (
+	.A(n_7367),
+	.B(n_6704),
+	.C(n_1747),
+	.D(n_6402),
+	.Y(n_7969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433343 (
+	.A(FE_COEN4879_n_7799),
+	.B(n_7625),
+	.Y(n_7966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433348 (
+	.A(n_7606),
+	.B(n_7770),
+	.Y(n_7965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433349 (
+	.A(n_7917),
+	.Y(n_7918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433350 (
+	.A(FE_OFN1668_n_7913),
+	.Y(n_7912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433351 (
+	.A(FE_OFN1667_n_7911),
+	.Y(n_7910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433352 (
+	.A(FE_OFN1666_n_7909),
+	.Y(n_7908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433353 (
+	.A1(n_6604),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5225),
+	.C1(n_7376),
+	.D1(n_5570),
+	.Y(n_7906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433354 (
+	.A(FE_OFN1660_n_7785),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g433355 (
+	.A1(n_7270),
+	.A2(n_7632),
+	.B1(FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
+	.B2(n_7394),
+	.Y(n_7904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433356 (
+	.A1(n_2419),
+	.A2(n_6640),
+	.B1(n_2986),
+	.B2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.C1(n_7566),
+	.X(n_7903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g433357 (
+	.A_N(FE_COEN4876_n_7805),
+	.B(n_7628),
+	.Y(n_7902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g433358 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_7309),
+	.C(n_7825),
+	.Y(n_7901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433359 (
+	.A1(n_7612),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[14]),
+	.X(n_7900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433360 (
+	.A1_N(n_7635),
+	.A2_N(n_1216),
+	.B1(n_1179),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433361 (
+	.A1_N(n_7638),
+	.A2_N(n_1216),
+	.B1(n_1176),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433362 (
+	.A1_N(n_7637),
+	.A2_N(n_1216),
+	.B1(n_1200),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433363 (
+	.A1_N(n_7634),
+	.A2_N(n_1216),
+	.B1(n_1185),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g433364 (
+	.A1(n_1021),
+	.A2(FE_OFN976_n_5364),
+	.B1(n_7399),
+	.B2(FE_OFN1401_n_1217),
+	.C1(n_3049),
+	.Y(n_7895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433365 (
+	.A1(n_7602),
+	.A2(n_6923),
+	.B1(n_7809),
+	.Y(n_7894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433366 (
+	.A1(n_7554),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[10]),
+	.X(n_7893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433367 (
+	.A1(n_7556),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[9]),
+	.X(n_7892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433368 (
+	.A1(n_5939),
+	.A2(FE_OFN18449_n_15945),
+	.B1(n_5938),
+	.B2(n_13418),
+	.C1(n_7651),
+	.Y(n_7891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433369 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[4]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[4]),
+	.C1(n_7815),
+	.X(n_7890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433370 (
+	.A1(n_6794),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5131),
+	.C1(n_7377),
+	.D1(n_5567),
+	.Y(n_7889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433371 (
+	.A1(n_6798),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_5130),
+	.C1(n_7378),
+	.D1(n_5566),
+	.Y(n_7888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433372 (
+	.A1(n_5384),
+	.A2(n_5869),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.C1(n_7653),
+	.Y(n_7887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433373 (
+	.A1(n_5384),
+	.A2(n_5870),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.C1(n_7650),
+	.Y(n_7886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433374 (
+	.A1(n_6607),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_7656),
+	.Y(n_7885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433375 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1367),
+	.B1(n_2986),
+	.B2(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.C1(n_7559),
+	.Y(n_7884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433376 (
+	.A1(n_7616),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[6]),
+	.X(n_7883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433377 (
+	.A1(n_7614),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[4]),
+	.X(n_7882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433378 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1363),
+	.B1(n_2986),
+	.B2(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.C1(n_7562),
+	.Y(n_7881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433379 (
+	.A(n_2960),
+	.B(n_2616),
+	.C(n_7354),
+	.D(n_2617),
+	.Y(n_7880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433380 (
+	.A(n_2961),
+	.B(n_2615),
+	.C(n_7355),
+	.D(n_2634),
+	.Y(n_7879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433381 (
+	.A(n_2962),
+	.B(n_2614),
+	.C(n_7356),
+	.D(n_2646),
+	.Y(n_7878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433382 (
+	.A(n_2931),
+	.B(n_2625),
+	.C(n_7357),
+	.D(n_2613),
+	.Y(n_7877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433383 (
+	.A(n_2933),
+	.B(n_2671),
+	.C(n_7358),
+	.D(n_2628),
+	.Y(n_7876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433384 (
+	.A1(n_7619),
+	.A2(n_7270),
+	.B1(n_7359),
+	.Y(n_7875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433385 (
+	.A1(n_7610),
+	.A2(n_1405),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_csr_mtval[0]),
+	.X(n_7874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433386 (
+	.A1(FE_OFN18274_n_2418),
+	.A2(n_1392),
+	.B1(n_2986),
+	.B2(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+	.C1(n_7564),
+	.Y(n_7873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433387 (
+	.A1(n_5384),
+	.A2(n_3144),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.C1(n_7740),
+	.Y(n_7872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433388 (
+	.A(n_1655),
+	.B(n_1483),
+	.C(n_7579),
+	.Y(n_7871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433389 (
+	.A(n_1740),
+	.B(n_1508),
+	.C(n_7580),
+	.Y(n_7870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433390 (
+	.A(n_1668),
+	.B(n_1502),
+	.C(n_7581),
+	.Y(n_7869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433391 (
+	.A(n_1662),
+	.B(n_1492),
+	.C(n_7582),
+	.Y(n_7868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433392 (
+	.A(n_1654),
+	.B(n_1484),
+	.C(n_7583),
+	.Y(n_7867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433393 (
+	.A(n_1667),
+	.B(n_1490),
+	.C(n_7584),
+	.Y(n_7866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433394 (
+	.A(n_1653),
+	.B(n_1493),
+	.C(n_7585),
+	.Y(n_7865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433395 (
+	.A(n_1652),
+	.B(n_1501),
+	.C(n_7586),
+	.Y(n_7864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433396 (
+	.A(n_1651),
+	.B(n_1487),
+	.C(n_7587),
+	.Y(n_7863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433397 (
+	.A(n_1669),
+	.B(n_1504),
+	.C(n_7588),
+	.Y(n_7862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433398 (
+	.A(n_1650),
+	.B(n_1505),
+	.C(n_7589),
+	.Y(n_7861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433399 (
+	.A(n_1640),
+	.B(n_1500),
+	.C(n_7590),
+	.Y(n_7860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433400 (
+	.A(n_1649),
+	.B(n_1499),
+	.C(n_7591),
+	.Y(n_7859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433401 (
+	.A(n_1644),
+	.B(n_1498),
+	.C(n_7592),
+	.Y(n_7858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433402 (
+	.A(n_1648),
+	.B(n_1482),
+	.C(n_7593),
+	.Y(n_7857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433403 (
+	.A(n_1636),
+	.B(n_1506),
+	.C(n_7594),
+	.Y(n_7856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433404 (
+	.A(n_1647),
+	.B(n_1497),
+	.C(n_7595),
+	.Y(n_7855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433405 (
+	.A(n_1645),
+	.B(n_1488),
+	.C(n_7596),
+	.Y(n_7854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433406 (
+	.A(n_1629),
+	.B(n_1495),
+	.C(n_7597),
+	.Y(n_7853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433407 (
+	.A1(FE_OFN1650_n_7611),
+	.A2(n_2674),
+	.B1(n_5523),
+	.Y(n_7852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433408 (
+	.A1(FE_OFN1652_n_7615),
+	.A2(n_2674),
+	.B1(n_5519),
+	.Y(n_7851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433409 (
+	.A1(FE_OFN1653_n_7617),
+	.A2(n_2674),
+	.B1(n_5517),
+	.Y(n_7850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433410 (
+	.A1(FE_OFN1649_n_7557),
+	.A2(n_2674),
+	.B1(n_5514),
+	.Y(n_7849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433411 (
+	.A1(FE_OFN1648_n_7555),
+	.A2(n_2674),
+	.B1(n_5513),
+	.Y(n_7848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433412 (
+	.A1(n_1374),
+	.A2(n_6),
+	.B1(n_7351),
+	.C1(n_7373),
+	.D1(n_1496),
+	.Y(n_7847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433413 (
+	.A1(FE_OFN1651_n_7613),
+	.A2(FE_OFN18221_n_2674),
+	.B1(n_5509),
+	.Y(n_7846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433414 (
+	.A1(FE_OFN1650_n_7611),
+	.A2(n_2680),
+	.B1(n_5491),
+	.Y(n_7845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433415 (
+	.A1(FE_OFN1652_n_7615),
+	.A2(n_2680),
+	.B1(n_5487),
+	.Y(n_7844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433416 (
+	.A1(FE_OFN1653_n_7617),
+	.A2(n_2680),
+	.B1(n_5485),
+	.Y(n_7843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433417 (
+	.A1(FE_OFN1649_n_7557),
+	.A2(n_2680),
+	.B1(n_5482),
+	.Y(n_7842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433418 (
+	.A1(FE_OFN1648_n_7555),
+	.A2(n_2680),
+	.B1(n_5481),
+	.Y(n_7841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433419 (
+	.A1(FE_OFN1651_n_7613),
+	.A2(n_2679),
+	.B1(n_5477),
+	.Y(n_7840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433420 (
+	.A1(n_1212),
+	.A2(FE_OFN1652_n_7615),
+	.B1(n_1962),
+	.Y(n_7839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433421 (
+	.A1(n_1212),
+	.A2(FE_OFN1653_n_7617),
+	.B1(n_1964),
+	.Y(n_7838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433422 (
+	.A1(n_1212),
+	.A2(FE_OFN1649_n_7557),
+	.B1(n_1967),
+	.Y(n_7837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433423 (
+	.A1(n_1212),
+	.A2(FE_OFN1648_n_7555),
+	.B1(n_1968),
+	.Y(n_7836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433424 (
+	.A1(n_1212),
+	.A2(FE_OFN1651_n_7613),
+	.B1(n_1971),
+	.Y(n_7835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433425 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1653_n_7617),
+	.B1(n_2341),
+	.Y(n_7834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433426 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1649_n_7557),
+	.B1(n_2340),
+	.Y(n_7833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433427 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1648_n_7555),
+	.B1(n_2339),
+	.Y(n_7832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433428 (
+	.A1(n_7038),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_7679),
+	.Y(n_7831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433429 (
+	.A1(FE_OFN1404_n_1848),
+	.A2(FE_OFN1651_n_7613),
+	.B1(n_2336),
+	.Y(n_7830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433430 (
+	.A1(n_7642),
+	.A2(n_5963),
+	.B1(n_6708),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[8]),
+	.Y(n_7829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433431 (
+	.A1(n_7610),
+	.A2(n_1847),
+	.B1(n_1406),
+	.B2(u_soc_u_top_u_core_exc_cause[0]),
+	.X(n_7828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433432 (
+	.A1(n_1216),
+	.A2(n_7639),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1346),
+	.Y(n_7827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433433 (
+	.A1(n_1216),
+	.A2(n_7636),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1382),
+	.Y(n_7826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433434 (
+	.A1(n_7277),
+	.A2(n_1751),
+	.B1(n_3084),
+	.Y(n_7922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g433435 (
+	.A1(n_6861),
+	.A2(n_7626),
+	.B1(n_7624),
+	.X(n_7921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433436 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.C1(n_7737),
+	.Y(n_7920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433437 (
+	.A(FE_OFN1000_n_6857),
+	.B(n_7683),
+	.Y(n_7919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433438 (
+	.A1(n_7254),
+	.A2(n_6856),
+	.B1(n_7813),
+	.Y(n_7917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433439 (
+	.A1(n_15912),
+	.A2(n_6923),
+	.B1(n_7809),
+	.Y(n_7916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433440 (
+	.A(FE_OFN1000_n_6857),
+	.B_N(FE_COEN4876_n_7805),
+	.Y(n_7915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g433441 (
+	.A1(n_7255),
+	.A2(n_7273),
+	.B1(n_7386),
+	.C1(n_7620),
+	.Y(n_7914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433442 (
+	.A1(n_7402),
+	.A2(n_634),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_COEN4313_n_13496),
+	.B2(n_1215),
+	.Y(n_7913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433443 (
+	.A1(n_7398),
+	.A2(FE_OFN18223_n_109),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4142_n_13502),
+	.B2(n_1215),
+	.Y(n_7911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433444 (
+	.A1(n_7397),
+	.A2(FE_OFN18234_n_113),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4379_n_13507),
+	.B2(n_1215),
+	.Y(n_7909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433445 (
+	.A(n_7771),
+	.B(FE_OFN18202_n_7609),
+	.Y(n_7907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433446 (
+	.A(n_7395),
+	.Y(n_7825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433447 (
+	.A(FE_COEN4877_n_5828),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433448 (
+	.A(FE_OFN1614_n_5826),
+	.B(n_7609),
+	.Y(n_7822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433449 (
+	.A1(n_7342),
+	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B1(n_7338),
+	.Y(n_7821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433578 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
+	.B_N(n_7277),
+	.Y(n_7824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433605 (
+	.A(FE_COEN4879_n_7799),
+	.Y(n_7798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433606 (
+	.A(FE_OFN1665_n_7795),
+	.Y(n_7794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433607 (
+	.A(FE_OFN1664_n_7793),
+	.Y(n_7792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433608 (
+	.A(FE_OFN1663_n_7791),
+	.Y(n_7790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433609 (
+	.A(FE_OFN1662_n_7789),
+	.Y(n_7788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433610 (
+	.A(FE_OFN1661_n_7787),
+	.Y(n_7786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433611 (
+	.A(FE_OFN1660_n_7785),
+	.Y(n_7784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433612 (
+	.A(FE_OFN1659_n_7783),
+	.Y(n_7782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433613 (
+	.A(FE_OFN1658_n_7781),
+	.Y(n_7780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433614 (
+	.A(FE_OFN1657_n_7779),
+	.Y(n_7778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433615 (
+	.A(FE_OFN1656_n_7777),
+	.Y(n_7776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433616 (
+	.A(FE_OFN1655_n_7775),
+	.Y(n_7774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433617 (
+	.A(FE_OFN1654_n_7773),
+	.Y(n_7772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433618 (
+	.A(FE_OFN1648_n_7555),
+	.B(n_2678),
+	.Y(n_7769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433619 (
+	.A(n_5832),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433620 (
+	.A(FE_OFN1616_n_5834),
+	.B(n_7609),
+	.Y(n_7767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433621 (
+	.A(FE_OFN1617_n_5836),
+	.B(n_7609),
+	.Y(n_7766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433622 (
+	.A(FE_COEN4299_n_5838),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433623 (
+	.A(n_5840),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433624 (
+	.A(n_5841),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433625 (
+	.A(n_5844),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433626 (
+	.A(FE_OFN1618_n_5846),
+	.B(n_7609),
+	.Y(n_7761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433627 (
+	.A(n_5848),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433628 (
+	.A(FE_OFN18969_n_5850),
+	.B(n_7609),
+	.Y(n_7759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433629 (
+	.A(FE_OFN1619_n_5852),
+	.B(n_7609),
+	.Y(n_7758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433630 (
+	.A(FE_COEN4266_n_5854),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433631 (
+	.A(FE_OFN1620_n_5856),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433632 (
+	.A(FE_OFN1614_n_5826),
+	.B(n_7607),
+	.Y(n_7755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433633 (
+	.A(FE_COEN4877_n_5828),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433634 (
+	.A(FE_OFN1615_n_5830),
+	.B(n_7607),
+	.Y(n_7753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433635 (
+	.A(n_5832),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433636 (
+	.A(FE_OFN1616_n_5834),
+	.B(n_7607),
+	.Y(n_7751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433637 (
+	.A(FE_OFN1617_n_5836),
+	.B(n_7607),
+	.Y(n_7750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433638 (
+	.A(FE_COEN4299_n_5838),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433639 (
+	.A(n_5840),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433640 (
+	.A(n_5844),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433641 (
+	.A(FE_OFN1618_n_5846),
+	.B(n_7607),
+	.Y(n_7746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433642 (
+	.A(n_5848),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433643 (
+	.A(FE_OFN18969_n_5850),
+	.B(n_7607),
+	.Y(n_7744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433644 (
+	.A(FE_OFN1619_n_5852),
+	.B(n_7607),
+	.Y(n_7743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433645 (
+	.A(FE_COEN4266_n_5854),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433646 (
+	.A(FE_OFN1620_n_5856),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433647 (
+	.A1(n_6826),
+	.A2(n_2420),
+	.B1(n_3044),
+	.C1(n_5582),
+	.D1(n_7244),
+	.Y(n_7740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433648 (
+	.A(n_7339),
+	.B(n_1751),
+	.Y(n_7739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433649 (
+	.A(n_7164),
+	.B(n_6773),
+	.C(n_5652),
+	.D(n_6242),
+	.Y(n_7738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433650 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[11]),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[11]),
+	.C1(n_7352),
+	.X(n_7737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433651 (
+	.A(n_1656),
+	.B(n_7601),
+	.Y(n_7736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433652 (
+	.A(n_7167),
+	.B(n_6771),
+	.C(n_5652),
+	.D(n_6240),
+	.Y(n_7735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433653 (
+	.A(n_3013),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433654 (
+	.A(FE_OFN1609_n_2989),
+	.B(n_7609),
+	.Y(n_7733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433655 (
+	.A(FE_OFN1610_n_2991),
+	.B(n_7609),
+	.Y(n_7732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433656 (
+	.A(n_2992),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433657 (
+	.A(FE_OFN18968_n_2994),
+	.B(n_7609),
+	.Y(n_7730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433658 (
+	.A(n_2997),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433659 (
+	.A(n_2999),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433660 (
+	.A(FE_OFN1611_n_3001),
+	.B(n_7609),
+	.Y(n_7727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433661 (
+	.A(n_3004),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433662 (
+	.A(FE_OFN1613_n_3011),
+	.B(n_7609),
+	.Y(n_7725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433663 (
+	.A(FE_OFN1612_n_3007),
+	.B(n_7609),
+	.Y(n_7724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433664 (
+	.A(n_3009),
+	.B(FE_OFN18203_n_7609),
+	.Y(n_7723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433665 (
+	.A(n_7172),
+	.B(n_6769),
+	.C(n_5652),
+	.D(n_6238),
+	.Y(n_7722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433666 (
+	.A1(n_1216),
+	.A2(n_7397),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1378),
+	.Y(n_7721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g433667 (
+	.A1(n_1216),
+	.A2(n_7402),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1344),
+	.Y(n_7720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433668 (
+	.A(n_3013),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433669 (
+	.A(FE_OFN1609_n_2989),
+	.B(n_7607),
+	.Y(n_7718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433670 (
+	.A(FE_OFN1610_n_2991),
+	.B(n_7607),
+	.Y(n_7717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433671 (
+	.A(n_2997),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433672 (
+	.A(n_2999),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433673 (
+	.A(FE_OFN1611_n_3001),
+	.B(n_7607),
+	.Y(n_7714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433674 (
+	.A(FE_OFN1613_n_3011),
+	.B(n_7607),
+	.Y(n_7713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433675 (
+	.A(FE_OFN1612_n_3007),
+	.B(n_7607),
+	.Y(n_7712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433676 (
+	.A(n_3009),
+	.B(FE_OFN18328_n_7607),
+	.Y(n_7711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433677 (
+	.A(n_7163),
+	.B(n_6243),
+	.C(n_5652),
+	.D(n_6575),
+	.Y(n_7710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433678 (
+	.A(n_7630),
+	.B(n_5946),
+	.Y(n_7709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g433679 (
+	.A(n_7256),
+	.B(n_6856),
+	.C(n_7392),
+	.Y(n_7708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433680 (
+	.A1(n_1216),
+	.A2(n_7294),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.C1(n_5926),
+	.Y(n_7707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433681 (
+	.A(n_7175),
+	.B(n_6766),
+	.C(n_5652),
+	.D(n_6236),
+	.Y(n_7706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433682 (
+	.A1(n_1216),
+	.A2(n_7284),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.C1(n_5925),
+	.Y(n_7705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433683 (
+	.A(n_7202),
+	.B(n_6787),
+	.C(n_5652),
+	.D(n_6256),
+	.Y(n_7704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433684 (
+	.A1(n_1216),
+	.A2(n_7285),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.C1(n_5918),
+	.Y(n_7703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433685 (
+	.A(FE_OFN1652_n_7615),
+	.B(n_2676),
+	.Y(n_7702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433686 (
+	.A(FE_OFN1653_n_7617),
+	.B(n_2676),
+	.Y(n_7701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433687 (
+	.A(FE_OFN1652_n_7615),
+	.B(n_2677),
+	.Y(n_7700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433688 (
+	.A(FE_OFN1653_n_7617),
+	.B(n_2677),
+	.Y(n_7699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433689 (
+	.A(n_5606),
+	.B(n_2909),
+	.C(n_7014),
+	.D(n_6693),
+	.Y(n_7698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433690 (
+	.A(n_7179),
+	.B(n_6764),
+	.C(n_5652),
+	.D(n_6234),
+	.Y(n_7697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433691 (
+	.A(FE_OFN1650_n_7611),
+	.B(n_2676),
+	.Y(n_7696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433692 (
+	.A(FE_OFN1649_n_7557),
+	.B(n_2676),
+	.Y(n_7695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433693 (
+	.A(FE_OFN1648_n_7555),
+	.B(n_2676),
+	.Y(n_7694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433694 (
+	.A(FE_OFN1651_n_7613),
+	.B(n_2675),
+	.Y(n_7693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433695 (
+	.A(FE_OFN1650_n_7611),
+	.B(n_2677),
+	.Y(n_7692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433696 (
+	.A(FE_OFN1649_n_7557),
+	.B(n_2678),
+	.Y(n_7691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433697 (
+	.A(FE_OFN1615_n_5830),
+	.B(n_7609),
+	.Y(n_7690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433698 (
+	.A(FE_OFN1651_n_7613),
+	.B(FE_OFN18236_n_2678),
+	.Y(n_7689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433699 (
+	.A(n_7166),
+	.B(n_6241),
+	.C(n_5652),
+	.D(n_6573),
+	.Y(n_7688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433700 (
+	.A1(n_6856),
+	.A2(n_7257),
+	.B1(n_7627),
+	.Y(n_7687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433701 (
+	.A(FE_OFN1649_n_7557),
+	.B(n_2254),
+	.Y(n_7686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433702 (
+	.A(FE_OFN1648_n_7555),
+	.B(n_2254),
+	.Y(n_7685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433703 (
+	.A(FE_OFN1651_n_7613),
+	.B(n_2254),
+	.Y(n_7684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g433704 (
+	.A(n_7271),
+	.B(n_7254),
+	.C(n_7391),
+	.Y(n_7683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433706 (
+	.A(n_7201),
+	.B(n_6786),
+	.C(n_5652),
+	.D(n_6254),
+	.Y(n_7681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433707 (
+	.A(n_7169),
+	.B(n_6239),
+	.C(n_5652),
+	.D(n_6571),
+	.Y(n_7680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433708 (
+	.A1(n_1216),
+	.A2(n_7288),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1345),
+	.C1(n_5539),
+	.Y(n_7679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433709 (
+	.A(n_7188),
+	.B(n_6761),
+	.C(n_5652),
+	.D(n_6232),
+	.Y(n_7678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433710 (
+	.A(n_7246),
+	.B(n_6257),
+	.C(n_5652),
+	.D(n_6587),
+	.Y(n_7677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433711 (
+	.A(n_7145),
+	.B(n_6255),
+	.C(n_5652),
+	.D(n_6585),
+	.Y(n_7676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433712 (
+	.A(n_7144),
+	.B(n_6784),
+	.C(n_5652),
+	.D(n_6252),
+	.Y(n_7675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433713 (
+	.A(n_7143),
+	.B(n_6251),
+	.C(n_5652),
+	.D(n_6582),
+	.Y(n_7674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433714 (
+	.A(n_7142),
+	.B(n_6781),
+	.C(n_5652),
+	.D(n_6250),
+	.Y(n_7673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433715 (
+	.A(n_7141),
+	.B(n_6249),
+	.C(n_5652),
+	.D(n_6581),
+	.Y(n_7672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433716 (
+	.A(n_7140),
+	.B(n_6778),
+	.C(n_5652),
+	.D(n_6580),
+	.Y(n_7671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433717 (
+	.A(n_7139),
+	.B(n_6247),
+	.C(n_5652),
+	.D(n_6579),
+	.Y(n_7670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433718 (
+	.A(n_7146),
+	.B(n_6777),
+	.C(n_5652),
+	.D(n_6246),
+	.Y(n_7669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433719 (
+	.A(n_7160),
+	.B(n_6245),
+	.C(n_5652),
+	.D(n_6577),
+	.Y(n_7668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433720 (
+	.A(n_7161),
+	.B(n_6775),
+	.C(n_5652),
+	.D(n_6244),
+	.Y(n_7667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433721 (
+	.A(n_7173),
+	.B(n_6237),
+	.C(n_5652),
+	.D(n_6569),
+	.Y(n_7666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433722 (
+	.A(n_7177),
+	.B(n_6235),
+	.C(n_5652),
+	.D(n_6568),
+	.Y(n_7665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433723 (
+	.A(n_7181),
+	.B(n_6233),
+	.C(n_5652),
+	.D(n_6566),
+	.Y(n_7664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433724 (
+	.A(n_7190),
+	.B(n_6231),
+	.C(n_5652),
+	.D(n_6564),
+	.Y(n_7663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433725 (
+	.A(n_7192),
+	.B(n_6759),
+	.C(n_5652),
+	.D(n_6230),
+	.Y(n_7662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433726 (
+	.A(n_7193),
+	.B(n_6229),
+	.C(n_5652),
+	.D(n_6562),
+	.Y(n_7661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433727 (
+	.A(n_7197),
+	.B(n_6228),
+	.C(n_5652),
+	.D(n_6560),
+	.Y(n_7660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433729 (
+	.A1(n_6928),
+	.A2(n_1465),
+	.B1(n_7633),
+	.Y(n_7658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g433730 (
+	.A1(u_soc_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.A2(n_6924),
+	.B1(n_7631),
+	.Y(n_7657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433731 (
+	.A1(n_2986),
+	.A2(FE_OFN18195_u_soc_lsu_to_xbar_a_address__31),
+	.B1(n_1746),
+	.B2(FE_PSN4663_FE_OFN18361_n),
+	.C1(n_7568),
+	.Y(n_7656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433732 (
+	.A1(n_1216),
+	.A2(n_7281),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1839),
+	.C1(n_7379),
+	.Y(n_7655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433733 (
+	.A1(FE_OFN997_n_6493),
+	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.C1(n_7551),
+	.Y(n_7654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433734 (
+	.A1(n_6825),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_7600),
+	.Y(n_7653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433735 (
+	.A1(n_7341),
+	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B1(n_7353),
+	.Y(n_7652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433736 (
+	.A1(n_1846),
+	.A2(n_3055),
+	.B1(n_6360),
+	.C1(n_6837),
+	.D1(n_7350),
+	.Y(n_7651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g433737 (
+	.A1(n_6805),
+	.A2(FE_OFN18240_n_2420),
+	.B1(n_7287),
+	.B2(FE_OFN1401_n_1217),
+	.C1(n_5899),
+	.Y(n_7650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g433738 (
+	.A(n_7389),
+	.B(n_7187),
+	.C(n_7265),
+	.X(n_7649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433739 (
+	.A(n_7240),
+	.B(n_2939),
+	.C(n_1503),
+	.D(n_1985),
+	.Y(n_7820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g433740 (
+	.A(n_6860),
+	.B(n_7626),
+	.X(n_7819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433741 (
+	.A(n_5955),
+	.B(n_7618),
+	.Y(n_7818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433742 (
+	.A(n_7062),
+	.B(n_2355),
+	.C(n_2655),
+	.D(n_2915),
+	.Y(n_7817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433743 (
+	.A(n_7064),
+	.B(n_2392),
+	.C(n_2633),
+	.D(n_2927),
+	.Y(n_7816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433744 (
+	.A(FE_OFN1404_n_1848),
+	.B(FE_OFN1652_n_7615),
+	.Y(n_7815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433745 (
+	.A(n_7265),
+	.B(n_7618),
+	.Y(n_7814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433746 (
+	.A(n_7632),
+	.B_N(n_7270),
+	.Y(n_7813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433747 (
+	.A(n_7382),
+	.B(n_7624),
+	.Y(n_7812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433748 (
+	.A1(n_7265),
+	.A2(n_7390),
+	.B1(n_7255),
+	.Y(n_7811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433749 (
+	.A(n_7627),
+	.B(n_6861),
+	.Y(n_7810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g433750 (
+	.A(n_7625),
+	.B(n_7401),
+	.X(n_7809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g433751 (
+	.A_N(n_7632),
+	.B(n_7265),
+	.Y(n_7808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433752 (
+	.A(n_5626),
+	.B(n_5252),
+	.C(n_1747),
+	.D(n_7132),
+	.Y(n_7807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433753 (
+	.A(n_5634),
+	.B(n_5248),
+	.C(n_1747),
+	.D(n_7131),
+	.Y(n_7806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g433754 (
+	.A(n_6866),
+	.B(n_6870),
+	.C(n_7297),
+	.D(n_6864),
+	.Y(n_7805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433755 (
+	.A(n_5625),
+	.B(n_5254),
+	.C(n_1747),
+	.D(n_7133),
+	.Y(n_7804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433756 (
+	.A(n_6856),
+	.B(n_7618),
+	.Y(n_7803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433757 (
+	.A(n_5614),
+	.B(n_5263),
+	.C(n_1747),
+	.D(n_7134),
+	.Y(n_7802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433758 (
+	.A(n_5611),
+	.B(n_5267),
+	.C(n_1747),
+	.D(n_7135),
+	.Y(n_7801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433759 (
+	.A(n_5605),
+	.B(n_5272),
+	.C(n_1747),
+	.D(n_7136),
+	.Y(n_7800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g433760 (
+	.A(n_6864),
+	.B(n_6866),
+	.C(n_6871),
+	.D(n_7297),
+	.Y(n_7799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g433761 (
+	.A(n_5636),
+	.B(n_5245),
+	.C(n_1747),
+	.D(n_7130),
+	.Y(n_7797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 g433762 (
+	.A(n_7396),
+	.B(n_7561),
+	.Y(n_7796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433763 (
+	.A1(n_7288),
+	.A2(FE_OFN18442_n_112),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4352_n_13500),
+	.B2(n_1215),
+	.Y(n_7795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433764 (
+	.A1(n_7293),
+	.A2(FE_OFN1398_n_141),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13526),
+	.B2(n_1215),
+	.Y(n_7793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433765 (
+	.A1(n_7291),
+	.A2(n_622),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13521),
+	.B2(n_1215),
+	.Y(n_7791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433766 (
+	.A1(n_7280),
+	.A2(n_128),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13520),
+	.B2(n_1215),
+	.Y(n_7789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433767 (
+	.A1(n_7281),
+	.A2(n_635),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13519),
+	.B2(n_1215),
+	.Y(n_7787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433768 (
+	.A1(n_7292),
+	.A2(n_134),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13523),
+	.B2(n_1215),
+	.Y(n_7785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433769 (
+	.A1(n_7286),
+	.A2(FE_OFN18252_n_625),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_COEN4683_n_13510),
+	.B2(n_1215),
+	.Y(n_7783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433770 (
+	.A1(n_7283),
+	.A2(n_624),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13517),
+	.B2(n_1215),
+	.Y(n_7781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433771 (
+	.A1(n_7294),
+	.A2(FE_OFN18206_n_638),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13514),
+	.B2(n_1215),
+	.Y(n_7779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433772 (
+	.A1(n_7284),
+	.A2(n_637),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4151_n_13513),
+	.B2(n_1215),
+	.Y(n_7777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433773 (
+	.A1(n_7282),
+	.A2(FE_OFN18211_n_620),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13518),
+	.B2(n_1215),
+	.Y(n_7775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433774 (
+	.A1(n_7285),
+	.A2(FE_OFN18235_n_130),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13511),
+	.B2(n_1215),
+	.Y(n_7773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433775 (
+	.A1(n_7347),
+	.A2(n_47),
+	.B1(n_6920),
+	.B2(n_5707),
+	.X(n_7771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g433776 (
+	.A1(n_7348),
+	.A2(n_661),
+	.B1_N(n_7289),
+	.X(n_7770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433777 (
+	.A(n_7578),
+	.Y(n_7648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433778 (
+	.A(n_7577),
+	.Y(n_7647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433779 (
+	.A(n_7576),
+	.Y(n_7646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433780 (
+	.A(n_7575),
+	.Y(n_7645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433781 (
+	.A(n_7574),
+	.Y(n_7644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g433782 (
+	.A(n_7572),
+	.Y(n_7643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433786 (
+	.A(FE_OFN1653_n_7617),
+	.Y(n_7616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433787 (
+	.A(FE_OFN1652_n_7615),
+	.Y(n_7614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433788 (
+	.A(FE_OFN1651_n_7613),
+	.Y(n_7612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433789 (
+	.A(FE_OFN1650_n_7611),
+	.Y(n_7610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433792 (
+	.A(n_1295),
+	.B(n_7370),
+	.Y(n_7605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433793 (
+	.A(n_1296),
+	.B(n_7369),
+	.Y(n_7604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433794 (
+	.A(n_6785),
+	.B(n_6253),
+	.C(n_5652),
+	.D(n_6852),
+	.Y(n_7603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g433795 (
+	.A1(n_7256),
+	.A2(FE_OFN1001_n_6859),
+	.B1(n_6861),
+	.Y(n_7602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433796 (
+	.A1(n_13577),
+	.A2(FE_OFN1503_n_6915),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[1]),
+	.C1(n_6919),
+	.C2(u_soc_u_top_u_core_pc_if[1]),
+	.Y(n_7601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g433797 (
+	.A1(n_1216),
+	.A2(n_6932),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1388),
+	.C1(n_5562),
+	.Y(n_7600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g433798 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.D(n_6822),
+	.X(n_7599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g433799 (
+	.A(FE_COEN4335_n_13401),
+	.B(n_13400),
+	.C(n_13406),
+	.D(n_6823),
+	.X(n_7598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433801 (
+	.A1(u_soc_u_top_u_core_pc_if[30]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[30]),
+	.C1(n_13606),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433802 (
+	.A1(u_soc_u_top_u_core_pc_if[28]),
+	.A2(n_6919),
+	.B1(n_13604),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[28]),
+	.Y(n_7595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433803 (
+	.A1(u_soc_u_top_u_core_pc_if[27]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[27]),
+	.C1(n_13603),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433804 (
+	.A1(u_soc_u_top_u_core_pc_if[26]),
+	.A2(n_6919),
+	.B1(n_13602),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[26]),
+	.Y(n_7593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433805 (
+	.A1(u_soc_u_top_u_core_pc_if[25]),
+	.A2(n_6919),
+	.B1(n_13601),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[25]),
+	.Y(n_7592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433806 (
+	.A1(u_soc_u_top_u_core_pc_if[24]),
+	.A2(n_6919),
+	.B1(n_13600),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[24]),
+	.Y(n_7591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433807 (
+	.A1(u_soc_u_top_u_core_pc_if[23]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[23]),
+	.C1(n_13599),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433808 (
+	.A1(u_soc_u_top_u_core_pc_if[22]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[22]),
+	.C1(n_13598),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433809 (
+	.A1(u_soc_u_top_u_core_pc_if[21]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[21]),
+	.C1(n_13597),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433810 (
+	.A1(u_soc_u_top_u_core_pc_if[20]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[20]),
+	.C1(n_13596),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433811 (
+	.A1(u_soc_u_top_u_core_pc_if[19]),
+	.A2(n_6919),
+	.B1(n_13595),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[19]),
+	.Y(n_7586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433812 (
+	.A1(u_soc_u_top_u_core_pc_if[18]),
+	.A2(n_6919),
+	.B1(n_13594),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[18]),
+	.Y(n_7585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433813 (
+	.A1(u_soc_u_top_u_core_pc_if[17]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[17]),
+	.C1(n_13593),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433814 (
+	.A1(u_soc_u_top_u_core_pc_if[16]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[16]),
+	.C1(n_13592),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433815 (
+	.A1(u_soc_u_top_u_core_pc_if[15]),
+	.A2(n_6919),
+	.B1(n_13591),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[15]),
+	.Y(n_7582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433816 (
+	.A1(u_soc_u_top_u_core_pc_if[14]),
+	.A2(n_6919),
+	.B1(n_13590),
+	.B2(FE_OFN1503_n_6915),
+	.C1(n_1220),
+	.C2(u_soc_u_top_u_core_csr_depc[14]),
+	.Y(n_7581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433817 (
+	.A1(u_soc_u_top_u_core_pc_if[13]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[13]),
+	.C1(n_13589),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433818 (
+	.A1(u_soc_u_top_u_core_pc_if[12]),
+	.A2(n_6919),
+	.B1(n_1220),
+	.B2(u_soc_u_top_u_core_csr_depc[12]),
+	.C1(n_13588),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433819 (
+	.A1(u_soc_u_top_u_core_pc_if[11]),
+	.A2(n_6919),
+	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B2(n_13530),
+	.C1(n_13587),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433820 (
+	.A1(u_soc_u_top_u_core_pc_if[10]),
+	.A2(n_6919),
+	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B2(n_13529),
+	.C1(n_13586),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433821 (
+	.A1(u_soc_u_top_u_core_pc_if[8]),
+	.A2(n_6919),
+	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B2(n_13527),
+	.C1(n_13584),
+	.C2(FE_OFN1503_n_6915),
+	.Y(n_7576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433822 (
+	.A1(n_13582),
+	.A2(FE_OFN1503_n_6915),
+	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B2(n_13533),
+	.C1(n_6919),
+	.C2(u_soc_u_top_u_core_pc_if[6]),
+	.Y(n_7575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433823 (
+	.A1(n_13580),
+	.A2(FE_OFN1503_n_6915),
+	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B2(n_13532),
+	.C1(n_6919),
+	.C2(u_soc_u_top_u_core_pc_if[4]),
+	.Y(n_7574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433824 (
+	.A(n_1294),
+	.B(n_7371),
+	.Y(n_7573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g433825 (
+	.A1(n_13578),
+	.A2(FE_OFN1503_n_6915),
+	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B2(n_13531),
+	.C1(n_6919),
+	.C2(u_soc_u_top_u_core_pc_if[2]),
+	.Y(n_7572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433826 (
+	.A(n_7388),
+	.B(FE_OFN18258_n_7382),
+	.Y(n_7571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433827 (
+	.A(n_1298),
+	.B(n_7372),
+	.Y(n_7570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g433828 (
+	.A1(n_5989),
+	.A2(n_143),
+	.B1(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.C1(n_6927),
+	.Y(n_7569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433829 (
+	.A1_N(n_7293),
+	.A2_N(n_1216),
+	.B1(n_1209),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g433830 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6886),
+	.C(n_6924),
+	.Y(n_7567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g433831 (
+	.A1(n_1178),
+	.A2(FE_OFN976_n_5364),
+	.B1(n_7375),
+	.Y(n_7566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433832 (
+	.A(FE_PSN4101_n_7253),
+	.B(n_7393),
+	.Y(n_7565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433833 (
+	.A1_N(n_7280),
+	.A2_N(n_1216),
+	.B1(n_1173),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433834 (
+	.A(n_6871),
+	.B(n_7382),
+	.Y(n_7563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433835 (
+	.A1_N(n_7291),
+	.A2_N(n_1216),
+	.B1(n_1177),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433836 (
+	.A(n_7394),
+	.B(n_6868),
+	.Y(n_7561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433837 (
+	.A(n_7396),
+	.B(n_6858),
+	.Y(n_7560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g433838 (
+	.A1_N(n_7292),
+	.A2_N(n_1216),
+	.B1(n_1175),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_7559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433839 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
+	.B(n_6927),
+	.Y(n_7558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433872 (
+	.A(n_7386),
+	.B(FE_OFN18264_n_7388),
+	.Y(n_7642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433875 (
+	.A(n_6861),
+	.B(n_7383),
+	.Y(n_7641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433877 (
+	.A(FE_OFN18302_n_6869),
+	.B(n_7394),
+	.Y(n_7640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433878 (
+	.A(n_6840),
+	.B(n_2930),
+	.C(n_2546),
+	.D(n_1799),
+	.Y(n_7639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433881 (
+	.A(n_7207),
+	.B(n_2906),
+	.C(n_2641),
+	.Y(n_7638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433882 (
+	.A(n_7208),
+	.B(n_2904),
+	.C(n_2637),
+	.Y(n_7637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433883 (
+	.A(n_6854),
+	.B(n_2929),
+	.C(n_2136),
+	.D(n_1807),
+	.Y(n_7636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433884 (
+	.A(n_6853),
+	.B(n_2917),
+	.C(n_2546),
+	.D(n_2657),
+	.Y(n_7635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g433886 (
+	.A(n_6849),
+	.B(n_2903),
+	.C(n_2546),
+	.D(n_2635),
+	.Y(n_7634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433890 (
+	.A(n_1465),
+	.B(n_6928),
+	.Y(n_7633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433891 (
+	.A(n_7389),
+	.B(n_6856),
+	.Y(n_7632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433893 (
+	.A(n_6924),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.Y(n_7631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433894 (
+	.A(n_7382),
+	.B(n_7386),
+	.Y(n_7630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g433896 (
+	.A(n_7383),
+	.B_N(n_15912),
+	.Y(n_7628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433897 (
+	.A(n_6858),
+	.B(n_7383),
+	.Y(n_7627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433901 (
+	.A(FE_OFN18299_n_15911),
+	.B(n_6858),
+	.Y(n_7626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433903 (
+	.A(FE_PSN4101_n_7253),
+	.B(n_7391),
+	.Y(n_7625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433931 (
+	.A(FE_OFN18302_n_6869),
+	.B(n_7393),
+	.Y(n_7624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433934 (
+	.A(FE_OFN18258_n_7382),
+	.B(n_6856),
+	.Y(n_7622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g433935 (
+	.A(FE_OFN18302_n_6869),
+	.B(n_7271),
+	.C(n_6863),
+	.Y(n_7620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g433936 (
+	.A(n_7390),
+	.B(n_7252),
+	.Y(n_7619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433937 (
+	.A1(n_6884),
+	.A2(n_118),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_COEN4276_n_13501),
+	.B2(n_1215),
+	.Y(n_7617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433938 (
+	.A1(n_6930),
+	.A2(n_117),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_COEN4300_n_13499),
+	.B2(n_1215),
+	.Y(n_7615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433939 (
+	.A1(n_6932),
+	.A2(FE_OFN18225_n_616),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13509),
+	.B2(n_1215),
+	.Y(n_7613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g433940 (
+	.A1(n_6933),
+	.A2(n_157),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_OFN18326_n_13495),
+	.B2(n_1215),
+	.Y(n_7611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g433942 (
+	.A(n_7360),
+	.B(n_47),
+	.Y(n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g433943 (
+	.A1(n_6921),
+	.A2(n_5693),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
+	.C1(n_7290),
+	.X(n_7607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433944 (
+	.A(FE_OFN1649_n_7557),
+	.Y(n_7556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433945 (
+	.A(FE_OFN1648_n_7555),
+	.Y(n_7554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433946 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
+	.X(n_7553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433947 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
+	.X(n_7552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g433948 (
+	.A1(FE_COEN4302_n_669),
+	.A2(n_5713),
+	.B1(n_5898),
+	.C1(n_5775),
+	.D1(n_6846),
+	.Y(n_7551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g433949 (
+	.A1(FE_OFN927_n_2421),
+	.A2(n_13562),
+	.B1(n_3085),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
+	.C1(n_7186),
+	.X(n_7550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433950 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
+	.X(n_7549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433951 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
+	.X(n_7548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433952 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
+	.X(n_7547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433953 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
+	.X(n_7546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433954 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
+	.X(n_7545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433955 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
+	.X(n_7544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433956 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
+	.X(n_7543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433957 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
+	.X(n_7542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433958 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
+	.X(n_7541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433959 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
+	.X(n_7540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433960 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
+	.X(n_7539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433961 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
+	.X(n_7538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433962 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
+	.X(n_7537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433963 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
+	.X(n_7536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433964 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
+	.X(n_7535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433965 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
+	.X(n_7534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433966 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
+	.X(n_7533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433967 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
+	.X(n_7532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433968 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
+	.X(n_7531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433969 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
+	.X(n_7530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433970 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
+	.X(n_7529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433971 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
+	.X(n_7528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433972 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
+	.X(n_7527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433973 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
+	.X(n_7526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433974 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
+	.X(n_7525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433975 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
+	.X(n_7524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433976 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
+	.X(n_7523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433977 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
+	.X(n_7522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433978 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
+	.X(n_7521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433979 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
+	.X(n_7520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433980 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
+	.X(n_7519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433981 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
+	.X(n_7518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433982 (
+	.A1(FE_OFN1005_n_6918),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1504_n_7247),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
+	.X(n_7517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433983 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
+	.X(n_7516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433984 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
+	.X(n_7515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433985 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
+	.X(n_7514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433986 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
+	.X(n_7513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433987 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
+	.X(n_7512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433988 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
+	.X(n_7511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433989 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
+	.X(n_7510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433990 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
+	.X(n_7509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433991 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
+	.X(n_7508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433992 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
+	.X(n_7507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433993 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
+	.X(n_7506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433994 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
+	.X(n_7505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433995 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
+	.X(n_7504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433996 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
+	.X(n_7503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433997 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
+	.X(n_7502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433998 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
+	.X(n_7501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g433999 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
+	.X(n_7500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434000 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
+	.X(n_7499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434001 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
+	.X(n_7498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434002 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
+	.X(n_7497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434003 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
+	.X(n_7496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434004 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
+	.X(n_7495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434005 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
+	.X(n_7494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434006 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
+	.X(n_7493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434007 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
+	.X(n_7492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434008 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
+	.X(n_7491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434009 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
+	.X(n_7490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434010 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
+	.X(n_7489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434011 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
+	.X(n_7488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434012 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
+	.X(n_7487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434013 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
+	.X(n_7486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434014 (
+	.A1(FE_OFN1004_n_6917),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1508_n_7251),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
+	.X(n_7485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434015 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
+	.X(n_7484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434016 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
+	.X(n_7483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434017 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
+	.X(n_7482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434018 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
+	.X(n_7481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434019 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
+	.X(n_7480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434020 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
+	.X(n_7479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434021 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
+	.X(n_7478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434022 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
+	.X(n_7477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434023 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
+	.X(n_7476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434024 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
+	.X(n_7475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434025 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
+	.X(n_7474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434026 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
+	.X(n_7473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434027 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
+	.X(n_7472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434028 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
+	.X(n_7471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434029 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
+	.X(n_7470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434030 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
+	.X(n_7469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434031 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
+	.X(n_7468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434032 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
+	.X(n_7467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434033 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
+	.X(n_7466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434034 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
+	.X(n_7465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434035 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
+	.X(n_7464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434036 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
+	.X(n_7463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434037 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
+	.X(n_7462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434038 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
+	.X(n_7461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434039 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
+	.X(n_7460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434040 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
+	.X(n_7459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434041 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
+	.X(n_7458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434042 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
+	.X(n_7457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434043 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
+	.X(n_7456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434044 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
+	.X(n_7455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434045 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
+	.X(n_7454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434046 (
+	.A1(FE_OFN1003_n_6916),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1505_n_7248),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
+	.X(n_7453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434047 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
+	.X(n_7452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434048 (
+	.A1(n_1216),
+	.A2(n_7283),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1355),
+	.Y(n_7451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434049 (
+	.A1(n_1216),
+	.A2(n_7282),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1390),
+	.Y(n_7450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g434050 (
+	.A1_N(n_7137),
+	.A2_N(n_3080),
+	.B1(n_6661),
+	.B2(n_2419),
+	.Y(n_7449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434051 (
+	.A1(n_7262),
+	.A2(n_1873),
+	.B1(n_7263),
+	.B2(n_1871),
+	.Y(n_7448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434052 (
+	.A1(n_7272),
+	.A2(n_1756),
+	.B1(n_8),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_7447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434053 (
+	.A1(n_7261),
+	.A2(n_1873),
+	.B1(n_7258),
+	.B2(n_1871),
+	.Y(n_7446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434054 (
+	.A1(n_7267),
+	.A2(n_1873),
+	.B1(n_7269),
+	.B2(n_1871),
+	.Y(n_7445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434055 (
+	.A1(n_7259),
+	.A2(n_1878),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.Y(n_7444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434056 (
+	.A1(n_7264),
+	.A2(n_1869),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_7443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434057 (
+	.A1(n_7262),
+	.A2(n_1869),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_7442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434058 (
+	.A1(n_7260),
+	.A2(n_1869),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_7441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434059 (
+	.A1(n_7259),
+	.A2(n_1869),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.Y(n_7440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434060 (
+	.A1(n_7258),
+	.A2(n_2281),
+	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.B2(n_8),
+	.Y(n_7439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434061 (
+	.A1(n_7266),
+	.A2(n_1869),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_7438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434062 (
+	.A1(n_7269),
+	.A2(n_2281),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.Y(n_7437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434063 (
+	.A1(n_7263),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_7262),
+	.B2(n_2281),
+	.Y(n_7436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434064 (
+	.A1(n_7272),
+	.A2(n_1869),
+	.B1(n_7259),
+	.B2(n_2281),
+	.Y(n_7435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434065 (
+	.A1(n_7258),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_7261),
+	.B2(n_2281),
+	.Y(n_7434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434066 (
+	.A1(n_7269),
+	.A2(FE_OFN888_n_1852),
+	.B1(n_7267),
+	.B2(n_2281),
+	.Y(n_7433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434067 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.B1(n_1884),
+	.B2(u_soc_u_top_u_core_csr_mstatus_mie),
+	.C1(n_7199),
+	.Y(n_7432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434068 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
+	.X(n_7431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434069 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
+	.X(n_7430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434070 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
+	.X(n_7429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434071 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
+	.X(n_7428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434072 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
+	.X(n_7427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434073 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
+	.X(n_7426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434074 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
+	.X(n_7425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434075 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
+	.X(n_7424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434076 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
+	.X(n_7423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434077 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
+	.X(n_7422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434078 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
+	.X(n_7421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434079 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
+	.X(n_7420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434080 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
+	.X(n_7419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434081 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
+	.X(n_7418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434082 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
+	.X(n_7417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434083 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
+	.X(n_7416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434084 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
+	.X(n_7415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434085 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
+	.X(n_7414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434086 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
+	.X(n_7413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434087 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
+	.X(n_7412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434088 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
+	.X(n_7411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434089 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
+	.X(n_7410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434090 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
+	.X(n_7409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434091 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
+	.X(n_7408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434092 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
+	.X(n_7407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434093 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
+	.X(n_7406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434094 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
+	.X(n_7405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434095 (
+	.A1(FE_OFN1506_n_7249),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1507_n_7250),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
+	.X(n_7404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g434096 (
+	.A1(n_6931),
+	.A2(n_127),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(FE_PSN4132_n_13504),
+	.B2(n_1215),
+	.Y(n_7557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g434097 (
+	.A1(n_6929),
+	.A2(n_133),
+	.A3(u_soc_u_top_u_core_csr_op[1]),
+	.B1(n_13505),
+	.B2(n_1215),
+	.Y(n_7555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g434268 (
+	.A(n_7398),
+	.Y(n_7399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434269 (
+	.A(n_7392),
+	.Y(n_7391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g434270 (
+	.A(n_7390),
+	.Y(n_7389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434275 (
+	.A(n_5744),
+	.B(n_7218),
+	.Y(n_7380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g434276 (
+	.A1(n_6824),
+	.A2(n_3080),
+	.B1(n_6662),
+	.B2(FE_OFN18240_n_2420),
+	.Y(n_7379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434277 (
+	.A1(n_1216),
+	.A2(n_6929),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1365),
+	.Y(n_7378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434278 (
+	.A1(n_1216),
+	.A2(n_6931),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1357),
+	.Y(n_7377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434279 (
+	.A1(n_1216),
+	.A2(n_6884),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1403),
+	.Y(n_7376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434280 (
+	.A1(n_1216),
+	.A2(n_6930),
+	.B1(FE_OFN18274_n_2418),
+	.B2(n_1351),
+	.Y(n_7375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434281 (
+	.A1(n_6922),
+	.A2(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.B1(FE_OFN18219_n_6709),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[0]),
+	.Y(n_7374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434282 (
+	.A1(n_13605),
+	.A2(FE_OFN1503_n_6915),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[29]),
+	.Y(n_7373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434283 (
+	.A1(n_13585),
+	.A2(FE_OFN1503_n_6915),
+	.B1(n_6919),
+	.B2(u_soc_u_top_u_core_pc_if[9]),
+	.Y(n_7372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434284 (
+	.A1(n_13583),
+	.A2(FE_OFN1503_n_6915),
+	.B1(n_6919),
+	.B2(u_soc_u_top_u_core_pc_if[7]),
+	.Y(n_7371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434285 (
+	.A1(FE_OFN1503_n_6915),
+	.A2(n_13581),
+	.B1(n_6919),
+	.B2(u_soc_u_top_u_core_pc_if[5]),
+	.Y(n_7370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434286 (
+	.A1(FE_OFN1503_n_6915),
+	.A2(n_13579),
+	.B1(n_6919),
+	.B2(u_soc_u_top_u_core_pc_if[3]),
+	.Y(n_7369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434287 (
+	.A1(n_13575),
+	.A2(n_5703),
+	.B1(n_7242),
+	.Y(n_7368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434288 (
+	.A1(n_5956),
+	.A2(FE_OFN19299_u_soc_u_dccm_rdata3_31),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.C1(n_7147),
+	.Y(n_7367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434289 (
+	.A1(n_5956),
+	.A2(FE_OFN19320_u_soc_u_dccm_rdata3_30),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.C1(n_7148),
+	.Y(n_7366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434290 (
+	.A1(n_5958),
+	.A2(FE_OFN19110_u_soc_u_dccm_rdata4_29),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.C1(n_7149),
+	.Y(n_7365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434291 (
+	.A1(n_5956),
+	.A2(FE_OFN19318_u_soc_u_dccm_rdata3_28),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.C1(n_7150),
+	.Y(n_7364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434292 (
+	.A1(n_5958),
+	.A2(FE_OFN19108_u_soc_u_dccm_rdata4_26),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.C1(n_7151),
+	.Y(n_7363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434293 (
+	.A1(n_5958),
+	.A2(FE_OFN19437_u_soc_u_dccm_rdata4_25),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.C1(n_7152),
+	.Y(n_7362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434294 (
+	.A1(n_5958),
+	.A2(FE_OFN19111_u_soc_u_dccm_rdata4_24),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.C1(n_7153),
+	.Y(n_7361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g434295 (
+	.A1(n_5691),
+	.A2(n_6920),
+	.B1(n_5707),
+	.B2(n_6921),
+	.Y(n_7360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434296 (
+	.A1(n_6868),
+	.A2(n_6923),
+	.B1(n_6863),
+	.Y(n_7359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434297 (
+	.A1(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.A2(n_6498),
+	.B1(FE_OFN984_n_5382),
+	.B2(n_6727),
+	.C1(FE_OFN929_n_2985),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.Y(n_7358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434298 (
+	.A1(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.A2(n_6498),
+	.B1(FE_OFN984_n_5382),
+	.B2(n_6737),
+	.C1(FE_OFN929_n_2985),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.Y(n_7357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434299 (
+	.A1(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.A2(n_6498),
+	.B1(FE_OFN984_n_5382),
+	.B2(n_6736),
+	.C1(FE_OFN929_n_2985),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.Y(n_7356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434300 (
+	.A1(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.A2(n_6498),
+	.B1(FE_OFN984_n_5382),
+	.B2(n_6735),
+	.C1(FE_OFN929_n_2985),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.Y(n_7355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434301 (
+	.A1(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.A2(n_6498),
+	.B1(FE_OFN984_n_5382),
+	.B2(n_6734),
+	.C1(FE_OFN929_n_2985),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.Y(n_7354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434302 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.A2(n_5692),
+	.B1(n_7275),
+	.Y(n_7353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434303 (
+	.A(n_6663),
+	.B(n_2390),
+	.C(n_2136),
+	.D(n_1511),
+	.Y(n_7352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434304 (
+	.A1(n_13771),
+	.A2(FE_OFN1402_n_1218),
+	.B1(FE_OFN883_n_1219),
+	.B2(u_soc_u_top_u_core_csr_mepc[29]),
+	.C1(n_6919),
+	.C2(u_soc_u_top_u_core_pc_if[29]),
+	.Y(n_7351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g434305 (
+	.A1(n_5982),
+	.A2(n_1422),
+	.A3(\u_soc_u_top_u_core_imd_val_q_ex[1] [9]),
+	.B1(n_6356),
+	.C1(n_7206),
+	.Y(n_7350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g434306 (
+	.A1(n_5673),
+	.A2(n_1439),
+	.A3(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.A4(\u_soc_u_top_u_core_imd_val_q_ex[1] [27]),
+	.B1(n_7245),
+	.Y(n_7349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434307 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.A2(n_6920),
+	.B1(n_5391),
+	.Y(n_7348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434308 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.A2(n_6920),
+	.B1(n_5391),
+	.Y(n_7347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434309 (
+	.A1(n_6882),
+	.A2(FE_OFN1000_n_6857),
+	.B1(n_6864),
+	.Y(n_7346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434310 (
+	.A1(n_5693),
+	.A2(n_661),
+	.B1(n_7274),
+	.Y(n_7345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g434311 (
+	.A1(n_6881),
+	.A2(FE_OFN1002_n_6865),
+	.B1_N(n_7270),
+	.Y(n_7344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g434312 (
+	.A1(n_1911),
+	.A2(n_5393),
+	.B1(n_11),
+	.B2(n_6005),
+	.C1(n_3088),
+	.Y(n_7343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434313 (
+	.A1(n_6921),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.B1(n_5692),
+	.Y(n_7342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g434314 (
+	.A1(n_6921),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
+	.B1_N(n_5693),
+	.Y(n_7341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434315 (
+	.A1(n_6788),
+	.A2(n_1042),
+	.B1(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(n_7340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434316 (
+	.A1(n_6505),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
+	.B1(n_7277),
+	.Y(n_7339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434317 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.A2(n_5391),
+	.B1(n_7275),
+	.Y(n_7338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434318 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_7191),
+	.Y(n_7337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g434319 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_15915),
+	.C(n_6738),
+	.Y(n_7336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434320 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_7194),
+	.Y(n_7335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434321 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_7195),
+	.Y(n_7334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434322 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_7196),
+	.Y(n_7333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434323 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_6938),
+	.Y(n_7332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434324 (
+	.A(FE_OFN18302_n_6869),
+	.B(n_7255),
+	.Y(n_7331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434325 (
+	.A(n_7241),
+	.B(n_5824),
+	.Y(n_7330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434326 (
+	.A(n_5724),
+	.B(n_7238),
+	.Y(n_7329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434327 (
+	.A(n_7237),
+	.B(n_5725),
+	.Y(n_7328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434328 (
+	.A(n_7236),
+	.B(n_5726),
+	.Y(n_7327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434329 (
+	.A(n_7235),
+	.B(n_5727),
+	.Y(n_7326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434330 (
+	.A(n_5728),
+	.B(n_7234),
+	.Y(n_7325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434331 (
+	.A(n_5729),
+	.B(n_7233),
+	.Y(n_7324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434332 (
+	.A(n_5730),
+	.B(n_7232),
+	.Y(n_7323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434333 (
+	.A(n_5731),
+	.B(n_7231),
+	.Y(n_7322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434334 (
+	.A(n_7230),
+	.B(n_5732),
+	.Y(n_7321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434335 (
+	.A(n_5733),
+	.B(n_7229),
+	.Y(n_7320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434336 (
+	.A(n_5734),
+	.B(n_7228),
+	.Y(n_7319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434337 (
+	.A(n_7227),
+	.B(n_5735),
+	.Y(n_7318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434338 (
+	.A(n_5736),
+	.B(n_7226),
+	.Y(n_7317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434339 (
+	.A(n_7225),
+	.B(n_5737),
+	.Y(n_7316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434340 (
+	.A(n_7224),
+	.B(n_5738),
+	.Y(n_7315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434341 (
+	.A(n_5739),
+	.B(n_7223),
+	.Y(n_7314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434342 (
+	.A(n_5740),
+	.B(n_7222),
+	.Y(n_7313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434343 (
+	.A(n_5741),
+	.B(n_7221),
+	.Y(n_7312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434344 (
+	.A(n_7220),
+	.B(n_5742),
+	.Y(n_7311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434345 (
+	.A(n_7219),
+	.B(n_5743),
+	.Y(n_7310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434346 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(n_6738),
+	.Y(n_7309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434347 (
+	.A(n_7217),
+	.B(n_5745),
+	.Y(n_7308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434348 (
+	.A(n_7267),
+	.B(n_1878),
+	.Y(n_7307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434349 (
+	.A(n_7268),
+	.B(n_1878),
+	.Y(n_7306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434350 (
+	.A(n_7210),
+	.B(n_5753),
+	.Y(n_7305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434351 (
+	.A(n_7209),
+	.B(n_5754),
+	.Y(n_7304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434352 (
+	.A(n_7211),
+	.B(n_5751),
+	.Y(n_7303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434353 (
+	.A(n_7212),
+	.B(n_5750),
+	.Y(n_7302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434354 (
+	.A(n_7213),
+	.B(n_5749),
+	.Y(n_7301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434355 (
+	.A(n_5748),
+	.B(n_7214),
+	.Y(n_7300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434356 (
+	.A(n_5747),
+	.B(n_7215),
+	.Y(n_7299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434357 (
+	.A(n_5746),
+	.B(n_7216),
+	.Y(n_7298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434372 (
+	.A(n_6706),
+	.B(n_1984),
+	.C(n_1975),
+	.D(n_1806),
+	.Y(n_7402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434385 (
+	.A(FE_PSN4101_n_7253),
+	.B(n_7254),
+	.Y(n_7401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434392 (
+	.A(n_6682),
+	.B(n_2966),
+	.C(n_2136),
+	.D(n_2652),
+	.Y(n_7398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434394 (
+	.A(n_6839),
+	.B(n_2971),
+	.C(n_5975),
+	.Y(n_7397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434402 (
+	.A(n_7295),
+	.B(n_6923),
+	.Y(n_7396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434403 (
+	.A(n_6738),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.Y(n_7395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434404 (
+	.A(n_6862),
+	.B(n_7279),
+	.Y(n_7394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434411 (
+	.A(n_6858),
+	.B(n_7255),
+	.Y(n_7393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434438 (
+	.A(n_6862),
+	.B(n_6858),
+	.C(n_6861),
+	.Y(n_7392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434439 (
+	.A(n_7279),
+	.B(n_6863),
+	.Y(n_7390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434440 (
+	.A(n_7255),
+	.B(n_6868),
+	.Y(n_7388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434441 (
+	.A(FE_PSN4101_n_7253),
+	.B(n_7271),
+	.Y(n_7386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434443 (
+	.A(FE_PSN4101_n_7253),
+	.B(n_7276),
+	.Y(n_7382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g434445 (
+	.A(n_7289),
+	.Y(n_7290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g434446 (
+	.A(n_7286),
+	.Y(n_7287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g434448 (
+	.A(n_7274),
+	.Y(n_7275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g434449 (
+	.A(n_7257),
+	.Y(n_7256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434450 (
+	.A(n_7255),
+	.Y(n_7254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434452 (
+	.A1(FE_OFN997_n_6493),
+	.A2(\u_soc_xbar_to_dccm[a_address] [2]),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.C1(n_6586),
+	.Y(n_7246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g434453 (
+	.A1(n_1521),
+	.A2(n_6588),
+	.B1(n_1420),
+	.B2(n_6418),
+	.Y(n_7245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g434454 (
+	.A1(n_5364),
+	.A2(n_2417),
+	.A3(n_6359),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_7244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434455 (
+	.A(n_6652),
+	.B(n_6651),
+	.C(n_6375),
+	.D(n_6376),
+	.Y(n_7243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g434456 (
+	.A1(n_1245),
+	.A2(n_5401),
+	.B1(n_6559),
+	.C1(n_6619),
+	.Y(n_7242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434457 (
+	.A1(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.A2(FE_OFN974_n_5360),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.C1(FE_OFN975_n_5362),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.Y(n_7241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434458 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.B1(n_6810),
+	.Y(n_7240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g434459 (
+	.A1(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN977_n_5366),
+	.B2(n_13415),
+	.C1(n_6845),
+	.X(n_7239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434460 (
+	.A1(n_13415),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.C1(FE_OFN977_n_5366),
+	.C2(FE_OFN1089_n_13086),
+	.Y(n_7238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434461 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.A2(FE_OFN975_n_5362),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.C1(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434462 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.A2(FE_OFN1493_n_6490),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN1093_n_13090),
+	.C1(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434463 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.A2(FE_OFN1493_n_6490),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.C1(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434464 (
+	.A1(n_13411),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.C1(FE_OFN977_n_5366),
+	.C2(n_13410),
+	.Y(n_7234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434465 (
+	.A1(FE_PSN4168_n_13409),
+	.A2(FE_OFN977_n_5366),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.C1(FE_OFN975_n_5362),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.Y(n_7233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434466 (
+	.A1(FE_PSN4168_n_13409),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.C1(FE_OFN977_n_5366),
+	.C2(n_13408),
+	.Y(n_7232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434467 (
+	.A1(n_13408),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.C1(FE_OFN977_n_5366),
+	.C2(FE_PSN4147_n_13407),
+	.Y(n_7231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434468 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.A2(FE_OFN1493_n_6490),
+	.B1(FE_OFN977_n_5366),
+	.B2(n_13406),
+	.C1(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434469 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.A2(FE_OFN975_n_5362),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.C1(FE_OFN977_n_5366),
+	.C2(n_13405),
+	.Y(n_7229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434470 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.A2(FE_OFN975_n_5362),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.C1(FE_OFN973_n_5358),
+	.C2(n_13405),
+	.Y(n_7228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434471 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.A2(FE_OFN975_n_5362),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.C1(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434472 (
+	.A1(FE_COEN4329_n_13402),
+	.A2(FE_OFN977_n_5366),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.C1(FE_OFN973_n_5358),
+	.C2(FE_OFN1086_n_13082),
+	.Y(n_7226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434473 (
+	.A1(FE_COEN4329_n_13402),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.C1(\u_soc_lsu_to_xbar[a_address] [17]),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434474 (
+	.A1(FE_COEN4335_n_13401),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.C1(\u_soc_lsu_to_xbar[a_address] [16]),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434475 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.A2(FE_OFN975_n_5362),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.C1(FE_OFN973_n_5358),
+	.C2(n_13400),
+	.Y(n_7223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434476 (
+	.A1(FE_OFN1083_n_13076),
+	.A2(FE_OFN977_n_5366),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.C1(FE_OFN975_n_5362),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.Y(n_7222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434477 (
+	.A1(FE_COEN4304_n_13397),
+	.A2(FE_OFN977_n_5366),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.C1(FE_OFN975_n_5362),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.Y(n_7221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434478 (
+	.A1(FE_COEN4304_n_13397),
+	.A2(FE_OFN973_n_5358),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.C1(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434479 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.A2(FE_OFN1493_n_6490),
+	.B1(FE_OFN977_n_5366),
+	.B2(FE_PSN4129_n_13395),
+	.C1(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434480 (
+	.A1(FE_OFN19544_n_13394),
+	.A2(FE_OFN977_n_5366),
+	.B1(FE_OFN1493_n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.C1(FE_OFN973_n_5358),
+	.C2(FE_PSN4129_n_13395),
+	.Y(n_7218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434481 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.A2(n_6490),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN19544_n_13394),
+	.C1(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434482 (
+	.A1(FE_COEN4275_n_13392),
+	.A2(FE_OFN977_n_5366),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.C1(FE_OFN975_n_5362),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.Y(n_7216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434483 (
+	.A1(FE_OFN19539_n_13391),
+	.A2(FE_OFN977_n_5366),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.C1(FE_OFN973_n_5358),
+	.C2(FE_COEN4275_n_13392),
+	.Y(n_7215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434484 (
+	.A1(FE_OFN19539_n_13391),
+	.A2(FE_OFN973_n_5358),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.C1(FE_OFN977_n_5366),
+	.C2(FE_PSN4380_n_13390),
+	.Y(n_7214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434485 (
+	.A1(FE_PSN4380_n_13390),
+	.A2(FE_OFN973_n_5358),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.C1(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434486 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.A2(n_6490),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.C1(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.C2(FE_OFN974_n_5360),
+	.Y(n_7212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434487 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.A2(FE_OFN975_n_5362),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.C1(FE_OFN977_n_5366),
+	.C2(FE_PSN4344_n_13387),
+	.Y(n_7211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434488 (
+	.A1(FE_OFN18484_n_13416),
+	.A2(FE_OFN977_n_5366),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.C1(FE_OFN974_n_5360),
+	.C2(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.Y(n_7210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434489 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.A2(FE_OFN975_n_5362),
+	.B1(n_6490),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.C1(FE_OFN977_n_5366),
+	.C2(FE_OFN18318_n_15946),
+	.Y(n_7209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434490 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.C1(n_6850),
+	.Y(n_7208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434491 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.C1(n_6851),
+	.Y(n_7207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g434492 (
+	.A1(n_1257),
+	.A2(n_6696),
+	.B1(n_1526),
+	.B2(n_6417),
+	.C1(n_6624),
+	.Y(n_7206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434493 (
+	.A(n_6630),
+	.B(n_6654),
+	.C(n_6655),
+	.D(n_6657),
+	.Y(n_7205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g434494 (
+	.A1(n_1912),
+	.A2(n_2563),
+	.B1(n_6419),
+	.C1(n_6420),
+	.D1(n_6629),
+	.Y(n_7204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434496 (
+	.A1(n_5939),
+	.A2(FE_OFN18492_n_13420),
+	.B1(n_5938),
+	.B2(FE_OFN18294_n_13073),
+	.C1(n_6847),
+	.Y(n_7202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434497 (
+	.A1(n_5939),
+	.A2(FE_PSN4354_FE_OFN1585_n_13422),
+	.B1(n_5938),
+	.B2(n_13423),
+	.C1(n_6844),
+	.Y(n_7201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g434498 (
+	.A1(n_1451),
+	.A2(n_5988),
+	.B1(n_6928),
+	.X(n_7200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g434499 (
+	.A1(n_1527),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.C1(n_6843),
+	.X(n_7199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434501 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN18496_n),
+	.B1(n_5937),
+	.B2(FE_OFN18361_n),
+	.C1(n_6757),
+	.Y(n_7197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434502 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]),
+	.B(n_6934),
+	.Y(n_7196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434503 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]),
+	.B(n_6935),
+	.Y(n_7195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434504 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]),
+	.B(n_6937),
+	.Y(n_7194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434505 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN18361_n),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.C1(n_6561),
+	.Y(n_7193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434506 (
+	.A1(n_5939),
+	.A2(n_13446),
+	.B1(n_5938),
+	.B2(n_13447),
+	.C1(n_6758),
+	.Y(n_7192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434507 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
+	.B(n_6926),
+	.Y(n_7191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434508 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_COEN4292_u_soc_lsu_to_xbar_a_address_28),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.C1(n_6563),
+	.Y(n_7190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434509 (
+	.A(n_1217),
+	.B(n_6933),
+	.Y(n_7189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434510 (
+	.A1(n_5939),
+	.A2(n_13444),
+	.B1(n_5938),
+	.B2(n_13445),
+	.C1(n_6760),
+	.Y(n_7188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434511 (
+	.A(n_6856),
+	.B(n_6002),
+	.Y(n_7187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434512 (
+	.A1(n_6505),
+	.A2(n_15916),
+	.B1(n_1751),
+	.Y(n_7186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434513 (
+	.A(n_6821),
+	.B(n_6213),
+	.Y(n_7185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434514 (
+	.A(n_6820),
+	.B(n_6211),
+	.Y(n_7184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434515 (
+	.A(n_6819),
+	.B(n_6209),
+	.Y(n_7183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434516 (
+	.A(n_6818),
+	.B(n_6194),
+	.Y(n_7182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434517 (
+	.A1(n_5939),
+	.A2(n_13443),
+	.B1(n_5938),
+	.B2(n_13444),
+	.C1(n_6762),
+	.Y(n_7181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434518 (
+	.A(n_6809),
+	.B(n_6202),
+	.Y(n_7180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434519 (
+	.A1(n_5939),
+	.A2(n_13442),
+	.B1(n_5938),
+	.B2(n_13443),
+	.C1(n_6763),
+	.Y(n_7179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434520 (
+	.A(n_6808),
+	.B(n_6196),
+	.Y(n_7178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434521 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.C1(n_6567),
+	.Y(n_7177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434522 (
+	.A(n_6807),
+	.B(n_6198),
+	.Y(n_7176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434523 (
+	.A1(n_5939),
+	.A2(FE_OFN1094_n_13091),
+	.B1(n_5938),
+	.B2(n_13441),
+	.C1(n_6765),
+	.Y(n_7175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434524 (
+	.A(n_6806),
+	.B(n_6204),
+	.Y(n_7174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434525 (
+	.A1(n_5939),
+	.A2(n_13439),
+	.B1(n_5938),
+	.B2(FE_OFN1094_n_13091),
+	.C1(n_6767),
+	.Y(n_7173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434526 (
+	.A1(n_5939),
+	.A2(n_13438),
+	.B1(n_5938),
+	.B2(n_13439),
+	.C1(n_6768),
+	.Y(n_7172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434527 (
+	.A(n_6803),
+	.B(n_6200),
+	.Y(n_7171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434528 (
+	.A(n_6802),
+	.B(n_6206),
+	.Y(n_7170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434529 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.C1(n_6570),
+	.Y(n_7169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434530 (
+	.A(n_6801),
+	.B(n_6186),
+	.Y(n_7168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434531 (
+	.A1(n_5939),
+	.A2(FE_COEN4573_n_13436),
+	.B1(n_5938),
+	.B2(FE_COEN4285_n_13437),
+	.C1(n_6770),
+	.Y(n_7167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434532 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.C1(n_6572),
+	.Y(n_7166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434533 (
+	.A(n_6804),
+	.B(n_6189),
+	.Y(n_7165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434534 (
+	.A1(n_5939),
+	.A2(n_13434),
+	.B1(n_5938),
+	.B2(n_13435),
+	.C1(n_6772),
+	.Y(n_7164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434535 (
+	.A1(FE_OFN997_n_6493),
+	.A2(\u_soc_lsu_to_xbar[a_address] [16]),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.C1(n_6574),
+	.Y(n_7163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434536 (
+	.A(n_6800),
+	.B(n_6188),
+	.Y(n_7162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434537 (
+	.A1(n_5939),
+	.A2(FE_COEN4330_n_13432),
+	.B1(n_5938),
+	.B2(FE_COEN4287_n_13433),
+	.C1(n_6774),
+	.Y(n_7161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434538 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.C1(n_6576),
+	.Y(n_7160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434539 (
+	.A(n_6799),
+	.B(n_6207),
+	.Y(n_7159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434540 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6789),
+	.Y(n_7158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434541 (
+	.A(n_6796),
+	.B(n_6190),
+	.Y(n_7157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434542 (
+	.A(n_6889),
+	.B(n_6936),
+	.Y(n_7156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g434543 (
+	.A1(n_6708),
+	.A2(u_soc_u_top_u_core_instr_rdata_c_id[1]),
+	.B1(n_6922),
+	.X(n_7155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434544 (
+	.A(n_6791),
+	.B(n_6192),
+	.Y(n_7154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434545 (
+	.A(n_5262),
+	.B(n_6817),
+	.Y(n_7153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434546 (
+	.A(n_5269),
+	.B(n_6816),
+	.Y(n_7152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434547 (
+	.A(n_5266),
+	.B(n_6815),
+	.Y(n_7151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434548 (
+	.A(n_5258),
+	.B(n_6814),
+	.Y(n_7150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434549 (
+	.A(n_5253),
+	.B(n_6813),
+	.Y(n_7149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434550 (
+	.A(n_5249),
+	.B(n_6812),
+	.Y(n_7148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434551 (
+	.A(n_5247),
+	.B(n_6811),
+	.Y(n_7147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434552 (
+	.A1(n_5939),
+	.A2(n_13430),
+	.B1(n_5938),
+	.B2(FE_COEN4310_n_13431),
+	.C1(n_6776),
+	.Y(n_7146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434553 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.C1(n_6584),
+	.Y(n_7145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434554 (
+	.A1(n_5939),
+	.A2(FE_PSN4109_FE_OFN1586_n_13424),
+	.B1(n_5938),
+	.B2(FE_OFN18315_n_13425),
+	.C1(n_6783),
+	.Y(n_7144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434555 (
+	.A1(n_5939),
+	.A2(FE_PSN4424_FE_OFN18315_n_13425),
+	.B1(n_5938),
+	.B2(n_13426),
+	.C1(n_6782),
+	.Y(n_7143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434556 (
+	.A1(n_5939),
+	.A2(n_13426),
+	.B1(n_5938),
+	.B2(n_13427),
+	.C1(n_6780),
+	.Y(n_7142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434557 (
+	.A1(n_5939),
+	.A2(n_13427),
+	.B1(n_5938),
+	.B2(FE_PSN4461_FE_OFN1587_n_13428),
+	.C1(n_6779),
+	.Y(n_7141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434558 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.B1(n_5937),
+	.B2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.C1(n_6248),
+	.Y(n_7140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434559 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.C1(n_6578),
+	.Y(n_7139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434573 (
+	.A(n_6872),
+	.B(FE_OFN992_n_5974),
+	.Y(n_7297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434574 (
+	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.B(n_6862),
+	.Y(n_7295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434587 (
+	.A(n_6632),
+	.B(n_2919),
+	.C(n_2659),
+	.Y(n_7294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434588 (
+	.A(n_6620),
+	.B(n_2177),
+	.C(n_1959),
+	.Y(n_7293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434589 (
+	.A(n_6621),
+	.B(n_2905),
+	.C(n_2639),
+	.Y(n_7292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434590 (
+	.A(n_6622),
+	.B(n_2908),
+	.C(n_2643),
+	.Y(n_7291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434593 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.A2(n_5691),
+	.B1(n_6920),
+	.Y(n_7289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434596 (
+	.A(n_6346),
+	.B(n_2654),
+	.C(n_2136),
+	.D(n_1949),
+	.Y(n_7288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434598 (
+	.A(n_6635),
+	.B(n_2179),
+	.C(n_1960),
+	.Y(n_7286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434599 (
+	.A(n_6634),
+	.B(n_2935),
+	.C(n_2664),
+	.Y(n_7285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434600 (
+	.A(n_6633),
+	.B(n_2920),
+	.C(n_2630),
+	.Y(n_7284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434601 (
+	.A(n_6631),
+	.B(n_2913),
+	.C(n_2653),
+	.Y(n_7283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434602 (
+	.A(n_6627),
+	.B(n_2912),
+	.C(n_2650),
+	.Y(n_7282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434604 (
+	.A(n_6707),
+	.B(n_2911),
+	.C(n_2648),
+	.Y(n_7281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g434605 (
+	.A(n_6623),
+	.B(n_2910),
+	.C(n_2645),
+	.Y(n_7280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434606 (
+	.A(n_6858),
+	.B(n_6861),
+	.Y(n_7279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434607 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
+	.B(n_6505),
+	.Y(n_7277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434608 (
+	.A(n_6863),
+	.B(n_6858),
+	.Y(n_7276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434609 (
+	.A(n_6),
+	.B(n_6920),
+	.Y(n_7274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434610 (
+	.A(FE_OFN18302_n_6869),
+	.B(n_6858),
+	.Y(n_7273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g434612 (
+	.A(n_5612),
+	.B(n_5265),
+	.C(n_1747),
+	.D(n_6313),
+	.Y(n_7272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434617 (
+	.A(FE_OFN1001_n_6859),
+	.B(n_6861),
+	.Y(n_7271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434620 (
+	.A(n_6866),
+	.B(FE_OFN1002_n_6865),
+	.Y(n_7270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434630 (
+	.A(n_5627),
+	.B(n_5251),
+	.C(n_1747),
+	.D(n_6291),
+	.Y(n_7269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434631 (
+	.A(n_5635),
+	.B(n_5246),
+	.C(n_1747),
+	.D(n_6311),
+	.Y(n_7268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434632 (
+	.A(n_5628),
+	.B(n_5250),
+	.C(n_1747),
+	.D(n_6301),
+	.Y(n_7267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434633 (
+	.A(n_5620),
+	.B(n_5239),
+	.C(n_1747),
+	.D(n_6292),
+	.Y(n_7266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434634 (
+	.A(FE_OFN19255_n_6867),
+	.B(FE_OFN1002_n_6865),
+	.Y(n_7265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434635 (
+	.A(n_5604),
+	.B(n_5273),
+	.C(n_1747),
+	.D(n_6297),
+	.Y(n_7264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434636 (
+	.A(n_5607),
+	.B(n_5271),
+	.C(n_1747),
+	.D(n_6296),
+	.Y(n_7263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434637 (
+	.A(n_5608),
+	.B(n_5270),
+	.C(n_1747),
+	.D(n_6315),
+	.Y(n_7262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434638 (
+	.A(n_5619),
+	.B(n_5259),
+	.C(n_1747),
+	.D(n_6293),
+	.Y(n_7261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434639 (
+	.A(n_5609),
+	.B(n_5268),
+	.C(n_1747),
+	.D(n_6314),
+	.Y(n_7260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434640 (
+	.A(n_5613),
+	.B(n_5264),
+	.C(n_1747),
+	.D(n_6295),
+	.Y(n_7259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434641 (
+	.A(n_5616),
+	.B(n_5261),
+	.C(n_1747),
+	.D(n_6294),
+	.Y(n_7258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 g434643 (
+	.A(n_5963),
+	.B(n_5952),
+	.C(n_5965),
+	.D(n_6502),
+	.Y(n_7257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434644 (
+	.A(n_6863),
+	.B(n_6861),
+	.Y(n_7255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434647 (
+	.A(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
+	.B(n_6936),
+	.Y(n_7253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434648 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6935),
+	.Y(n_7251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434649 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6926),
+	.Y(n_7250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434650 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6925),
+	.Y(n_7249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434651 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6937),
+	.Y(n_7248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434652 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6934),
+	.Y(n_7247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434653 (
+	.A1(FE_OFN1499_n_6718),
+	.A2(n_5945),
+	.B1(n_5913),
+	.Y(n_7138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434654 (
+	.A1(n_5385),
+	.A2(n_2566),
+	.B1(FE_OFN1646_n_3077),
+	.B2(n_5458),
+	.C1(n_6667),
+	.Y(n_7137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434655 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [8]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6717),
+	.Y(n_7136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434656 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [10]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6719),
+	.Y(n_7135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434657 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [11]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6725),
+	.Y(n_7134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434658 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.A2(n_2009),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [13]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6730),
+	.Y(n_7133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434659 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [6]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6721),
+	.Y(n_7132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434660 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [7]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6723),
+	.Y(n_7131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g434661 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [15]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_6728),
+	.Y(n_7130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434662 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
+	.X(n_7129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434663 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
+	.X(n_7128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434664 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
+	.X(n_7127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434665 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
+	.X(n_7126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434666 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
+	.X(n_7125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434667 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
+	.X(n_7124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434668 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
+	.X(n_7123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434669 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
+	.X(n_7122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434670 (
+	.A1(FE_OFN995_n_6491),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
+	.X(n_7121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434671 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
+	.X(n_7120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434672 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
+	.X(n_7119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434673 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
+	.X(n_7118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434674 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
+	.X(n_7117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434675 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
+	.X(n_7116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434676 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
+	.X(n_7115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434677 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
+	.X(n_7114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434678 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
+	.X(n_7113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434679 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
+	.X(n_7112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434680 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
+	.X(n_7111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434681 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
+	.X(n_7110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434682 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
+	.X(n_7109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434683 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
+	.X(n_7108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434684 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
+	.X(n_7107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434685 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
+	.X(n_7106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434686 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
+	.X(n_7105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434687 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
+	.X(n_7104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434688 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
+	.X(n_7103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434689 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
+	.X(n_7102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434690 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
+	.X(n_7101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434691 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
+	.X(n_7100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434692 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
+	.X(n_7099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434693 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
+	.X(n_7098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434694 (
+	.A1(FE_OFN995_n_6491),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1494_n_6710),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
+	.X(n_7097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434695 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
+	.X(n_7096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434696 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
+	.X(n_7095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434697 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
+	.X(n_7094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434698 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
+	.X(n_7093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434699 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
+	.X(n_7092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434700 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
+	.X(n_7091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434701 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
+	.X(n_7090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434702 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
+	.X(n_7089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434703 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
+	.X(n_7088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434704 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
+	.X(n_7087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434705 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
+	.X(n_7086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434706 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
+	.X(n_7085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434707 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
+	.X(n_7084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434708 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
+	.X(n_7083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434709 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
+	.X(n_7082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434710 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
+	.X(n_7081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434711 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
+	.X(n_7080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434712 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
+	.X(n_7079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434713 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
+	.X(n_7078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434714 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
+	.X(n_7077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434715 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
+	.X(n_7076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434716 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
+	.X(n_7075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434717 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
+	.X(n_7074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434718 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
+	.X(n_7073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434719 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
+	.X(n_7072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434720 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
+	.X(n_7071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434721 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
+	.X(n_7070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434722 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
+	.X(n_7069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434723 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
+	.X(n_7068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434724 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
+	.X(n_7067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434725 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
+	.X(n_7066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434726 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
+	.X(n_7065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434727 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.B1(n_1884),
+	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.C1(n_6680),
+	.Y(n_7064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434728 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
+	.X(n_7063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434729 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.B1(n_1884),
+	.B2(u_soc_u_top_u_core_csr_mstatus_tw),
+	.C1(n_6679),
+	.Y(n_7062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434730 (
+	.A1(n_2419),
+	.A2(n_6642),
+	.B1(n_1746),
+	.B2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_7061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434731 (
+	.A1(n_6494),
+	.A2(n_6736),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.X(n_7060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434732 (
+	.A1(n_6494),
+	.A2(n_6737),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.X(n_7059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434733 (
+	.A1(n_6494),
+	.A2(n_6735),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.X(n_7058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434734 (
+	.A1(FE_OFN1621_n_6733),
+	.A2(n_6495),
+	.B1(n_6416),
+	.Y(n_7057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434735 (
+	.A1(n_6494),
+	.A2(n_6727),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.X(n_7056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434736 (
+	.A1(FE_OFN1501_n_6722),
+	.A2(n_6495),
+	.B1(n_6415),
+	.Y(n_7055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434737 (
+	.A1(n_6494),
+	.A2(n_6734),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.X(n_7054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434738 (
+	.A1(FE_OFN1502_n_6724),
+	.A2(n_6495),
+	.B1(n_6414),
+	.Y(n_7053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434739 (
+	.A1(FE_OFN1499_n_6718),
+	.A2(n_6495),
+	.B1(n_6413),
+	.Y(n_7052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434740 (
+	.A1(FE_OFN18230_n_3080),
+	.A2(n_6637),
+	.B1(n_2419),
+	.B2(n_6658),
+	.Y(n_7051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434741 (
+	.A1(n_6720),
+	.A2(n_6495),
+	.B1(n_6412),
+	.Y(n_7050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434742 (
+	.A1(n_6726),
+	.A2(n_6495),
+	.B1(n_6411),
+	.Y(n_7049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434743 (
+	.A1(n_6731),
+	.A2(n_6495),
+	.B1(n_6410),
+	.Y(n_7048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434744 (
+	.A1(n_6729),
+	.A2(n_6495),
+	.B1(n_6409),
+	.Y(n_7047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434745 (
+	.A1(FE_OFN1621_n_6733),
+	.A2(n_5945),
+	.B1(n_5916),
+	.Y(n_7046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434746 (
+	.A1(n_5944),
+	.A2(n_6734),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.X(n_7045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434747 (
+	.A1(n_5944),
+	.A2(n_6735),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.X(n_7044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434748 (
+	.A1(n_5944),
+	.A2(n_6736),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.X(n_7043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434749 (
+	.A1(n_5944),
+	.A2(n_6737),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.X(n_7042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434750 (
+	.A1(n_5944),
+	.A2(n_6727),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.X(n_7041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434751 (
+	.A1(FE_OFN1501_n_6722),
+	.A2(n_5945),
+	.B1(n_5915),
+	.Y(n_7040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434752 (
+	.A1(FE_OFN1502_n_6724),
+	.A2(n_5945),
+	.B1(n_5914),
+	.Y(n_7039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g434753 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5441),
+	.B1(n_5385),
+	.B2(n_2561),
+	.C1(n_6665),
+	.Y(n_7038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434754 (
+	.A1(n_6726),
+	.A2(n_5945),
+	.B1(n_5911),
+	.Y(n_7037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434755 (
+	.A1(n_6720),
+	.A2(n_5945),
+	.B1(n_5912),
+	.Y(n_7036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434756 (
+	.A1(n_6731),
+	.A2(n_5945),
+	.B1(n_5910),
+	.Y(n_7035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434757 (
+	.A1(n_6729),
+	.A2(n_5945),
+	.B1(n_5909),
+	.Y(n_7034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434758 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.A2_N(n_5643),
+	.B1(FE_OFN1621_n_6733),
+	.B2(n_5940),
+	.Y(n_7033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434759 (
+	.A1(n_5941),
+	.A2(n_6734),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.X(n_7032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434760 (
+	.A1(n_5941),
+	.A2(n_6735),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.X(n_7031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434761 (
+	.A1(n_5941),
+	.A2(n_6736),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.X(n_7030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434762 (
+	.A1(n_5941),
+	.A2(n_6737),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.X(n_7029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434763 (
+	.A1(n_5941),
+	.A2(n_6727),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.X(n_7028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434764 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.A2_N(n_5643),
+	.B1(FE_OFN1501_n_6722),
+	.B2(n_5940),
+	.Y(n_7027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434765 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.A2_N(n_5643),
+	.B1(FE_OFN1502_n_6724),
+	.B2(n_5940),
+	.Y(n_7026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434766 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.A2_N(n_5643),
+	.B1(FE_OFN1499_n_6718),
+	.B2(n_5940),
+	.Y(n_7025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434767 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.A2_N(n_5643),
+	.B1(n_6720),
+	.B2(n_5940),
+	.Y(n_7024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434768 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.A2_N(n_5643),
+	.B1(n_6726),
+	.B2(n_5940),
+	.Y(n_7023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434769 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.A2_N(n_5643),
+	.B1(n_6731),
+	.B2(n_5940),
+	.Y(n_7022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g434770 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.A2_N(n_5643),
+	.B1(n_6729),
+	.B2(n_5940),
+	.Y(n_7021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434771 (
+	.A1(FE_OFN1621_n_6733),
+	.A2(n_5943),
+	.B1(n_5908),
+	.Y(n_7020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434772 (
+	.A1(n_5942),
+	.A2(n_6734),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.X(n_7019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434773 (
+	.A1(n_5942),
+	.A2(n_6735),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.X(n_7018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434774 (
+	.A1(n_5942),
+	.A2(n_6736),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.X(n_7017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434775 (
+	.A1(n_5942),
+	.A2(n_6737),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.X(n_7016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434776 (
+	.A1(n_5942),
+	.A2(n_6727),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.X(n_7015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434777 (
+	.A1(FE_OFN984_n_5382),
+	.A2(n_6732),
+	.B1(n_2534),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_7014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434778 (
+	.A1(FE_OFN1502_n_6724),
+	.A2(n_5943),
+	.B1(n_5906),
+	.Y(n_7013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g434779 (
+	.A1(FE_OFN1501_n_6722),
+	.A2(n_5943),
+	.B1(n_5907),
+	.Y(n_7012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434780 (
+	.A1(FE_OFN1499_n_6718),
+	.A2(n_5943),
+	.B1(n_5905),
+	.Y(n_7011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434781 (
+	.A1(n_6720),
+	.A2(n_5943),
+	.B1(n_5904),
+	.Y(n_7010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434782 (
+	.A1(n_6726),
+	.A2(n_5943),
+	.B1(n_5903),
+	.Y(n_7009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434783 (
+	.A1(n_6731),
+	.A2(n_5943),
+	.B1(n_5902),
+	.Y(n_7008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g434784 (
+	.A1(n_6729),
+	.A2(n_5943),
+	.B1(n_5901),
+	.Y(n_7007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434785 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
+	.X(n_7006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434786 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
+	.X(n_7005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434787 (
+	.A1(FE_OFN994_n_6488),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1496_n_6712),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
+	.X(n_7004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434788 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
+	.X(n_7003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434789 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
+	.X(n_7002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434790 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
+	.X(n_7001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434791 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
+	.X(n_7000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434792 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
+	.X(n_6999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434793 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
+	.X(n_6998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434794 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
+	.X(n_6997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434795 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
+	.X(n_6996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434796 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
+	.X(n_6995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434797 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
+	.X(n_6994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434798 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
+	.X(n_6993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434799 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
+	.X(n_6992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434800 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
+	.X(n_6991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434801 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
+	.X(n_6990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434802 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
+	.X(n_6989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434803 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
+	.X(n_6988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434804 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
+	.X(n_6987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434805 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
+	.X(n_6986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434806 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
+	.X(n_6985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434807 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
+	.X(n_6984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434808 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
+	.X(n_6983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434809 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
+	.X(n_6982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434810 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
+	.X(n_6981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434811 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
+	.X(n_6980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434812 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
+	.X(n_6979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434813 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
+	.X(n_6978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434814 (
+	.A1(FE_OFN1498_n_6714),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1497_n_6713),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
+	.X(n_6977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434815 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
+	.X(n_6976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434816 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
+	.X(n_6975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434817 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
+	.X(n_6974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434818 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
+	.X(n_6973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434819 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
+	.X(n_6972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434820 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
+	.X(n_6971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434821 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
+	.X(n_6970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434822 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
+	.X(n_6969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434823 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
+	.X(n_6968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434824 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
+	.X(n_6967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434825 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
+	.X(n_6966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434826 (
+	.A1(FE_OFN993_n_6487),
+	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
+	.X(n_6965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434827 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
+	.X(n_6964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434828 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
+	.X(n_6963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434829 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
+	.X(n_6962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434830 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
+	.X(n_6961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434831 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
+	.X(n_6960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434832 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
+	.X(n_6959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434833 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
+	.X(n_6958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434834 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
+	.X(n_6957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434835 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
+	.X(n_6956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434836 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
+	.X(n_6955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434837 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
+	.X(n_6954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434838 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
+	.X(n_6953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434839 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
+	.X(n_6952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434840 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
+	.X(n_6951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434841 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
+	.X(n_6950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434842 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
+	.X(n_6949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434843 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
+	.X(n_6948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434844 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
+	.X(n_6947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434845 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
+	.X(n_6946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g434846 (
+	.A1(FE_OFN993_n_6487),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1495_n_6711),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
+	.X(n_6945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434847 (
+	.A1(n_2419),
+	.A2(n_6618),
+	.B1(n_1746),
+	.B2(FE_OFN18279_n_16012),
+	.Y(n_6944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434848 (
+	.A1(FE_OFN18230_n_3080),
+	.A2(n_6611),
+	.B1(n_2419),
+	.B2(n_6653),
+	.Y(n_6943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434849 (
+	.A1(n_2419),
+	.A2(n_6641),
+	.B1(n_1746),
+	.B2(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.Y(n_6942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434850 (
+	.A1(FE_OFN18230_n_3080),
+	.A2(n_6617),
+	.B1(n_2419),
+	.B2(n_6609),
+	.Y(n_6941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434851 (
+	.A1(FE_OFN18230_n_3080),
+	.A2(n_6636),
+	.B1(n_2419),
+	.B2(n_6613),
+	.Y(n_6940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g434852 (
+	.A1(FE_OFN18230_n_3080),
+	.A2(n_6638),
+	.B1(n_2419),
+	.B2(n_6612),
+	.Y(n_6939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g434853 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[8]),
+	.B(n_5990),
+	.Y(n_6938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434854 (
+	.A(n_6925),
+	.Y(n_6926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g434855 (
+	.A(n_6923),
+	.Y(n_6922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434856 (
+	.A(n_6921),
+	.Y(n_6920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434858 (
+	.A(n_6592),
+	.B(n_6185),
+	.Y(n_6913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434859 (
+	.A(n_6593),
+	.B(n_6187),
+	.Y(n_6912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434860 (
+	.A(n_6594),
+	.B(n_6191),
+	.Y(n_6911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434861 (
+	.A(n_6595),
+	.B(n_6197),
+	.Y(n_6910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434862 (
+	.A(n_6596),
+	.B(n_6199),
+	.Y(n_6909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434863 (
+	.A(n_6597),
+	.B(n_6203),
+	.Y(n_6908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434864 (
+	.A(n_6598),
+	.B(n_6205),
+	.Y(n_6907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434865 (
+	.A(n_6367),
+	.B(n_3088),
+	.Y(n_6906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g434866 (
+	.A1(n_5673),
+	.A2(n_1533),
+	.A3(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.A4(\u_soc_u_top_u_core_imd_val_q_ex[1] [29]),
+	.B1(n_6628),
+	.Y(n_6905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434867 (
+	.A(n_5991),
+	.B(n_1059),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [1]),
+	.D(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_6904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434868 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_6312),
+	.Y(n_6903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434869 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6697),
+	.Y(n_6902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434870 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6698),
+	.Y(n_6901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434871 (
+	.A(n_6601),
+	.B(n_6195),
+	.Y(n_6900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434872 (
+	.A(n_6602),
+	.B(n_6201),
+	.Y(n_6899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434873 (
+	.A(n_6603),
+	.B(n_6193),
+	.Y(n_6898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434874 (
+	.A(n_6605),
+	.B(n_6212),
+	.Y(n_6897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434875 (
+	.A(n_6608),
+	.B(n_6210),
+	.Y(n_6896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434876 (
+	.A(n_6610),
+	.B(n_6208),
+	.Y(n_6895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g434877 (
+	.A1(n_1526),
+	.A2(n_5951),
+	.B1(n_5274),
+	.C1(n_6614),
+	.Y(n_6894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g434878 (
+	.A1(n_2286),
+	.A2(n_5398),
+	.B1(n_5917),
+	.C1(n_6615),
+	.Y(n_6893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434879 (
+	.A(FE_OFN18219_n_6709),
+	.B(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.Y(n_6892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434880 (
+	.A(FE_OFN18219_n_6709),
+	.B(FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21),
+	.Y(n_6891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434881 (
+	.A(n_6708),
+	.B(u_soc_u_top_u_core_instr_rdata_id[7]),
+	.Y(n_6890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434882 (
+	.A(n_6708),
+	.B(u_soc_u_top_u_core_instr_rdata_c_id[0]),
+	.Y(n_6889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434883 (
+	.A(FE_OFN18321_n_6708),
+	.B(FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4),
+	.Y(n_6888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434884 (
+	.A(FE_OFN18320_n_6708),
+	.B(FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3),
+	.Y(n_6887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434885 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.B(n_6739),
+	.Y(n_6886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434952 (
+	.A(n_1248),
+	.B(n_6716),
+	.Y(n_6937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434953 (
+	.A(FE_OFN998_n_6709),
+	.B(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.Y(n_6936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434958 (
+	.A(n_1042),
+	.B(n_6716),
+	.Y(n_6935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434961 (
+	.A(n_1046),
+	.B(n_6716),
+	.Y(n_6934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434965 (
+	.A(n_5782),
+	.B(n_1748),
+	.C(n_1813),
+	.D(n_2907),
+	.Y(n_6933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434966 (
+	.A(n_5785),
+	.B(n_2629),
+	.C(n_2136),
+	.D(n_2973),
+	.Y(n_6932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434967 (
+	.A(n_5786),
+	.B(n_2914),
+	.C(n_2136),
+	.D(n_2620),
+	.Y(n_6931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434968 (
+	.A(n_5781),
+	.B(n_2972),
+	.C(n_2136),
+	.D(n_2665),
+	.Y(n_6930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g434969 (
+	.A(n_5780),
+	.B(n_2631),
+	.C(n_2136),
+	.D(n_2967),
+	.Y(n_6929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434975 (
+	.A(n_5988),
+	.B(n_1451),
+	.Y(n_6928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434979 (
+	.A(n_143),
+	.B(n_5989),
+	.Y(n_6927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434980 (
+	.A(n_6715),
+	.B(n_1262),
+	.Y(n_6925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g434981 (
+	.A(n_6739),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.X(n_6924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g434986 (
+	.A(FE_OFN998_n_6709),
+	.B(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
+	.Y(n_6923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g434987 (
+	.A1(n_1189),
+	.A2(n_13),
+	.B1(n_6709),
+	.Y(n_6921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g434988 (
+	.A(n_6),
+	.B(n_6708),
+	.X(n_6919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434989 (
+	.A(n_1436),
+	.B(n_6716),
+	.Y(n_6918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434990 (
+	.A(n_15933),
+	.B(n_6716),
+	.Y(n_6917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434991 (
+	.A(n_15929),
+	.B(n_6716),
+	.Y(n_6916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g434992 (
+	.A(n_6708),
+	.B(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.Y(n_6915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g434994 (
+	.A(n_6872),
+	.Y(n_6873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g434995 (
+	.A(n_6871),
+	.Y(n_6870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434997 (
+	.A(FE_OFN19255_n_6867),
+	.Y(n_6866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434998 (
+	.A(FE_OFN1002_n_6865),
+	.Y(n_6864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g434999 (
+	.A(n_6863),
+	.Y(n_6862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435000 (
+	.A(n_6861),
+	.Y(n_6860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435001 (
+	.A(FE_OFN1001_n_6859),
+	.Y(n_6858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435002 (
+	.A(FE_OFN1000_n_6857),
+	.Y(n_6856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435003 (
+	.A1(n_5440),
+	.A2(n_3078),
+	.B1(n_5998),
+	.B2(n_2414),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5439),
+	.Y(n_6855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435004 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.C1(n_6591),
+	.Y(n_6854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435005 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.C1(n_6590),
+	.Y(n_6853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435006 (
+	.A1(n_5939),
+	.A2(n_13423),
+	.B1(n_5938),
+	.B2(FE_PSN4109_FE_OFN1586_n_13424),
+	.C1(n_6583),
+	.Y(n_6852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435007 (
+	.A(n_3059),
+	.B(n_5110),
+	.C(n_5975),
+	.D(n_2640),
+	.Y(n_6851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435008 (
+	.A(n_3062),
+	.B(n_5108),
+	.C(n_5975),
+	.D(n_2636),
+	.Y(n_6850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435009 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[30]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.C1(n_6565),
+	.Y(n_6849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435010 (
+	.A1(n_1037),
+	.A2(n_5831),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.C1(n_5847),
+	.C2(n_1027),
+	.Y(n_6848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435011 (
+	.A1(FE_OFN997_n_6493),
+	.A2(\u_soc_xbar_to_dccm[a_address] [3]),
+	.B1(n_5937),
+	.B2(\u_soc_xbar_to_dccm[a_address] [2]),
+	.X(n_6847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435012 (
+	.A1(n_2287),
+	.A2(n_2162),
+	.B1(n_5938),
+	.B2(FE_OFN18412_n),
+	.C1(n_2564),
+	.C2(n_5396),
+	.Y(n_6846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g435013 (
+	.A1(n_153),
+	.A2(n_6489),
+	.B1(n_1263),
+	.B2(n_5357),
+	.Y(n_6845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435014 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.B1(n_5937),
+	.B2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.X(n_6844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435015 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[3]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.C1(n_6399),
+	.X(n_6843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435016 (
+	.A1(n_6497),
+	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.B1(n_5670),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.X(n_6842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435017 (
+	.A1(n_6504),
+	.A2(n_468),
+	.B1(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(n_6841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435018 (
+	.A1(FE_OFN1608_n_2244),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.B1(FE_OFN1605_n_1513),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.C1(n_6626),
+	.Y(n_6840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435019 (
+	.A1(n_1410),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.B1(n_6688),
+	.Y(n_6839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g435020 (
+	.A1(n_3138),
+	.A2(n_497),
+	.B1(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.C1(n_5990),
+	.Y(n_6838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g435021 (
+	.A1(n_5673),
+	.A2(n_1439),
+	.A3(n_11),
+	.A4(\u_soc_u_top_u_core_imd_val_q_ex[1] [11]),
+	.B1(n_6625),
+	.Y(n_6837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g435022 (
+	.A_N(n_6503),
+	.B(n_1264),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [0]),
+	.Y(n_6836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435023 (
+	.A1(n_6497),
+	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.B1(n_5670),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.X(n_6835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435024 (
+	.A1(n_6497),
+	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.B1(n_5670),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.X(n_6834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435025 (
+	.A1(n_6497),
+	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.B1(n_5670),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.X(n_6833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435026 (
+	.A1(n_6497),
+	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.B1(n_5670),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.X(n_6832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435027 (
+	.A1(n_6497),
+	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.B1(n_5670),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.X(n_6831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435028 (
+	.A1(n_6496),
+	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.B1(n_5668),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.X(n_6830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435029 (
+	.A1(n_6496),
+	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.B1(n_5668),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.X(n_6829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435030 (
+	.A1(n_6496),
+	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.B1(n_5668),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.X(n_6828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435031 (
+	.A1(n_6496),
+	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.B1(n_5668),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.X(n_6827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435032 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5428),
+	.B1(n_5385),
+	.B2(n_2925),
+	.C1(n_6664),
+	.Y(n_6826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435033 (
+	.A1(n_5445),
+	.A2(n_3078),
+	.B1(FE_OFN1646_n_3077),
+	.B2(n_5444),
+	.C1(n_6001),
+	.C2(n_2414),
+	.Y(n_6825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435034 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5457),
+	.B1(n_5385),
+	.B2(n_2567),
+	.C1(n_6666),
+	.Y(n_6824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g435035 (
+	.A(n_13408),
+	.B(FE_PSN4147_n_13407),
+	.C(FE_PSN4168_n_13409),
+	.D(n_5919),
+	.X(n_6823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g435036 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.D(n_5921),
+	.X(n_6822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435037 (
+	.A1(n_13418),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5960),
+	.B2(n_1422),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.Y(n_6821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435038 (
+	.A1(FE_OFN18492_n_13420),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5960),
+	.B2(n_1423),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.Y(n_6820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435039 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.A2(FE_OFN1481_n_5649),
+	.B1(n_5960),
+	.B2(n_1524),
+	.C1(FE_OFN1480_n_5647),
+	.C2(n_13423),
+	.Y(n_6819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435040 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.A2(FE_OFN1481_n_5649),
+	.B1(n_5960),
+	.B2(n_1523),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.Y(n_6818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435041 (
+	.A1(\u_soc_uart_to_xbar[d_data] [24]),
+	.A2(n_1411),
+	.B1(n_5956),
+	.B2(FE_OFN19312_u_soc_u_dccm_rdata3_24),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.Y(n_6817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435042 (
+	.A1(\u_soc_uart_to_xbar[d_data] [25]),
+	.A2(n_1411),
+	.B1(n_5956),
+	.B2(FE_OFN19303_u_soc_u_dccm_rdata3_25),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.Y(n_6816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435043 (
+	.A1(\u_soc_uart_to_xbar[d_data] [26]),
+	.A2(n_1411),
+	.B1(n_5956),
+	.B2(FE_OFN19305_u_soc_u_dccm_rdata3_26),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.Y(n_6815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435044 (
+	.A1(\u_soc_uart_to_xbar[d_data] [28]),
+	.A2(n_1411),
+	.B1(n_5958),
+	.B2(FE_OFN19106_u_soc_u_dccm_rdata4_28),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.Y(n_6814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435045 (
+	.A1(\u_soc_uart_to_xbar[d_data] [29]),
+	.A2(n_1411),
+	.B1(n_5956),
+	.B2(FE_OFN19313_u_soc_u_dccm_rdata3_29),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.Y(n_6813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435046 (
+	.A1(\u_soc_uart_to_xbar[d_data] [30]),
+	.A2(n_1411),
+	.B1(n_5958),
+	.B2(FE_OFN19109_u_soc_u_dccm_rdata4_30),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.Y(n_6812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435047 (
+	.A1(\u_soc_uart_to_xbar[d_data] [31]),
+	.A2(n_1411),
+	.B1(n_5958),
+	.B2(FE_OFN19105_u_soc_u_dccm_rdata4_31),
+	.C1(FE_OFN930_n_2987),
+	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.Y(n_6811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g435048 (
+	.A1(n_1428),
+	.A2(n_13478),
+	.B1(n_1986),
+	.C1(n_2965),
+	.D1(n_5791),
+	.Y(n_6810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435049 (
+	.A1(n_13430),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5949),
+	.B2(n_1524),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.Y(n_6809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435050 (
+	.A1(FE_COEN4285_n_13437),
+	.A2(FE_OFN1480_n_5647),
+	.B1(n_5960),
+	.B2(n_1421),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.Y(n_6808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435051 (
+	.A1(n_13435),
+	.A2(FE_OFN1480_n_5647),
+	.B1(n_5960),
+	.B2(n_1417),
+	.C1(FE_OFN1482_n_5651),
+	.C2(n_13434),
+	.Y(n_6807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435052 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.A2(FE_OFN1481_n_5649),
+	.B1(n_5949),
+	.B2(n_1423),
+	.C1(FE_OFN1480_n_5647),
+	.C2(FE_PSN4363_n_13429),
+	.Y(n_6806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435053 (
+	.A1(n_5448),
+	.A2(n_3078),
+	.B1(FE_OFN1646_n_3077),
+	.B2(n_5447),
+	.C1(n_5874),
+	.C2(n_2414),
+	.Y(n_6805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435054 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.A2(FE_OFN1481_n_5649),
+	.B1(FE_OFN989_n_5950),
+	.B2(n_1417),
+	.C1(FE_OFN1480_n_5647),
+	.C2(n_13444),
+	.Y(n_6804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435055 (
+	.A1(FE_COEN4330_n_13432),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5960),
+	.B2(n_1522),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.Y(n_6803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435056 (
+	.A1(n_13427),
+	.A2(FE_OFN1480_n_5647),
+	.B1(n_5949),
+	.B2(n_1422),
+	.C1(FE_OFN1481_n_5649),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_6802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435057 (
+	.A1(n_13446),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5949),
+	.B2(n_1523),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.Y(n_6801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435058 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.A2(FE_OFN1481_n_5649),
+	.B1(n_5949),
+	.B2(n_1421),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.Y(n_6800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435059 (
+	.A1(FE_PSN4109_FE_OFN1586_n_13424),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5949),
+	.B2(n_1525),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.Y(n_6799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435060 (
+	.A1(n_5434),
+	.A2(FE_OFN1646_n_3077),
+	.B1(n_6000),
+	.B2(n_2414),
+	.C1(n_3078),
+	.C2(n_5435),
+	.Y(n_6798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435061 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6694),
+	.Y(n_6797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435062 (
+	.A1(n_13442),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5949),
+	.B2(n_1417),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.Y(n_6796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435063 (
+	.A1(n_2414),
+	.A2(n_6006),
+	.B1(n_3078),
+	.B2(n_5428),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5430),
+	.Y(n_6795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435064 (
+	.A1(n_5433),
+	.A2(n_3078),
+	.B1(n_6008),
+	.B2(n_2414),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5431),
+	.Y(n_6794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435065 (
+	.A1(n_5436),
+	.A2(FE_OFN1646_n_3077),
+	.B1(n_6004),
+	.B2(n_2414),
+	.C1(n_3078),
+	.C2(n_5437),
+	.Y(n_6793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435066 (
+	.A1(n_5441),
+	.A2(n_3078),
+	.B1(n_5996),
+	.B2(n_2414),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5442),
+	.Y(n_6792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435067 (
+	.A1(FE_OFN1094_n_13091),
+	.A2(FE_OFN1482_n_5651),
+	.B1(n_5949),
+	.B2(n_1522),
+	.C1(n_2982),
+	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.Y(n_6791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435068 (
+	.A1(FE_OFN927_n_2421),
+	.A2(n_13561),
+	.B1(n_3085),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
+	.C1(n_6395),
+	.X(n_6790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g435069 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.B(n_5671),
+	.X(n_6789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g435070 (
+	.A1_N(n_1046),
+	.A2_N(n_5671),
+	.B1(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.B2(n_5671),
+	.Y(n_6788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435071 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.Y(n_6787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435072 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.Y(n_6786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435073 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.Y(n_6785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435074 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.Y(n_6784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435075 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.B1(n_5937),
+	.B2(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.X(n_6783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435076 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.X(n_6782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435077 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_6781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435078 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.B1(n_5937),
+	.B2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.X(n_6780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435079 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.X(n_6779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435080 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.Y(n_6778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435081 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_6777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435082 (
+	.A1(FE_OFN997_n_6493),
+	.A2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.B1(n_5937),
+	.B2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.X(n_6776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435083 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.Y(n_6775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435084 (
+	.A1(FE_OFN997_n_6493),
+	.A2(\u_soc_lsu_to_xbar[a_address] [15]),
+	.B1(n_5937),
+	.B2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.X(n_6774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435085 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.Y(n_6773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435086 (
+	.A1(FE_OFN997_n_6493),
+	.A2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.B1(n_5937),
+	.B2(\u_soc_lsu_to_xbar[a_address] [16]),
+	.X(n_6772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435087 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.Y(n_6771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435088 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.B1(n_5937),
+	.B2(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.X(n_6770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435089 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.Y(n_6769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435090 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.B1(n_5937),
+	.B2(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20),
+	.X(n_6768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435091 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.X(n_6767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435092 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.Y(n_6766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435093 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
+	.B1(n_5937),
+	.B2(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
+	.X(n_6765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435094 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.Y(n_6764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435095 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
+	.B1(n_5937),
+	.B2(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
+	.X(n_6763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435096 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.B1(FE_OFN996_n_6492),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.X(n_6762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435097 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.Y(n_6761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435098 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
+	.B1(n_5937),
+	.B2(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.X(n_6760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435099 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.Y(n_6759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435100 (
+	.A1(FE_OFN997_n_6493),
+	.A2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.B1(n_5937),
+	.B2(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.X(n_6758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435101 (
+	.A1(FE_OFN996_n_6492),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.X(n_6757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435102 (
+	.A1(n_6494),
+	.A2(n_5687),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.X(n_6756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435103 (
+	.A1(n_6494),
+	.A2(n_5686),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.X(n_6755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435104 (
+	.A1(n_6494),
+	.A2(n_5684),
+	.B1(n_16007),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.X(n_6754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435105 (
+	.A1(n_6500),
+	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.B1(n_5957),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.X(n_6753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435106 (
+	.A1(n_6500),
+	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.B1(n_5957),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.X(n_6752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435107 (
+	.A1(n_6500),
+	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.B1(n_5957),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.X(n_6751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435108 (
+	.A1(n_6500),
+	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.B1(n_5957),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.X(n_6750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435109 (
+	.A1(n_6500),
+	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.B1(n_5957),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.X(n_6749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435110 (
+	.A1(n_6500),
+	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.B1(n_5957),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.X(n_6748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435111 (
+	.A1(n_6499),
+	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.B1(n_5669),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.X(n_6747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435112 (
+	.A1(n_6499),
+	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.B1(n_5669),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.X(n_6746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435113 (
+	.A1(n_6499),
+	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.B1(n_5669),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.X(n_6745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435114 (
+	.A1(n_6499),
+	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.B1(n_5669),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.X(n_6744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435115 (
+	.A1(n_6499),
+	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.B1(n_5669),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.X(n_6743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435116 (
+	.A1(n_6499),
+	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.B1(n_5669),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.X(n_6742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435117 (
+	.A1(n_6496),
+	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.B1(n_5668),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.X(n_6741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435118 (
+	.A1(n_6496),
+	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.B1(n_5668),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.X(n_6740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435119 (
+	.A(n_5787),
+	.B(n_2623),
+	.C(n_5975),
+	.D(n_2963),
+	.Y(n_6884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435120 (
+	.A1(n_5827),
+	.A2(n_1037),
+	.B1(n_1035),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.C1(n_6548),
+	.Y(n_6883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435121 (
+	.A1(n_5837),
+	.A2(n_1037),
+	.B1(n_1035),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.C1(n_6547),
+	.Y(n_6882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435122 (
+	.A1(n_5839),
+	.A2(n_1037),
+	.B1(n_1035),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.C1(n_6546),
+	.Y(n_6881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435123 (
+	.A1(n_5971),
+	.A2(FE_OFN296_u_soc_u_dccm_rdata1_24),
+	.B1(n_5962),
+	.B2(FE_OFN19289_u_soc_u_dccm_rdata2_24),
+	.C1(n_6668),
+	.X(n_6880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435124 (
+	.A1(n_5971),
+	.A2(FE_OFN295_u_soc_u_dccm_rdata1_25),
+	.B1(n_5962),
+	.B2(FE_OFN19293_u_soc_u_dccm_rdata2_25),
+	.C1(n_6669),
+	.X(n_6879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435125 (
+	.A1(n_5971),
+	.A2(FE_OFN294_u_soc_u_dccm_rdata1_26),
+	.B1(n_5962),
+	.B2(FE_OFN326_u_soc_u_dccm_rdata2_26),
+	.C1(n_6670),
+	.X(n_6878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435126 (
+	.A1(n_5971),
+	.A2(FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28),
+	.B1(n_5962),
+	.B2(FE_OFN324_u_soc_u_dccm_rdata2_28),
+	.C1(n_6671),
+	.X(n_6877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435127 (
+	.A1(n_5971),
+	.A2(FE_OFN291_u_soc_u_dccm_rdata1_29),
+	.B1(n_5962),
+	.B2(FE_OFN19307_u_soc_u_dccm_rdata2_29),
+	.C1(n_6672),
+	.X(n_6876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435128 (
+	.A1(n_5971),
+	.A2(FE_OFN290_u_soc_u_dccm_rdata1_30),
+	.B1(n_5962),
+	.B2(FE_OFN19292_u_soc_u_dccm_rdata2_30),
+	.C1(n_6673),
+	.X(n_6875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435129 (
+	.A1(n_5971),
+	.A2(FE_OFN289_u_soc_u_dccm_rdata1_31),
+	.B1(n_5962),
+	.B2(FE_OFN19279_u_soc_u_dccm_rdata2_31),
+	.C1(n_6674),
+	.X(n_6874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g435130 (
+	.A1(n_5843),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.C1(n_6549),
+	.Y(n_6872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g435131 (
+	.A1(n_5842),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.C1(n_6550),
+	.Y(n_6871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g435132 (
+	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.B(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
+	.C(FE_OFN18219_n_6709),
+	.Y(n_6869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435133 (
+	.A1(n_5845),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.C1(n_6551),
+	.Y(n_6867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435134 (
+	.A1(n_5847),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.C1(n_6552),
+	.Y(n_6865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g435135 (
+	.A(n_6558),
+	.B(n_6557),
+	.Y(n_6863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435136 (
+	.A(n_6556),
+	.B(n_6555),
+	.Y(n_6861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435137 (
+	.A1(n_5853),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.C1(n_6554),
+	.Y(n_6859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435138 (
+	.A1(n_5849),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.C1(n_6553),
+	.Y(n_6857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435396 (
+	.A(FE_OFN1621_n_6733),
+	.Y(n_6732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435397 (
+	.A(n_6731),
+	.Y(n_6730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435398 (
+	.A(n_6729),
+	.Y(n_6728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435399 (
+	.A(n_6726),
+	.Y(n_6725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435400 (
+	.A(FE_OFN1502_n_6724),
+	.Y(n_6723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435401 (
+	.A(FE_OFN1501_n_6722),
+	.Y(n_6721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435402 (
+	.A(n_6720),
+	.Y(n_6719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435403 (
+	.A(FE_OFN1499_n_6718),
+	.Y(n_6717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435404 (
+	.A(n_6715),
+	.Y(n_6716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435406 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.C1(n_6373),
+	.Y(n_6707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435407 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
+	.C1(n_5790),
+	.Y(n_6706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g435408 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2_N(n_5407),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B2(n_5407),
+	.Y(n_6705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435409 (
+	.A1(n_5959),
+	.A2(FE_OFN19279_u_soc_u_dccm_rdata2_31),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.Y(n_6704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435410 (
+	.A1(n_5959),
+	.A2(FE_OFN19292_u_soc_u_dccm_rdata2_30),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.Y(n_6703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435411 (
+	.A1(n_5972),
+	.A2(FE_OFN291_u_soc_u_dccm_rdata1_29),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.Y(n_6702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435412 (
+	.A1(n_5972),
+	.A2(FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.Y(n_6701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435413 (
+	.A1(n_5942),
+	.A2(n_5684),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.X(n_6700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435414 (
+	.A1(n_5972),
+	.A2(FE_OFN294_u_soc_u_dccm_rdata1_26),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.Y(n_6699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435415 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
+	.B(n_6508),
+	.Y(n_6698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435416 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
+	.B(n_6506),
+	.Y(n_6697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g435417 (
+	.A_N(n_6503),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[1] [6]),
+	.Y(n_6696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435419 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
+	.B(n_6507),
+	.Y(n_6694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435420 (
+	.A(n_6498),
+	.B(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.Y(n_6693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435421 (
+	.A1(n_5942),
+	.A2(n_5686),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.X(n_6692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435422 (
+	.A(n_3099),
+	.B(n_6326),
+	.Y(n_6691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435423 (
+	.A1(n_5942),
+	.A2(n_5687),
+	.B1(n_5644),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.X(n_6690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435424 (
+	.A1(n_5959),
+	.A2(FE_OFN19293_u_soc_u_dccm_rdata2_25),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.Y(n_6689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g435425 (
+	.A1(FE_OFN19533_n_577),
+	.A2(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A3(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A4(n_2382),
+	.B1(n_6357),
+	.Y(n_6688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435426 (
+	.A1(n_5972),
+	.A2(FE_OFN296_u_soc_u_dccm_rdata1_24),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.Y(n_6687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435427 (
+	.A1(n_5776),
+	.A2(n_13327),
+	.B1(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(n_6686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435428 (
+	.A1(n_5941),
+	.A2(n_5684),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.X(n_6685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435429 (
+	.A1(n_5941),
+	.A2(n_5686),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.X(n_6684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435430 (
+	.A1(n_5941),
+	.A2(n_5687),
+	.B1(n_5643),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.X(n_6683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435431 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.B1(n_1884),
+	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.C1(n_6364),
+	.Y(n_6682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435432 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_5922),
+	.Y(n_6681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435433 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[17]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
+	.C1(n_6286),
+	.X(n_6680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435434 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[21]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
+	.C1(n_6261),
+	.X(n_6679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g435435 (
+	.A1(n_3100),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
+	.B1(n_5770),
+	.C1(n_5411),
+	.X(n_6678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435436 (
+	.A1(n_5944),
+	.A2(n_5684),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.X(n_6677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435437 (
+	.A1(n_5944),
+	.A2(n_5686),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.X(n_6676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435438 (
+	.A1(n_5944),
+	.A2(n_5687),
+	.B1(n_5642),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.X(n_6675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435439 (
+	.A1(n_5967),
+	.A2(FE_OFN19299_u_soc_u_dccm_rdata3_31),
+	.B1(n_5968),
+	.B2(FE_OFN19105_u_soc_u_dccm_rdata4_31),
+	.X(n_6674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435440 (
+	.A1(n_5967),
+	.A2(FE_OFN19320_u_soc_u_dccm_rdata3_30),
+	.B1(n_5968),
+	.B2(FE_OFN19109_u_soc_u_dccm_rdata4_30),
+	.X(n_6673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435441 (
+	.A1(n_5967),
+	.A2(FE_OFN19313_u_soc_u_dccm_rdata3_29),
+	.B1(n_5968),
+	.B2(FE_OFN19110_u_soc_u_dccm_rdata4_29),
+	.X(n_6672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435442 (
+	.A1(n_5967),
+	.A2(FE_OFN19318_u_soc_u_dccm_rdata3_28),
+	.B1(n_5968),
+	.B2(FE_OFN19106_u_soc_u_dccm_rdata4_28),
+	.X(n_6671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435443 (
+	.A1(n_5967),
+	.A2(FE_OFN19305_u_soc_u_dccm_rdata3_26),
+	.B1(n_5968),
+	.B2(FE_OFN19108_u_soc_u_dccm_rdata4_26),
+	.X(n_6670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435444 (
+	.A1(n_5967),
+	.A2(FE_OFN19303_u_soc_u_dccm_rdata3_25),
+	.B1(n_5968),
+	.B2(FE_OFN19437_u_soc_u_dccm_rdata4_25),
+	.X(n_6669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435445 (
+	.A1(n_5967),
+	.A2(FE_OFN19312_u_soc_u_dccm_rdata3_24),
+	.B1(n_5968),
+	.B2(FE_OFN19111_u_soc_u_dccm_rdata4_24),
+	.X(n_6668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g435446 (
+	.A1(n_2413),
+	.A2(n_5872),
+	.B1(n_2558),
+	.B2(n_5388),
+	.Y(n_6667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g435447 (
+	.A1(n_2413),
+	.A2(n_5867),
+	.B1(n_2569),
+	.B2(n_5388),
+	.Y(n_6666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g435448 (
+	.A1(n_2413),
+	.A2(n_5864),
+	.B1(n_2589),
+	.B2(n_5388),
+	.Y(n_6665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g435449 (
+	.A1(n_2413),
+	.A2(n_5859),
+	.B1(n_2597),
+	.B2(n_5388),
+	.Y(n_6664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435450 (
+	.A1(n_1884),
+	.A2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B1(FE_OFN884_n_1413),
+	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.C1(n_6126),
+	.Y(n_6663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435451 (
+	.A1(n_6006),
+	.A2(n_2413),
+	.B1(n_3081),
+	.Y(n_6662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435452 (
+	.A1(n_2414),
+	.A2(n_6007),
+	.B1(n_3082),
+	.Y(n_6661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g435453 (
+	.A1(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.A2(n_152),
+	.A3(n_1683),
+	.B1(n_6300),
+	.Y(n_6660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435454 (
+	.A(n_5697),
+	.B(n_5617),
+	.C(n_1257),
+	.D(n_3088),
+	.Y(n_6659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435455 (
+	.A1(n_2414),
+	.A2(n_6003),
+	.B1(n_3082),
+	.Y(n_6658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435456 (
+	.A(n_5679),
+	.B(n_1417),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [17]),
+	.D(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_6657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435457 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5929),
+	.Y(n_6656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435458 (
+	.A(n_5679),
+	.B(n_1522),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [15]),
+	.D(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_6655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g435459 (
+	.A(n_5980),
+	.B(n_1522),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [16]),
+	.Y(n_6654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435460 (
+	.A1(n_2414),
+	.A2(n_5995),
+	.B1(n_3082),
+	.Y(n_6653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g435461 (
+	.A(n_5980),
+	.B(n_1417),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [18]),
+	.Y(n_6652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g435462 (
+	.A(n_5980),
+	.B(n_1421),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [20]),
+	.Y(n_6651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435463 (
+	.A1(n_2414),
+	.A2(n_5859),
+	.B1(n_3082),
+	.Y(n_6650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435464 (
+	.A1(n_2414),
+	.A2(n_5860),
+	.B1(n_3082),
+	.Y(n_6649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435465 (
+	.A1(n_2414),
+	.A2(n_5861),
+	.B1(n_3082),
+	.Y(n_6648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435466 (
+	.A1(n_2414),
+	.A2(n_5862),
+	.B1(n_3082),
+	.Y(n_6647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435467 (
+	.A1(n_2414),
+	.A2(n_5863),
+	.B1(n_3082),
+	.Y(n_6646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435468 (
+	.A1(n_2414),
+	.A2(n_5864),
+	.B1(n_3082),
+	.Y(n_6645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435469 (
+	.A1(n_5866),
+	.A2(n_2413),
+	.B1(n_3081),
+	.Y(n_6644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435470 (
+	.A1(n_2982),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.B1(FE_OFN1482_n_5651),
+	.B2(n_13448),
+	.C1(n_6184),
+	.X(n_6643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g435471 (
+	.A1(n_2413),
+	.A2(n_5861),
+	.B1(n_2584),
+	.B2(n_5388),
+	.C1(n_5766),
+	.Y(n_6642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g435472 (
+	.A1(n_2413),
+	.A2(n_5862),
+	.B1(n_2577),
+	.B2(n_5388),
+	.C1(n_5764),
+	.Y(n_6641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g435473 (
+	.A1(n_2413),
+	.A2(n_5863),
+	.B1(n_2598),
+	.B2(n_5388),
+	.C1(n_5763),
+	.Y(n_6640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g435474 (
+	.A1(n_2413),
+	.A2(n_5865),
+	.B1(n_2551),
+	.B2(n_5388),
+	.C1(n_5761),
+	.Y(n_6639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g435475 (
+	.A1(n_2413),
+	.A2(n_5858),
+	.B1(n_2557),
+	.B2(n_5388),
+	.C1(n_5758),
+	.Y(n_6638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g435476 (
+	.A1(n_2413),
+	.A2(n_5994),
+	.B1(n_2568),
+	.B2(n_5388),
+	.C1(n_5757),
+	.Y(n_6637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435477 (
+	.A1(n_2413),
+	.A2(n_5868),
+	.B1(n_6011),
+	.Y(n_6636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435478 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[15]),
+	.B1(n_1233),
+	.B2(u_soc_u_top_u_core_debug_ebreakm),
+	.C1(n_6366),
+	.Y(n_6635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435479 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.C1(n_6379),
+	.Y(n_6634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435480 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.C1(n_6365),
+	.Y(n_6633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435481 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.C1(n_6378),
+	.Y(n_6632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435482 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.C1(n_6377),
+	.Y(n_6631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g435483 (
+	.A(n_5948),
+	.B(n_1524),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [14]),
+	.Y(n_6630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g435484 (
+	.A(n_5980),
+	.B(n_1523),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [22]),
+	.Y(n_6629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g435485 (
+	.A(n_5948),
+	.B(n_1523),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [30]),
+	.X(n_6628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435486 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.C1(n_6374),
+	.Y(n_6627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435487 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.C1(n_5792),
+	.X(n_6626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g435488 (
+	.A(n_5948),
+	.B(n_1422),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [10]),
+	.X(n_6625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g435489 (
+	.A(n_5948),
+	.B(n_1423),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [12]),
+	.Y(n_6624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435490 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.C1(n_6372),
+	.Y(n_6623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435491 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.C1(n_6371),
+	.Y(n_6622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435492 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.C1(n_6370),
+	.Y(n_6621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435493 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[31]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
+	.C1(n_6369),
+	.Y(n_6620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435494 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.A2(n_5663),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.C1(n_5937),
+	.C2(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.Y(n_6619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435495 (
+	.A1(n_2413),
+	.A2(n_5860),
+	.B1(n_6013),
+	.Y(n_6618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435496 (
+	.A1(n_5869),
+	.A2(n_2414),
+	.B1(n_5387),
+	.B2(n_2565),
+	.C1(n_5756),
+	.X(n_6617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435497 (
+	.A1(n_5870),
+	.A2(n_2414),
+	.B1(n_5387),
+	.B2(n_2581),
+	.C1(n_5755),
+	.X(n_6616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435498 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.C1(FE_OFN1480_n_5647),
+	.C2(n_13418),
+	.Y(n_6615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435499 (
+	.A1(n_13426),
+	.A2(FE_OFN1480_n_5647),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.C1(FE_OFN1482_n_5651),
+	.C2(FE_PSN4424_FE_OFN18315_n_13425),
+	.Y(n_6614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435500 (
+	.A1(n_2414),
+	.A2(n_5997),
+	.B1(n_3082),
+	.Y(n_6613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435501 (
+	.A1(n_2414),
+	.A2(n_5999),
+	.B1(n_3082),
+	.Y(n_6612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435502 (
+	.A1(n_2413),
+	.A2(n_5873),
+	.B1(n_6010),
+	.Y(n_6611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435503 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1524),
+	.Y(n_6610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435504 (
+	.A(n_6389),
+	.B(n_3082),
+	.Y(n_6609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435505 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1423),
+	.Y(n_6608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435506 (
+	.A1(n_5874),
+	.A2(n_2413),
+	.B1(n_3081),
+	.Y(n_6607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435507 (
+	.A1(n_5871),
+	.A2(n_2413),
+	.B1(n_3081),
+	.Y(n_6606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435508 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.A2(n_2982),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_OFN18492_n_13420),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1422),
+	.Y(n_6605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435509 (
+	.A1(n_5871),
+	.A2(n_2414),
+	.B1(n_6012),
+	.Y(n_6604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435510 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1523),
+	.Y(n_6603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435511 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.C1(FE_OFN989_n_5950),
+	.C2(n_1524),
+	.Y(n_6602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435512 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1421),
+	.Y(n_6601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435513 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5455),
+	.B1(n_3078),
+	.B2(n_5463),
+	.C1(n_5895),
+	.Y(n_6600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435514 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5456),
+	.B1(n_3078),
+	.B2(n_5464),
+	.C1(n_5894),
+	.Y(n_6599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435515 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.A2(n_2982),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_PSN4461_FE_OFN1587_n_13428),
+	.C1(FE_OFN989_n_5950),
+	.C2(n_1422),
+	.Y(n_6598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435516 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.C1(FE_OFN989_n_5950),
+	.C2(n_1423),
+	.Y(n_6597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435517 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.A2(n_2982),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13434),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1522),
+	.Y(n_6596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435518 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.A2(n_2982),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_COEN4573_n_13436),
+	.C1(FE_OFN991_n_5961),
+	.C2(n_1417),
+	.Y(n_6595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435519 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.C1(FE_OFN989_n_5950),
+	.C2(n_1522),
+	.Y(n_6594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435520 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.C1(FE_OFN989_n_5950),
+	.C2(n_1421),
+	.Y(n_6593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435521 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.A2(n_2982),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.C1(FE_OFN989_n_5950),
+	.C2(n_1523),
+	.Y(n_6592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435522 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.B1(FE_OFN1607_n_2241),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.C1(n_5789),
+	.X(n_6591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435523 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[20]),
+	.C1(n_5793),
+	.X(n_6590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435524 (
+	.A1(n_5982),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[1] [25]),
+	.B1(n_5948),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [26]),
+	.Y(n_6589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435525 (
+	.A1(n_5982),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[1] [23]),
+	.B1(n_5948),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [24]),
+	.Y(n_6588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435526 (
+	.A1(n_5939),
+	.A2(FE_OFN18412_n),
+	.B1(n_5938),
+	.B2(FE_OFN18492_n_13420),
+	.Y(n_6587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435527 (
+	.A1(n_5937),
+	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.X(n_6586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435528 (
+	.A1(n_5939),
+	.A2(FE_OFN18294_n_13073),
+	.B1(n_5938),
+	.B2(FE_PSN4354_FE_OFN1585_n_13422),
+	.Y(n_6585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435529 (
+	.A1(n_5937),
+	.A2(\u_soc_xbar_to_dccm[a_address] [3]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.X(n_6584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435530 (
+	.A1(n_5937),
+	.A2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.X(n_6583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435531 (
+	.A1(n_5937),
+	.A2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.Y(n_6582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435532 (
+	.A1(n_5937),
+	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.Y(n_6581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435533 (
+	.A1(n_5939),
+	.A2(FE_OFN1587_n_13428),
+	.B1(n_5938),
+	.B2(FE_PSN4156_n_13429),
+	.Y(n_6580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435534 (
+	.A1(n_5939),
+	.A2(FE_PSN4156_n_13429),
+	.B1(n_5938),
+	.B2(n_13430),
+	.Y(n_6579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435535 (
+	.A1(n_5937),
+	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.X(n_6578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435536 (
+	.A1(n_5939),
+	.A2(FE_COEN4310_n_13431),
+	.B1(n_5938),
+	.B2(FE_COEN4330_n_13432),
+	.Y(n_6577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435537 (
+	.A1(n_5937),
+	.A2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.X(n_6576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435538 (
+	.A1(n_5939),
+	.A2(FE_COEN4287_n_13433),
+	.B1(n_5938),
+	.B2(n_13434),
+	.Y(n_6575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435539 (
+	.A1(n_5937),
+	.A2(\u_soc_lsu_to_xbar[a_address] [15]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.X(n_6574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435540 (
+	.A1(n_5939),
+	.A2(n_13435),
+	.B1(n_5938),
+	.B2(FE_COEN4573_n_13436),
+	.Y(n_6573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435541 (
+	.A1(n_5937),
+	.A2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.X(n_6572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435542 (
+	.A1(n_5939),
+	.A2(FE_COEN4285_n_13437),
+	.B1(n_5938),
+	.B2(n_13438),
+	.Y(n_6571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435543 (
+	.A1(n_5937),
+	.A2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.X(n_6570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435544 (
+	.A1(n_5937),
+	.A2(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.Y(n_6569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435545 (
+	.A1(n_5939),
+	.A2(n_13441),
+	.B1(n_5938),
+	.B2(n_13442),
+	.Y(n_6568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435546 (
+	.A1(n_5937),
+	.A2(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.X(n_6567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435547 (
+	.A1(n_5937),
+	.A2(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.Y(n_6566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435548 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.B1(FE_OFN884_n_1413),
+	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.C1(n_15931),
+	.X(n_6565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435549 (
+	.A1(n_5939),
+	.A2(n_13445),
+	.B1(n_5938),
+	.B2(n_13446),
+	.Y(n_6564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435550 (
+	.A1(n_5937),
+	.A2(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.X(n_6563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435551 (
+	.A1(n_5939),
+	.A2(n_13447),
+	.B1(n_5938),
+	.B2(n_13448),
+	.Y(n_6562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435552 (
+	.A1(n_5937),
+	.A2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.X(n_6561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435553 (
+	.A1(n_5939),
+	.A2(n_13448),
+	.B1(n_1845),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.Y(n_6560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g435554 (
+	.A1(n_5992),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [32]),
+	.B1(n_5412),
+	.B2(n_1563),
+	.Y(n_6559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435555 (
+	.A1(n_5851),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.X(n_6558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435556 (
+	.A1(n_5835),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.X(n_6557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435557 (
+	.A1(n_5855),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.X(n_6556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435558 (
+	.A1(n_5839),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.X(n_6555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435559 (
+	.A1(n_5837),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.X(n_6554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435560 (
+	.A1(n_5833),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.X(n_6553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435561 (
+	.A1(n_5831),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.X(n_6552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435562 (
+	.A1(n_5829),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.X(n_6551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435563 (
+	.A1(n_5825),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.X(n_6550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435564 (
+	.A1(n_5827),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.X(n_6549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435565 (
+	.A1(n_5843),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.X(n_6548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435566 (
+	.A1(n_5853),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.X(n_6547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435567 (
+	.A1(n_5855),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.X(n_6546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435619 (
+	.A(n_1248),
+	.B(n_5671),
+	.Y(n_6739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g435638 (
+	.A(n_5696),
+	.B_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Y(n_6738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435640 (
+	.A1(n_5666),
+	.A2(FE_OFN19351_u_soc_u_dccm_rdata3_4),
+	.B1(n_5665),
+	.B2(FE_OFN19468_u_soc_u_dccm_rdata4_4),
+	.C1(n_6222),
+	.X(n_6737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435641 (
+	.A1(n_5666),
+	.A2(FE_OFN19369_u_soc_u_dccm_rdata3_3),
+	.B1(n_5665),
+	.B2(FE_OFN19482_u_soc_u_dccm_rdata4_3),
+	.C1(n_6223),
+	.X(n_6736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435642 (
+	.A1(n_5666),
+	.A2(FE_OFN19363_u_soc_u_dccm_rdata3_2),
+	.B1(n_5665),
+	.B2(FE_OFN18838_u_soc_u_dccm_rdata4_2),
+	.C1(n_6224),
+	.X(n_6735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435643 (
+	.A1(n_5666),
+	.A2(FE_OFN19396_u_soc_u_dccm_rdata3_1),
+	.B1(n_5665),
+	.B2(FE_OFN19489_u_soc_u_dccm_rdata4_1),
+	.C1(n_6225),
+	.X(n_6734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435644 (
+	.A1(n_5667),
+	.A2(FE_OFN19384_u_soc_u_dccm_rdata2_0),
+	.B1(n_5665),
+	.B2(FE_OFN18970_u_soc_u_dccm_rdata4_0),
+	.C1(n_6226),
+	.Y(n_6733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435645 (
+	.A1(n_5688),
+	.A2(FE_OFN19386_u_soc_u_dccm_rdata2_13),
+	.B1(n_5682),
+	.B2(FE_OFN19159_u_soc_u_dccm_rdata4_13),
+	.C1(n_6215),
+	.Y(n_6731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435646 (
+	.A1(n_5688),
+	.A2(FE_OFN18841_u_soc_u_dccm_rdata2_15),
+	.B1(n_5682),
+	.B2(FE_OFN19158_u_soc_u_dccm_rdata4_15),
+	.C1(n_6214),
+	.Y(n_6729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435647 (
+	.A1(n_5666),
+	.A2(FE_OFN19399_u_soc_u_dccm_rdata3_5),
+	.B1(n_5665),
+	.B2(FE_OFN19467_u_soc_u_dccm_rdata4_5),
+	.C1(n_6221),
+	.X(n_6727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435648 (
+	.A1(n_5688),
+	.A2(FE_OFN19333_u_soc_u_dccm_rdata2_11),
+	.B1(n_5682),
+	.B2(FE_OFN19127_u_soc_u_dccm_rdata4_11),
+	.C1(n_6216),
+	.Y(n_6726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435649 (
+	.A1(n_5667),
+	.A2(FE_OFN19372_u_soc_u_dccm_rdata2_7),
+	.B1(n_5665),
+	.B2(FE_OFN19163_u_soc_u_dccm_rdata4_7),
+	.C1(n_6219),
+	.Y(n_6724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435650 (
+	.A1(n_5667),
+	.A2(FE_OFN19340_u_soc_u_dccm_rdata2_6),
+	.B1(n_5665),
+	.B2(FE_OFN19164_u_soc_u_dccm_rdata4_6),
+	.C1(n_6220),
+	.Y(n_6722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435652 (
+	.A1(n_5688),
+	.A2(FE_OFN19347_u_soc_u_dccm_rdata2_10),
+	.B1(n_5682),
+	.B2(FE_OFN19425_u_soc_u_dccm_rdata4_10),
+	.C1(n_6217),
+	.Y(n_6720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435653 (
+	.A1(n_5688),
+	.A2(FE_OFN19297_u_soc_u_dccm_rdata2_8),
+	.B1(n_5682),
+	.B2(FE_OFN19453_u_soc_u_dccm_rdata4_8),
+	.C1(n_6218),
+	.Y(n_6718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435655 (
+	.A(n_1446),
+	.B(n_5671),
+	.Y(n_6715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435658 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6504),
+	.Y(n_6714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g435659 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(n_6504),
+	.Y(n_6713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435660 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6506),
+	.Y(n_6712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435661 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6507),
+	.Y(n_6711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435662 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_6508),
+	.Y(n_6710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g435663 (
+	.A1(n_5769),
+	.A2(n_1025),
+	.B1_N(u_soc_u_top_u_core_id_in_ready),
+	.X(n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435665 (
+	.A(n_6486),
+	.Y(n_6544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435666 (
+	.A(n_6355),
+	.Y(n_6543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435667 (
+	.A(n_6353),
+	.Y(n_6542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435668 (
+	.A(n_6352),
+	.Y(n_6541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435669 (
+	.A(n_6351),
+	.Y(n_6540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435670 (
+	.A(n_6350),
+	.Y(n_6539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435671 (
+	.A(n_6349),
+	.Y(n_6538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435672 (
+	.A(n_6348),
+	.Y(n_6537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435673 (
+	.A(n_6347),
+	.Y(n_6536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435674 (
+	.A(n_6345),
+	.Y(n_6535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435675 (
+	.A(n_6344),
+	.Y(n_6534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435676 (
+	.A(n_6343),
+	.Y(n_6533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435677 (
+	.A(n_6342),
+	.Y(n_6532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435678 (
+	.A(n_6341),
+	.Y(n_6531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435679 (
+	.A(n_6340),
+	.Y(n_6530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435680 (
+	.A(n_6339),
+	.Y(n_6529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435681 (
+	.A(n_6338),
+	.Y(n_6528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435682 (
+	.A(n_6337),
+	.Y(n_6527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435683 (
+	.A(n_6336),
+	.Y(n_6526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435684 (
+	.A(n_6335),
+	.Y(n_6525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435685 (
+	.A(n_6334),
+	.Y(n_6524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435686 (
+	.A(n_6333),
+	.Y(n_6523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435687 (
+	.A(n_6332),
+	.Y(n_6522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435688 (
+	.A(n_6331),
+	.Y(n_6521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435689 (
+	.A(n_6330),
+	.Y(n_6520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435690 (
+	.A(n_6329),
+	.Y(n_6519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435691 (
+	.A(n_6328),
+	.Y(n_6518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435692 (
+	.A(n_6327),
+	.Y(n_6517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435693 (
+	.A(n_6325),
+	.Y(n_6516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435694 (
+	.A(n_6324),
+	.Y(n_6515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435695 (
+	.A(n_6323),
+	.Y(n_6514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435696 (
+	.A(n_6322),
+	.Y(n_6513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435697 (
+	.A(n_6321),
+	.Y(n_6512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435698 (
+	.A(n_6320),
+	.Y(n_6511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435699 (
+	.A(n_6319),
+	.Y(n_6510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435700 (
+	.A(n_6318),
+	.Y(n_6509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435701 (
+	.A(n_6502),
+	.Y(n_6501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g435702 (
+	.A(n_6495),
+	.Y(n_6494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g435703 (
+	.A(n_6490),
+	.Y(n_6489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435704 (
+	.A1(FE_PSN4156_n_13429),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [12]),
+	.C1(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435705 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
+	.X(n_6485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435706 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
+	.X(n_6484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435707 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
+	.X(n_6483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435708 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
+	.X(n_6482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435709 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
+	.X(n_6481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435710 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
+	.X(n_6480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435711 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
+	.X(n_6479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435712 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
+	.X(n_6478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435713 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
+	.X(n_6477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435714 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
+	.X(n_6476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435715 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
+	.X(n_6475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435716 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
+	.X(n_6474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435717 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
+	.X(n_6473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435718 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
+	.X(n_6472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435719 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
+	.X(n_6471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435720 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
+	.X(n_6470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435721 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
+	.X(n_6469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435722 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
+	.X(n_6468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435723 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
+	.X(n_6467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435724 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
+	.X(n_6466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435725 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
+	.X(n_6465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435726 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
+	.X(n_6464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435727 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
+	.X(n_6463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435728 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
+	.X(n_6462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435729 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
+	.X(n_6461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435730 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
+	.X(n_6460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435731 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
+	.X(n_6459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435732 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
+	.X(n_6458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435733 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
+	.X(n_6457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435734 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
+	.X(n_6456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435735 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
+	.X(n_6455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435736 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
+	.X(n_6454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435737 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
+	.X(n_6453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435738 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
+	.X(n_6452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435739 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
+	.X(n_6451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435740 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
+	.X(n_6450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435741 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
+	.X(n_6449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435742 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
+	.X(n_6448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435743 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
+	.X(n_6447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435744 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
+	.X(n_6446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435745 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
+	.X(n_6445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435746 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
+	.X(n_6444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435747 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
+	.X(n_6443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435748 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
+	.X(n_6442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435749 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
+	.X(n_6441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435750 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
+	.X(n_6440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435751 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
+	.X(n_6439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435752 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
+	.X(n_6438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435753 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
+	.X(n_6437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435754 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
+	.X(n_6436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435755 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
+	.X(n_6435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435756 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
+	.X(n_6434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435757 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
+	.X(n_6433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435758 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
+	.X(n_6432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435759 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
+	.X(n_6431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435760 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
+	.X(n_6430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435761 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
+	.X(n_6429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435762 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
+	.X(n_6428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435763 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
+	.X(n_6427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435764 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
+	.X(n_6426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435765 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
+	.X(n_6425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435766 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
+	.X(n_6424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435767 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
+	.X(n_6423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435768 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
+	.X(n_6422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435769 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
+	.X(n_6421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435770 (
+	.A(n_5876),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[1] [31]),
+	.Y(n_6420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435771 (
+	.A(n_5992),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.Y(n_6419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435772 (
+	.A(n_5948),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[1] [28]),
+	.Y(n_6418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435773 (
+	.A(n_5982),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[1] [7]),
+	.Y(n_6417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435774 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_6416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435775 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.Y(n_6415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435776 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.Y(n_6414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435777 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.Y(n_6413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435778 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_6412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435779 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.Y(n_6411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435780 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.Y(n_6410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435781 (
+	.A(n_16007),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.Y(n_6409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435782 (
+	.A(n_5959),
+	.B(FE_OFN19289_u_soc_u_dccm_rdata2_24),
+	.Y(n_6408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435783 (
+	.A(n_5972),
+	.B(FE_OFN295_u_soc_u_dccm_rdata1_25),
+	.Y(n_6407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435784 (
+	.A(n_5959),
+	.B(FE_OFN326_u_soc_u_dccm_rdata2_26),
+	.Y(n_6406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435785 (
+	.A(n_5959),
+	.B(FE_OFN324_u_soc_u_dccm_rdata2_28),
+	.Y(n_6405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435786 (
+	.A(n_5959),
+	.B(FE_OFN19307_u_soc_u_dccm_rdata2_29),
+	.Y(n_6404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435787 (
+	.A(n_5972),
+	.B(FE_OFN290_u_soc_u_dccm_rdata1_30),
+	.Y(n_6403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435788 (
+	.A(n_5972),
+	.B(FE_OFN289_u_soc_u_dccm_rdata1_31),
+	.Y(n_6402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435790 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
+	.X(n_6400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435791 (
+	.A(n_5087),
+	.B(n_5975),
+	.Y(n_6399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435792 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
+	.X(n_6398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435793 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
+	.X(n_6397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435794 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
+	.X(n_6396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435795 (
+	.A(n_5542),
+	.B(n_1751),
+	.Y(n_6395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435796 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
+	.X(n_6394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435797 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_5777),
+	.Y(n_6393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435798 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
+	.X(n_6392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435799 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
+	.X(n_6391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435800 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
+	.X(n_6390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435801 (
+	.A(n_6001),
+	.B(n_2413),
+	.Y(n_6389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435802 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
+	.X(n_6388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435803 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
+	.X(n_6387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435804 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
+	.X(n_6386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435805 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
+	.X(n_6385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435806 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
+	.X(n_6384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435807 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
+	.X(n_6383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435808 (
+	.A1(FE_OFN978_n_5367),
+	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
+	.X(n_6382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435809 (
+	.A(n_5882),
+	.B(n_3066),
+	.Y(n_6381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435810 (
+	.A(n_5889),
+	.B(n_1181),
+	.Y(n_6380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435811 (
+	.A(n_3071),
+	.B(n_5092),
+	.C(n_2136),
+	.D(n_2627),
+	.Y(n_6379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435812 (
+	.A(n_3048),
+	.B(n_5119),
+	.C(n_2136),
+	.D(n_2658),
+	.Y(n_6378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435813 (
+	.A(n_3073),
+	.B(n_5115),
+	.C(n_2136),
+	.D(n_2651),
+	.Y(n_6377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435814 (
+	.A(n_5679),
+	.B(n_1533),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [21]),
+	.D(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_6376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435815 (
+	.A(n_5679),
+	.B(n_1439),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [19]),
+	.D(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_6375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435816 (
+	.A(n_3051),
+	.B(n_5114),
+	.C(n_2136),
+	.D(n_2649),
+	.Y(n_6374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435817 (
+	.A(n_3053),
+	.B(n_5113),
+	.C(n_2136),
+	.D(n_2647),
+	.Y(n_6373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435818 (
+	.A(n_3056),
+	.B(n_5112),
+	.C(n_2136),
+	.D(n_2644),
+	.Y(n_6372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435819 (
+	.A(n_3058),
+	.B(n_5111),
+	.C(n_2136),
+	.D(n_2642),
+	.Y(n_6371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435820 (
+	.A(n_3060),
+	.B(n_5109),
+	.C(n_2136),
+	.D(n_2638),
+	.Y(n_6370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435821 (
+	.A(n_5170),
+	.B(n_5107),
+	.C(n_1794),
+	.D(n_2136),
+	.Y(n_6369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435822 (
+	.A1(n_3141),
+	.A2(n_1467),
+	.B1(n_5988),
+	.Y(n_6368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g435823 (
+	.A1(n_5697),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B1(n_6005),
+	.Y(n_6367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435824 (
+	.A(n_5096),
+	.B(n_5106),
+	.C(n_2136),
+	.D(n_1973),
+	.Y(n_6366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435825 (
+	.A(n_3050),
+	.B(n_5104),
+	.C(n_2136),
+	.D(n_2624),
+	.Y(n_6365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g435826 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[7]),
+	.B1(n_5778),
+	.X(n_6364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435827 (
+	.A1(n_437),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_5932),
+	.Y(n_6363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435828 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
+	.X(n_6362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g435829 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.A2(n_5393),
+	.B1(n_3088),
+	.C1(n_5615),
+	.Y(n_6361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435830 (
+	.A(n_5673),
+	.B(n_1533),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [13]),
+	.D(n_11),
+	.Y(n_6360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g435831 (
+	.A(n_13452),
+	.B(n_5143),
+	.C(n_2419),
+	.D(n_2984),
+	.Y(n_6359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435832 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
+	.X(n_6358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435833 (
+	.A1(FE_OFN1608_n_2244),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.B1(n_1884),
+	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.C1(n_5788),
+	.Y(n_6357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g435834 (
+	.A(n_5948),
+	.B(n_1525),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[1] [8]),
+	.X(n_6356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435835 (
+	.A1(FE_OFN18279_n_16012),
+	.A2(n_5374),
+	.B1(n_5376),
+	.B2(FE_OFN18449_n_15945),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [0]),
+	.Y(n_6355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435836 (
+	.A1(FE_COEN4302_n_669),
+	.A2(n_5375),
+	.B1(n_5774),
+	.Y(n_6354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435837 (
+	.A1(FE_OFN18294_n_13073),
+	.A2(n_5376),
+	.B1(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B2(n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [4]),
+	.Y(n_6353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435838 (
+	.A1(n_13423),
+	.A2(n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [6]),
+	.C1(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.C2(n_5374),
+	.Y(n_6352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435839 (
+	.A1(FE_PSN4109_FE_OFN1586_n_13424),
+	.A2(n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [7]),
+	.C1(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.C2(n_5374),
+	.Y(n_6351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435840 (
+	.A1(FE_PSN4424_FE_OFN18315_n_13425),
+	.A2(n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [8]),
+	.C1(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.C2(n_5374),
+	.Y(n_6350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435841 (
+	.A1(n_13426),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [9]),
+	.C1(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435842 (
+	.A1(n_13427),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [10]),
+	.C1(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435843 (
+	.A1(FE_OFN1587_n_13428),
+	.A2(FE_OFN1479_n_5376),
+	.B1(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.B2(FE_OFN1478_n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [11]),
+	.Y(n_6347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435844 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.C1(n_5779),
+	.Y(n_6346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435845 (
+	.A1(n_13430),
+	.A2(FE_OFN1479_n_5376),
+	.B1(\u_soc_xbar_to_dccm[a_address] [13]),
+	.B2(FE_OFN1478_n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [13]),
+	.Y(n_6345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435846 (
+	.A1(FE_COEN4310_n_13431),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [14]),
+	.C1(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435847 (
+	.A1(FE_COEN4330_n_13432),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [15]),
+	.C1(\u_soc_lsu_to_xbar[a_address] [15]),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435848 (
+	.A1(FE_COEN4287_n_13433),
+	.A2(FE_OFN1479_n_5376),
+	.B1(\u_soc_lsu_to_xbar[a_address] [16]),
+	.B2(FE_OFN1478_n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [16]),
+	.Y(n_6342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435849 (
+	.A1(n_13434),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [17]),
+	.C1(\u_soc_lsu_to_xbar[a_address] [17]),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435850 (
+	.A1(n_13435),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [18]),
+	.C1(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435851 (
+	.A1(FE_COEN4573_n_13436),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [19]),
+	.C1(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435852 (
+	.A1(FE_COEN4285_n_13437),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [20]),
+	.C1(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435853 (
+	.A1(n_13438),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [21]),
+	.C1(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435854 (
+	.A1(n_13439),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [22]),
+	.C1(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435855 (
+	.A1(FE_OFN1094_n_13091),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [23]),
+	.C1(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435856 (
+	.A1(n_13441),
+	.A2(FE_OFN1479_n_5376),
+	.B1(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
+	.B2(FE_OFN1478_n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [24]),
+	.Y(n_6334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435857 (
+	.A1(n_13442),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [25]),
+	.C1(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435858 (
+	.A1(n_13443),
+	.A2(FE_OFN1479_n_5376),
+	.B1(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.B2(FE_OFN1478_n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [26]),
+	.Y(n_6332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435859 (
+	.A1(n_13444),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [27]),
+	.C1(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435860 (
+	.A1(n_13445),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [28]),
+	.C1(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435861 (
+	.A1(n_13446),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [29]),
+	.C1(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435862 (
+	.A1(n_13447),
+	.A2(FE_OFN1479_n_5376),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [30]),
+	.C1(FE_OFN18361_n),
+	.C2(FE_OFN1478_n_5374),
+	.Y(n_6328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435863 (
+	.A1(n_13448),
+	.A2(n_5376),
+	.B1(FE_PSN4860_u_soc_lsu_to_xbar_a_address_31),
+	.B2(n_5374),
+	.C1(n_3076),
+	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [31]),
+	.Y(n_6327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435864 (
+	.A1(n_5411),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.B1(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
+	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
+	.Y(n_6326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435865 (
+	.A1(n_13531),
+	.A2(n_5389),
+	.B1(n_5390),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
+	.C1(n_3083),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
+	.Y(n_6325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435866 (
+	.A1(n_13348),
+	.A2(n_5389),
+	.B1(n_5390),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
+	.C1(n_3083),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
+	.Y(n_6324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435867 (
+	.A1(n_13532),
+	.A2(n_5389),
+	.B1(n_3083),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
+	.C1(n_5390),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
+	.Y(n_6323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435868 (
+	.A1(n_13349),
+	.A2(n_5389),
+	.B1(n_5390),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
+	.C1(n_3083),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
+	.Y(n_6322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435869 (
+	.A1(n_13533),
+	.A2(n_5389),
+	.B1(n_5390),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
+	.C1(n_3083),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
+	.Y(n_6321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435870 (
+	.A1(n_13347),
+	.A2(n_5389),
+	.B1(n_3083),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
+	.C1(n_5390),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
+	.Y(n_6320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435871 (
+	.A1(n_13527),
+	.A2(n_5389),
+	.B1(n_3083),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
+	.C1(n_5390),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
+	.Y(n_6319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435872 (
+	.A1(n_13528),
+	.A2(n_5389),
+	.B1(n_3083),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
+	.C1(n_5390),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
+	.Y(n_6318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435873 (
+	.A1(n_5458),
+	.A2(n_3078),
+	.B1(n_3142),
+	.B2(n_2414),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5450),
+	.Y(n_6317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435874 (
+	.A1(n_5460),
+	.A2(n_3078),
+	.B1(FE_OFN1646_n_3077),
+	.B2(n_5452),
+	.C1(n_5421),
+	.C2(n_2414),
+	.Y(n_6316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435875 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [17]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_5687),
+	.Y(n_6315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435876 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.A2(n_2009),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [18]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_5686),
+	.Y(n_6314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435877 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [27]),
+	.C1(FE_OFN984_n_5382),
+	.C2(n_5684),
+	.Y(n_6313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g435878 (
+	.A1(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.A2(n_5709),
+	.B1(n_5989),
+	.Y(n_6312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435879 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [23]),
+	.C1(n_5677),
+	.C2(n_2171),
+	.Y(n_6311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435880 (
+	.A1(n_534),
+	.A2(n_5373),
+	.B1(n_5773),
+	.Y(n_6310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g435881 (
+	.A1(n_5373),
+	.A2(n_555),
+	.B1(n_5771),
+	.Y(n_6309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g435882 (
+	.A1(n_5373),
+	.A2(n_480),
+	.B1(n_5772),
+	.Y(n_6308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g435883 (
+	.A1_N(n_32),
+	.A2_N(n_3118),
+	.B1(n_3118),
+	.B2(n_5541),
+	.Y(n_6307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435884 (
+	.A1(n_5457),
+	.A2(n_3078),
+	.B1(n_3144),
+	.B2(n_2414),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5449),
+	.Y(n_6306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435885 (
+	.A1(n_2414),
+	.A2(n_5427),
+	.B1(n_3078),
+	.B2(n_5459),
+	.C1(FE_OFN1646_n_3077),
+	.C2(n_5451),
+	.Y(n_6305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g435886 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(FE_OFN18449_n_15945),
+	.B1(n_2982),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.C1(n_5585),
+	.X(n_6304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g435887 (
+	.A0(n_5569),
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.S(n_3129),
+	.Y(n_6303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435888 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.A2(n_2282),
+	.B1(n_13376),
+	.B2(n_5422),
+	.C1(n_2283),
+	.C2(n_1551),
+	.Y(n_6302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435889 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [22]),
+	.C1(n_5677),
+	.C2(n_2170),
+	.Y(n_6301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g435890 (
+	.A1(n_1938),
+	.A2(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.A3(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.B1(n_5524),
+	.B2(n_152),
+	.Y(n_6300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g435891 (
+	.A1(n_3078),
+	.A2(n_5461),
+	.B1(FE_OFN1646_n_3077),
+	.B2(n_5453),
+	.C1(n_5896),
+	.Y(n_6299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435892 (
+	.A1(n_5462),
+	.A2(n_3078),
+	.B1(FE_OFN1646_n_3077),
+	.B2(n_5454),
+	.C1(n_5705),
+	.C2(n_2414),
+	.Y(n_6298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435893 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [16]),
+	.C1(n_5677),
+	.C2(n_2172),
+	.Y(n_6297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435894 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.A2(n_2009),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [9]),
+	.C1(n_5694),
+	.C2(n_2175),
+	.Y(n_6296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435895 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [19]),
+	.C1(n_5677),
+	.C2(n_2165),
+	.Y(n_6295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435896 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [12]),
+	.C1(n_5694),
+	.C2(n_2174),
+	.Y(n_6294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435897 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [20]),
+	.C1(n_5677),
+	.C2(n_2164),
+	.Y(n_6293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435898 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [21]),
+	.C1(n_5677),
+	.C2(n_2166),
+	.Y(n_6292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g435899 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.A2(n_2008),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [14]),
+	.C1(n_5694),
+	.C2(n_2173),
+	.Y(n_6291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435909 (
+	.A(n_1042),
+	.B(n_5970),
+	.Y(n_6508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435910 (
+	.A(n_1046),
+	.B(n_5970),
+	.Y(n_6507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435915 (
+	.A(n_1248),
+	.B(n_5970),
+	.Y(n_6506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g435955 (
+	.A_N(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
+	.B(n_5408),
+	.Y(n_6505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435957 (
+	.A(n_5969),
+	.B(n_1262),
+	.Y(n_6504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435958 (
+	.A(n_5991),
+	.B(n_479),
+	.Y(n_6503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435964 (
+	.A(n_5947),
+	.B(n_5955),
+	.Y(n_6502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435968 (
+	.A(n_5983),
+	.B(n_5957),
+	.Y(n_6500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435969 (
+	.A(n_5983),
+	.B(n_5669),
+	.Y(n_6499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g435970 (
+	.A(n_1924),
+	.B(n_5701),
+	.C(n_1429),
+	.Y(n_6498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435971 (
+	.A(n_5983),
+	.B(n_5670),
+	.Y(n_6497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435972 (
+	.A(n_5983),
+	.B(n_5668),
+	.Y(n_6496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g435977 (
+	.A(n_5935),
+	.B(FE_OFN29_io_out_37),
+	.Y(n_6495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g435979 (
+	.A1(n_1912),
+	.A2(n_1754),
+	.B1_N(n_5993),
+	.Y(n_6493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g435980 (
+	.A1(n_1912),
+	.A2(n_1755),
+	.B1_N(n_5992),
+	.Y(n_6492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435981 (
+	.A(n_15933),
+	.B(n_5970),
+	.Y(n_6491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435982 (
+	.A(FE_OFN973_n_5358),
+	.B(n_15918),
+	.Y(n_6490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435983 (
+	.A(n_15929),
+	.B(n_5970),
+	.Y(n_6488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g435984 (
+	.A(n_1436),
+	.B(n_5970),
+	.Y(n_6487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435985 (
+	.A1(FE_OFN982_n_5371),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
+	.X(n_6290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435986 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
+	.X(n_6289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435987 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
+	.X(n_6288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435988 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
+	.X(n_6287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g435989 (
+	.A(n_5099),
+	.B(n_1800),
+	.C(n_2136),
+	.D(n_1512),
+	.Y(n_6286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435990 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
+	.X(n_6285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435991 (
+	.A1(FE_OFN979_n_5368),
+	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
+	.X(n_6284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435992 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
+	.X(n_6283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435993 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
+	.X(n_6282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435994 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
+	.X(n_6281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435995 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
+	.X(n_6280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435996 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
+	.X(n_6279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435997 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
+	.X(n_6278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435998 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
+	.X(n_6277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g435999 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
+	.X(n_6276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436000 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
+	.X(n_6275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436001 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
+	.X(n_6274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436002 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
+	.X(n_6273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436003 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
+	.X(n_6272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436004 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
+	.X(n_6271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436005 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
+	.X(n_6270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436006 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
+	.X(n_6269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436007 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
+	.X(n_6268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436008 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
+	.X(n_6267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436009 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
+	.X(n_6266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436010 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
+	.X(n_6265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436011 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
+	.X(n_6264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436012 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
+	.X(n_6263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436013 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
+	.X(n_6262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g436014 (
+	.A(n_5116),
+	.B(n_1796),
+	.C(n_2136),
+	.D(n_1507),
+	.Y(n_6261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436015 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
+	.X(n_6260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436016 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
+	.X(n_6259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436017 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
+	.X(n_6258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436018 (
+	.A1(n_3094),
+	.A2(n_1422),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(n_6257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436019 (
+	.A1(n_3091),
+	.A2(n_1422),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.Y(n_6256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436020 (
+	.A1(n_3094),
+	.A2(n_1423),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.Y(n_6255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436021 (
+	.A1(n_3091),
+	.A2(n_1423),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.Y(n_6254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436022 (
+	.A1(n_3094),
+	.A2(n_1524),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.Y(n_6253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436023 (
+	.A1(n_3091),
+	.A2(n_1524),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.Y(n_6252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436024 (
+	.A1(n_3086),
+	.A2(n_1525),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.Y(n_6251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436025 (
+	.A1(n_3087),
+	.A2(n_1525),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.Y(n_6250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436026 (
+	.A1(n_3086),
+	.A2(n_1422),
+	.B1(n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.Y(n_6249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436027 (
+	.A1(n_3087),
+	.A2(n_1422),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.X(n_6248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436028 (
+	.A1(n_3086),
+	.A2(n_1423),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.Y(n_6247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436029 (
+	.A1(n_3087),
+	.A2(n_1423),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.Y(n_6246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436030 (
+	.A1(n_3086),
+	.A2(n_1524),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_6245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436031 (
+	.A1(n_3087),
+	.A2(n_1524),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
+	.Y(n_6244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436032 (
+	.A1(n_3094),
+	.A2(n_1522),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
+	.Y(n_6243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436033 (
+	.A1(n_3091),
+	.A2(n_1522),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_6242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436034 (
+	.A1(n_3094),
+	.A2(n_1417),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
+	.Y(n_6241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436035 (
+	.A1(n_3091),
+	.A2(n_1417),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_6240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436036 (
+	.A1(n_3094),
+	.A2(n_1421),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
+	.Y(n_6239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436037 (
+	.A1(n_3091),
+	.A2(n_1421),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_6238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436038 (
+	.A1(n_3094),
+	.A2(n_1523),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_6237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436039 (
+	.A1(n_3091),
+	.A2(n_1523),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_6236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436040 (
+	.A1(n_3086),
+	.A2(n_1522),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
+	.Y(n_6235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436041 (
+	.A1(n_3087),
+	.A2(n_1522),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_6234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436042 (
+	.A1(n_3086),
+	.A2(n_1417),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_6233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436043 (
+	.A1(n_3087),
+	.A2(n_1417),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_6232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436044 (
+	.A1(n_3086),
+	.A2(n_1421),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_6231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436045 (
+	.A1(n_3087),
+	.A2(n_1421),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_6230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436046 (
+	.A1(n_3086),
+	.A2(n_1523),
+	.B1(FE_OFN987_n_5663),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_6229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436047 (
+	.A1(n_3087),
+	.A2(n_1523),
+	.B1(n_5699),
+	.B2(n_1563),
+	.Y(n_6228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436048 (
+	.A1(n_5384),
+	.A2(n_5705),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.Y(n_6227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436049 (
+	.A1(n_5664),
+	.A2(FE_OFN320_u_soc_u_dccm_rdata1_0),
+	.B1(n_5666),
+	.B2(FE_OFN19328_u_soc_u_dccm_rdata3_0),
+	.X(n_6226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436050 (
+	.A1(n_5664),
+	.A2(FE_OFN319_u_soc_u_dccm_rdata1_1),
+	.B1(n_5667),
+	.B2(FE_OFN19335_u_soc_u_dccm_rdata2_1),
+	.X(n_6225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436051 (
+	.A1(n_5664),
+	.A2(FE_OFN318_u_soc_u_dccm_rdata1_2),
+	.B1(n_5667),
+	.B2(FE_OFN18822_u_soc_u_dccm_rdata2_2),
+	.X(n_6224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436052 (
+	.A1(n_5664),
+	.A2(FE_OFN317_u_soc_u_dccm_rdata1_3),
+	.B1(n_5667),
+	.B2(FE_OFN19361_u_soc_u_dccm_rdata2_3),
+	.X(n_6223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436053 (
+	.A1(n_5664),
+	.A2(FE_OFN316_u_soc_u_dccm_rdata1_4),
+	.B1(n_5667),
+	.B2(FE_OFN19336_u_soc_u_dccm_rdata2_4),
+	.X(n_6222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436054 (
+	.A1(n_5664),
+	.A2(FE_OFN315_u_soc_u_dccm_rdata1_5),
+	.B1(n_5667),
+	.B2(FE_OFN19304_u_soc_u_dccm_rdata2_5),
+	.X(n_6221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436055 (
+	.A1(n_5664),
+	.A2(FE_OFN314_u_soc_u_dccm_rdata1_6),
+	.B1(n_5666),
+	.B2(FE_OFN19395_u_soc_u_dccm_rdata3_6),
+	.X(n_6220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436056 (
+	.A1(n_5664),
+	.A2(FE_OFN313_u_soc_u_dccm_rdata1_7),
+	.B1(n_5666),
+	.B2(FE_OFN18064_u_soc_u_dccm_rdata3_7),
+	.X(n_6219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436057 (
+	.A1(n_5685),
+	.A2(FE_OFN312_u_soc_u_dccm_rdata1_8),
+	.B1(n_5683),
+	.B2(FE_OFN19412_u_soc_u_dccm_rdata3_8),
+	.X(n_6218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436058 (
+	.A1(n_5685),
+	.A2(FE_OFN310_u_soc_u_dccm_rdata1_10),
+	.B1(n_5683),
+	.B2(FE_OFN18061_u_soc_u_dccm_rdata3_10),
+	.X(n_6217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436059 (
+	.A1(n_5685),
+	.A2(FE_OFN309_u_soc_u_dccm_rdata1_11),
+	.B1(n_5683),
+	.B2(FE_OFN18060_u_soc_u_dccm_rdata3_11),
+	.X(n_6216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436060 (
+	.A1(n_5685),
+	.A2(FE_OFN307_u_soc_u_dccm_rdata1_13),
+	.B1(n_5683),
+	.B2(FE_OFN19404_u_soc_u_dccm_rdata3_13),
+	.X(n_6215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436061 (
+	.A1(n_5685),
+	.A2(FE_OFN305_u_soc_u_dccm_rdata1_15),
+	.B1(n_5683),
+	.B2(FE_OFN19370_u_soc_u_dccm_rdata3_15),
+	.X(n_6214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436062 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(FE_OFN18412_n),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.Y(n_6213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436063 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_OFN18412_n),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.Y(n_6212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436064 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(FE_OFN18294_n_13073),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.Y(n_6211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436065 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_OFN18294_n_13073),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_PSBN19892_n_13422),
+	.Y(n_6210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436066 (
+	.A1(n_2982),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(FE_OFN1482_n_5651),
+	.B2(FE_PSN4387_FE_OFN1585_n_13422),
+	.Y(n_6209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436067 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13423),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_PSN4116_FE_OFN1586_n_13424),
+	.Y(n_6208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436068 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(FE_OFN18315_n_13425),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.Y(n_6207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436069 (
+	.A1(n_2982),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(FE_OFN1482_n_5651),
+	.B2(n_13426),
+	.Y(n_6206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436070 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13427),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.Y(n_6205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436071 (
+	.A1(n_2982),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B1(FE_OFN1482_n_5651),
+	.B2(FE_OFN1587_n_13428),
+	.Y(n_6204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436072 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_PSN4363_n_13429),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13430),
+	.Y(n_6203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436073 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(FE_COEN4310_n_13431),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_6202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436074 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_COEN4310_n_13431),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_COEN4330_n_13432),
+	.Y(n_6201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436075 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(FE_COEN4287_n_13433),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.Y(n_6200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436076 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_COEN4287_n_13433),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.Y(n_6199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436077 (
+	.A1(n_2982),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.Y(n_6198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436078 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13435),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.Y(n_6197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436079 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_COEN4573_n_13436),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.Y(n_6196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436080 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(FE_COEN4285_n_13437),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13438),
+	.Y(n_6195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436081 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13438),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13439),
+	.Y(n_6194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436082 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13439),
+	.B1(FE_OFN1480_n_5647),
+	.B2(FE_OFN1094_n_13091),
+	.Y(n_6193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436083 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(n_13441),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.Y(n_6192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436084 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13441),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13442),
+	.Y(n_6191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436085 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(n_13443),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.Y(n_6190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436086 (
+	.A1(n_2982),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B1(FE_OFN1482_n_5651),
+	.B2(n_13443),
+	.Y(n_6189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436087 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13444),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13445),
+	.Y(n_6188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436088 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13445),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13446),
+	.Y(n_6187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436089 (
+	.A1(FE_OFN1480_n_5647),
+	.A2(n_13447),
+	.B1(FE_OFN1481_n_5649),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.Y(n_6186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436090 (
+	.A1(FE_OFN1482_n_5651),
+	.A2(n_13447),
+	.B1(FE_OFN1480_n_5647),
+	.B2(n_13448),
+	.Y(n_6185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436091 (
+	.A1_N(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.A2_N(FE_OFN1481_n_5649),
+	.B1(n_1245),
+	.B2(n_5646),
+	.Y(n_6184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436092 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2_N(n_3137),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B2(n_3137),
+	.Y(n_6183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436093 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.A2_N(n_2981),
+	.B1(n_1771),
+	.B2(n_5676),
+	.Y(n_6182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436094 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.A2_N(n_2981),
+	.B1(n_1767),
+	.B2(n_5676),
+	.Y(n_6181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436095 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.A2_N(n_2981),
+	.B1(n_1775),
+	.B2(n_5676),
+	.Y(n_6180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436096 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.A2_N(n_2981),
+	.B1(n_1773),
+	.B2(n_5676),
+	.Y(n_6179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436097 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.A2_N(n_2981),
+	.B1(n_1769),
+	.B2(n_5676),
+	.Y(n_6178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436098 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.A2_N(n_2981),
+	.B1(n_1766),
+	.B2(n_5676),
+	.Y(n_6177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436099 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
+	.X(n_6176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436100 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
+	.X(n_6175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436101 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
+	.X(n_6174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436102 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.A2_N(n_2981),
+	.B1(n_1763),
+	.B2(n_5675),
+	.Y(n_6173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436103 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.A2_N(n_2981),
+	.B1(n_1772),
+	.B2(n_5675),
+	.Y(n_6172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436104 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
+	.X(n_6171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436105 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
+	.X(n_6170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436106 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.A2_N(n_2981),
+	.B1(n_1774),
+	.B2(n_5675),
+	.Y(n_6169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436107 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.A2_N(n_2981),
+	.B1(n_1770),
+	.B2(n_5675),
+	.Y(n_6168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436108 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.A2_N(n_2981),
+	.B1(n_1765),
+	.B2(n_5675),
+	.Y(n_6167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436109 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.A2_N(n_2981),
+	.B1(n_1764),
+	.B2(n_5675),
+	.Y(n_6166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436110 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
+	.X(n_6165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436111 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
+	.X(n_6164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436112 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
+	.X(n_6163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436113 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
+	.X(n_6162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436114 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
+	.X(n_6161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436115 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
+	.X(n_6160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436116 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
+	.X(n_6159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436117 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
+	.X(n_6158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436118 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
+	.X(n_6157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436119 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1767),
+	.B2(n_5681),
+	.Y(n_6156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436120 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1771),
+	.B2(n_5681),
+	.Y(n_6155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436121 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1775),
+	.B2(n_5681),
+	.Y(n_6154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436122 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1769),
+	.B2(n_5681),
+	.Y(n_6153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436123 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1773),
+	.B2(n_5681),
+	.Y(n_6152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436124 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1766),
+	.B2(n_5681),
+	.Y(n_6151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436125 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
+	.X(n_6150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436126 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
+	.X(n_6149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436127 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
+	.X(n_6148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436128 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
+	.X(n_6147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436129 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
+	.X(n_6146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436130 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
+	.X(n_6145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436131 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1763),
+	.B2(n_5672),
+	.Y(n_6144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436132 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1772),
+	.B2(n_5672),
+	.Y(n_6143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436133 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1774),
+	.B2(n_5672),
+	.Y(n_6142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436134 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1770),
+	.B2(n_5672),
+	.Y(n_6141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436135 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
+	.X(n_6140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436136 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1765),
+	.B2(n_5672),
+	.Y(n_6139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436137 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
+	.X(n_6138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436138 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
+	.X(n_6137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436139 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_1764),
+	.B2(n_5672),
+	.Y(n_6136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436140 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
+	.X(n_6135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436141 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
+	.X(n_6134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436142 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
+	.X(n_6133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436143 (
+	.A1(FE_OFN979_n_5368),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1490_n_5660),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
+	.X(n_6132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436144 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
+	.X(n_6131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436145 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
+	.X(n_6130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436146 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
+	.X(n_6129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436147 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
+	.X(n_6128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436148 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
+	.X(n_6127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g436149 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.B1(FE_OFN1605_n_1513),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.C1(n_5596),
+	.X(n_6126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436150 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
+	.X(n_6125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436151 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
+	.X(n_6124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436152 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
+	.X(n_6123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436153 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
+	.X(n_6122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436154 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
+	.X(n_6121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436155 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
+	.X(n_6120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436156 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
+	.X(n_6119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436157 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
+	.X(n_6118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436158 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
+	.X(n_6117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436159 (
+	.A1(FE_OFN982_n_5371),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1486_n_5656),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
+	.X(n_6116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436160 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
+	.X(n_6115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436161 (
+	.A1(FE_OFN980_n_5369),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1488_n_5658),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
+	.X(n_6114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436162 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
+	.X(n_6113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436163 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
+	.X(n_6112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436164 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
+	.X(n_6111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436165 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
+	.X(n_6110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436166 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
+	.X(n_6109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436167 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
+	.X(n_6108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436168 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
+	.X(n_6107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436169 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
+	.X(n_6106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436170 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
+	.X(n_6105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436171 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
+	.X(n_6104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436172 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
+	.X(n_6103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436173 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
+	.X(n_6102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436174 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
+	.X(n_6101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436175 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
+	.X(n_6100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436176 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
+	.X(n_6099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436177 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
+	.X(n_6098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436178 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
+	.X(n_6097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436179 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
+	.X(n_6096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436180 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
+	.X(n_6095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436181 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
+	.X(n_6094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436182 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
+	.X(n_6093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436183 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
+	.X(n_6092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436184 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
+	.X(n_6091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436185 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
+	.X(n_6090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436186 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
+	.X(n_6089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436187 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
+	.X(n_6088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436188 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
+	.X(n_6087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436189 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
+	.X(n_6086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436190 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
+	.X(n_6085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436191 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
+	.X(n_6084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436192 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
+	.X(n_6083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436193 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
+	.X(n_6082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436194 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
+	.X(n_6081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436195 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
+	.X(n_6080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436196 (
+	.A1(FE_OFN1483_n_5653),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1487_n_5657),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
+	.X(n_6079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436197 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
+	.X(n_6078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436198 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
+	.X(n_6077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436199 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
+	.X(n_6076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436200 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
+	.X(n_6075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436201 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
+	.X(n_6074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436202 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
+	.X(n_6073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436203 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
+	.X(n_6072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436204 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
+	.X(n_6071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436205 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
+	.X(n_6070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436206 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
+	.X(n_6069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436207 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
+	.X(n_6068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436208 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
+	.X(n_6067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436209 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
+	.X(n_6066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436210 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
+	.X(n_6065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436211 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
+	.X(n_6064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436212 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
+	.X(n_6063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436213 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
+	.X(n_6062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436214 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
+	.X(n_6061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436215 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
+	.X(n_6060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436216 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
+	.X(n_6059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436217 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
+	.X(n_6058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436218 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
+	.X(n_6057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436219 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
+	.X(n_6056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436220 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
+	.X(n_6055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436221 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
+	.X(n_6054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436222 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
+	.X(n_6053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436223 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
+	.X(n_6052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436224 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
+	.X(n_6051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436225 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
+	.X(n_6050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436226 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
+	.X(n_6049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436227 (
+	.A1(FE_OFN1491_n_5661),
+	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B1(FE_OFN1485_n_5655),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
+	.X(n_6048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436228 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
+	.X(n_6047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436229 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
+	.X(n_6046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436230 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
+	.X(n_6045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436231 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
+	.X(n_6044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436232 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
+	.X(n_6043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436233 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
+	.X(n_6042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436234 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
+	.X(n_6041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436235 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
+	.X(n_6040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436236 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
+	.X(n_6039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436237 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
+	.X(n_6038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436238 (
+	.A1(FE_OFN983_n_5372),
+	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
+	.X(n_6037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436239 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
+	.X(n_6036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436240 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
+	.X(n_6035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436241 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
+	.X(n_6034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436242 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
+	.X(n_6033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436243 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
+	.X(n_6032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436244 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
+	.X(n_6031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436245 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
+	.X(n_6030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436246 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
+	.X(n_6029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436247 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
+	.X(n_6028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436248 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
+	.X(n_6027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436249 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
+	.X(n_6026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436250 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
+	.X(n_6025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436251 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
+	.X(n_6024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436252 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
+	.X(n_6023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436253 (
+	.A1(FE_OFN983_n_5372),
+	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B1(FE_OFN1492_n_5662),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
+	.X(n_6022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436254 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
+	.X(n_6021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436255 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
+	.X(n_6020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436256 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
+	.X(n_6019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436257 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
+	.X(n_6018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436258 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B1(FE_OFN1489_n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
+	.X(n_6017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436259 (
+	.A1(FE_OFN978_n_5367),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(n_5659),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
+	.X(n_6016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436260 (
+	.A1(FE_OFN981_n_5370),
+	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B1(FE_OFN1484_n_5654),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
+	.X(n_6015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436261 (
+	.A1_N(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.A2_N(n_5702),
+	.B1(n_5702),
+	.B2(n_13376),
+	.Y(n_6014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436262 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5433),
+	.B1(n_5385),
+	.B2(n_2401),
+	.C1(n_5387),
+	.C2(n_2561),
+	.Y(n_6013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g436263 (
+	.A1(n_2582),
+	.A2(n_5388),
+	.B1(n_2584),
+	.B2(n_5386),
+	.C1(n_5630),
+	.Y(n_6012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436264 (
+	.A1(n_5461),
+	.A2(FE_OFN1646_n_3077),
+	.B1(n_5385),
+	.B2(n_2367),
+	.C1(n_5387),
+	.C2(n_2567),
+	.Y(n_6011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436265 (
+	.A1(n_5462),
+	.A2(FE_OFN1646_n_3077),
+	.B1(n_5385),
+	.B2(n_2365),
+	.C1(n_5387),
+	.C2(n_2566),
+	.Y(n_6010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436267 (
+	.A(n_6007),
+	.Y(n_6008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436268 (
+	.A(n_6003),
+	.Y(n_6004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436269 (
+	.A(n_5999),
+	.Y(n_6000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436270 (
+	.A(n_5997),
+	.Y(n_5998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436271 (
+	.A(n_5995),
+	.Y(n_5996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g436272 (
+	.A(FE_OFN992_n_5974),
+	.Y(n_5973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436273 (
+	.A(n_5969),
+	.Y(n_5970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436274 (
+	.A(n_5966),
+	.Y(n_5965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436275 (
+	.A(n_5964),
+	.Y(n_5963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g436276 (
+	.A(n_5955),
+	.Y(n_5954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436277 (
+	.A(FE_OFN19680_n_5953),
+	.Y(n_5952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g436278 (
+	.A(FE_OFN989_n_5950),
+	.Y(n_5951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436279 (
+	.A(n_5947),
+	.Y(n_5946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436280 (
+	.A(n_5945),
+	.Y(n_5944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436281 (
+	.A(n_5943),
+	.Y(n_5942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436282 (
+	.A(n_5941),
+	.Y(n_5940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436283 (
+	.A(n_16007),
+	.Y(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g436284 (
+	.A1(n_3118),
+	.A2(n_1084),
+	.B1(n_3107),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C1(n_1058),
+	.X(n_5933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g436285 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.A2(n_5409),
+	.B1(FE_OFN35_io_out_37),
+	.Y(n_5932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g436287 (
+	.A1(n_610),
+	.A2(n_3110),
+	.B1(n_1088),
+	.C1(n_5260),
+	.Y(n_5930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g436288 (
+	.A1(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.A2(n_5468),
+	.B1(n_5671),
+	.Y(n_5929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g436289 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.A2(n_3096),
+	.B1(n_3088),
+	.C1(n_5393),
+	.Y(n_5928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436290 (
+	.A1_N(n_1397),
+	.A2_N(FE_OFN18274_n_2418),
+	.B1(n_1195),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_5927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436291 (
+	.A1_N(n_1396),
+	.A2_N(FE_OFN18274_n_2418),
+	.B1(n_1202),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_5926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436292 (
+	.A1_N(n_1399),
+	.A2_N(FE_OFN18274_n_2418),
+	.B1(n_1180),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_5925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436293 (
+	.A1(n_2289),
+	.A2(n_1077),
+	.B1(n_2407),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_5924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436294 (
+	.A1_N(n_1400),
+	.A2_N(FE_OFN18274_n_2418),
+	.B1(n_1170),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_5923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g436295 (
+	.A1(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.A2(n_2896),
+	.B1(n_5696),
+	.Y(n_5922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g436296 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.D(n_2948),
+	.X(n_5921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g436298 (
+	.A(FE_OFN1089_n_13086),
+	.B(FE_OFN1093_n_13090),
+	.C(n_13412),
+	.D(n_2947),
+	.X(n_5919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436299 (
+	.A1_N(n_1394),
+	.A2_N(FE_OFN18274_n_2418),
+	.B1(n_1203),
+	.B2(FE_OFN976_n_5364),
+	.Y(n_5918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436300 (
+	.A(FE_OFN1482_n_5651),
+	.B(FE_OFN18449_n_15945),
+	.Y(n_5917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436301 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_5916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436302 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.Y(n_5915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436303 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.Y(n_5914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436304 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.Y(n_5913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436305 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_5912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436306 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.Y(n_5911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436307 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.Y(n_5910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436308 (
+	.A(n_5642),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.Y(n_5909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436309 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.Y(n_5908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436310 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.Y(n_5907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436311 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.Y(n_5906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436312 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.Y(n_5905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436313 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_5904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436314 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.Y(n_5903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436315 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.Y(n_5902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436316 (
+	.A(n_5644),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.Y(n_5901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g436317 (
+	.A1(n_3129),
+	.A2(n_1057),
+	.B1(n_3109),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C1(n_1086),
+	.X(n_5900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436318 (
+	.A1_N(n_1171),
+	.A2_N(FE_OFN976_n_5364),
+	.B1(n_1389),
+	.B2(FE_OFN18274_n_2418),
+	.Y(n_5899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436319 (
+	.A(n_5700),
+	.B(FE_OFN18279_n_16012),
+	.Y(n_5898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436320 (
+	.A(n_3099),
+	.B(n_5546),
+	.Y(n_5897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436321 (
+	.A(n_2413),
+	.B(n_5708),
+	.Y(n_5896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436322 (
+	.A(n_2413),
+	.B(n_5712),
+	.Y(n_5895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436323 (
+	.A(n_2413),
+	.B(n_5711),
+	.Y(n_5894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g436324 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A3(n_1624),
+	.A4(n_2543),
+	.B1(n_5573),
+	.Y(n_5893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g436325 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A3(n_1594),
+	.A4(n_2544),
+	.B1(n_5574),
+	.Y(n_5892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436326 (
+	.A1(n_5406),
+	.A2(n_1013),
+	.B1(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(n_5891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g436327 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_636),
+	.A3(n_1449),
+	.B1(n_5543),
+	.Y(n_5890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g436328 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.A2(n_5418),
+	.B1(FE_OFN35_io_out_37),
+	.Y(n_5889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g436329 (
+	.A1(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.A2(n_13381),
+	.B1(n_5704),
+	.X(n_5888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436330 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5632),
+	.Y(n_5887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436331 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5622),
+	.Y(n_5886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436332 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5629),
+	.Y(n_5885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436333 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_5085),
+	.Y(n_5884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436334 (
+	.A1(n_1024),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.B1(n_1035),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.C1(n_5638),
+	.Y(n_5883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g436335 (
+	.A1(n_487),
+	.A2(n_2900),
+	.B1(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_5882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436336 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5624),
+	.Y(n_5881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436337 (
+	.A(n_5589),
+	.B(n_5537),
+	.Y(n_5880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436339 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5621),
+	.Y(n_5878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436340 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5623),
+	.Y(n_5877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g436341 (
+	.A1(n_43),
+	.A2(n_2295),
+	.A3(n_3136),
+	.B1(n_3043),
+	.Y(n_5876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436342 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5633),
+	.Y(n_5875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436345 (
+	.A1(n_5432),
+	.A2(n_2001),
+	.B1(n_2857),
+	.Y(n_6007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g436346 (
+	.A1(FE_OFN1639_n_16011),
+	.A2(n_5429),
+	.B1(n_2858),
+	.Y(n_6006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436348 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(n_5697),
+	.Y(n_6005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436351 (
+	.A1(n_5465),
+	.A2(n_2001),
+	.B1(n_2857),
+	.Y(n_6003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436352 (
+	.A1(n_1024),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.B1(n_1035),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.C1(n_5639),
+	.Y(n_6002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g436355 (
+	.A1(FE_OFN1639_n_16011),
+	.A2(n_15922),
+	.B1(n_2858),
+	.Y(n_6001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436356 (
+	.A1(n_5467),
+	.A2(n_2001),
+	.B1(n_2857),
+	.Y(n_5999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436357 (
+	.A1(n_5423),
+	.A2(n_2001),
+	.B1(n_2857),
+	.Y(n_5997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436358 (
+	.A1(n_5420),
+	.A2(n_2001),
+	.B1(n_2857),
+	.Y(n_5995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436359 (
+	.A1(n_5452),
+	.A2(n_2001),
+	.B1(n_5102),
+	.Y(n_5994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g436360 (
+	.A1(n_1050),
+	.A2(n_3145),
+	.B1_N(n_5703),
+	.Y(n_5993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g436361 (
+	.A1(n_3145),
+	.A2(n_1051),
+	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.C1(n_15923),
+	.X(n_5992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436364 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B(n_5680),
+	.Y(n_5991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436366 (
+	.A(n_497),
+	.B(n_3138),
+	.Y(n_5990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436367 (
+	.A(n_5709),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.Y(n_5989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436371 (
+	.A(n_1467),
+	.B(n_3141),
+	.Y(n_5988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436372 (
+	.A(n_5678),
+	.B(n_2172),
+	.X(n_5987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436373 (
+	.A(n_5695),
+	.B(n_2173),
+	.X(n_5986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436374 (
+	.A(n_5695),
+	.B(n_2174),
+	.X(n_5985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436375 (
+	.A(n_5695),
+	.B(n_2175),
+	.X(n_5984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g436376 (
+	.A_N(n_5701),
+	.B(FE_OFN31_io_out_37),
+	.Y(n_5983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436377 (
+	.A(n_479),
+	.B(n_5674),
+	.Y(n_5982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436378 (
+	.A(n_5678),
+	.B(n_2165),
+	.X(n_5981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436379 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_5680),
+	.Y(n_5980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436381 (
+	.A(n_5678),
+	.B(n_2171),
+	.X(n_5979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436382 (
+	.A(n_5678),
+	.B(n_2164),
+	.X(n_5978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436383 (
+	.A(n_5678),
+	.B(n_2166),
+	.X(n_5977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g436384 (
+	.A(n_5678),
+	.B(n_2170),
+	.X(n_5976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g436385 (
+	.A(n_5145),
+	.B(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.Y(n_5975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436387 (
+	.A1(n_3008),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.C1(n_5581),
+	.Y(n_5974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436392 (
+	.A(n_1226),
+	.B(n_5689),
+	.Y(n_5972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436394 (
+	.A(n_1226),
+	.B(n_5690),
+	.Y(n_5971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g436395 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.B(n_1446),
+	.C(n_2890),
+	.Y(n_5969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436396 (
+	.A(n_1225),
+	.B(n_5690),
+	.Y(n_5968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436397 (
+	.A(n_1229),
+	.B(n_5690),
+	.Y(n_5967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436398 (
+	.A1(n_3005),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.C1(n_5534),
+	.Y(n_5966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436399 (
+	.A1(n_3000),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.C1(n_5545),
+	.Y(n_5964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436400 (
+	.A(n_1231),
+	.B(n_5690),
+	.Y(n_5962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g436401 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.C(n_5398),
+	.Y(n_5961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436402 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(n_5706),
+	.Y(n_5960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436403 (
+	.A(n_1231),
+	.B(n_5689),
+	.Y(n_5959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436404 (
+	.A(n_1225),
+	.B(n_5689),
+	.Y(n_5958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g436405 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.C(n_1680),
+	.D(n_3123),
+	.X(n_5957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436406 (
+	.A(n_1229),
+	.B(n_5689),
+	.Y(n_5956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436408 (
+	.A1(n_3010),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.C1(n_5576),
+	.Y(n_5955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436409 (
+	.A1(n_2998),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.C1(n_5533),
+	.Y(n_5953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g436410 (
+	.A(n_533),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.C(n_5398),
+	.Y(n_5950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436411 (
+	.A(n_533),
+	.B(n_5706),
+	.Y(n_5949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436412 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_5674),
+	.Y(n_5948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g436414 (
+	.A1(n_3006),
+	.A2(n_1029),
+	.B1(n_1030),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.C1(n_5575),
+	.Y(n_5947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436419 (
+	.A(n_5641),
+	.B(FE_OFN29_io_out_37),
+	.Y(n_5945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g436420 (
+	.A(n_5645),
+	.B(FE_OFN29_io_out_37),
+	.Y(n_5943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436421 (
+	.A(FE_OFN876_n_471),
+	.B(n_5643),
+	.Y(n_5941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g436423 (
+	.A(n_5713),
+	.B(n_5401),
+	.Y(n_5939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g436424 (
+	.A(n_5699),
+	.B(FE_OFN18318_n_15946),
+	.X(n_5938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_2 g436425 (
+	.A1(n_1755),
+	.A2(n_5396),
+	.B1(n_5700),
+	.X(n_5937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g436427 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
+	.B(n_5693),
+	.Y(n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g436428 (
+	.A(n_5865),
+	.Y(n_5866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436429 (
+	.A(FE_OFN1620_n_5856),
+	.Y(n_5855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436430 (
+	.A(FE_COEN4266_n_5854),
+	.Y(n_5853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436431 (
+	.A(FE_OFN1619_n_5852),
+	.Y(n_5851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436432 (
+	.A(FE_OFN18969_n_5850),
+	.Y(n_5849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436433 (
+	.A(n_5848),
+	.Y(n_5847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436434 (
+	.A(FE_OFN1618_n_5846),
+	.Y(n_5845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436435 (
+	.A(n_5844),
+	.Y(n_5843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436436 (
+	.A(n_5841),
+	.Y(n_5842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436437 (
+	.A(n_5840),
+	.Y(n_5839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436438 (
+	.A(FE_COEN4299_n_5838),
+	.Y(n_5837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436439 (
+	.A(FE_OFN1617_n_5836),
+	.Y(n_5835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436440 (
+	.A(FE_OFN1616_n_5834),
+	.Y(n_5833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436441 (
+	.A(n_5832),
+	.Y(n_5831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436442 (
+	.A(FE_OFN1615_n_5830),
+	.Y(n_5829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436443 (
+	.A(FE_COEN4877_n_5828),
+	.Y(n_5827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g436444 (
+	.A(FE_OFN1614_n_5826),
+	.Y(n_5825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436445 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_OFN18487_n_13386),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN19555_n_13387),
+	.Y(n_5824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g436446 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(n_4322),
+	.X(n_5823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g436447 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(n_4323),
+	.X(n_5822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436448 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3133),
+	.B2(n_5377),
+	.Y(n_5821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436449 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3024),
+	.B2(n_5377),
+	.Y(n_5820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436450 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3135),
+	.B2(n_5377),
+	.Y(n_5819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436451 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3131),
+	.B2(n_5377),
+	.Y(n_5818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436452 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3028),
+	.B2(n_5377),
+	.Y(n_5817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436453 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3128),
+	.B2(n_5377),
+	.Y(n_5816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436454 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3140),
+	.B2(n_5377),
+	.Y(n_5815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436455 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3016),
+	.B2(n_5377),
+	.Y(n_5814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436456 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_13354),
+	.B2(n_5377),
+	.Y(n_5813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436457 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_13355),
+	.B2(n_5377),
+	.Y(n_5812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436458 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3018),
+	.B2(n_5377),
+	.Y(n_5811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436459 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3022),
+	.B2(n_5377),
+	.Y(n_5810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436460 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3030),
+	.B2(n_5377),
+	.Y(n_5809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436461 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3120),
+	.B2(n_5377),
+	.Y(n_5808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436462 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3032),
+	.B2(n_5377),
+	.Y(n_5807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436463 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3020),
+	.B2(n_5377),
+	.Y(n_5806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436464 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3026),
+	.B2(n_5377),
+	.Y(n_5805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436465 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_3122),
+	.B2(n_5377),
+	.Y(n_5804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436466 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_13356),
+	.B2(n_5377),
+	.Y(n_5803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436467 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.A2_N(FE_OFN1477_n_2980),
+	.B1(n_13357),
+	.B2(n_5377),
+	.Y(n_5802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436468 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.A2_N(n_2981),
+	.B1(n_3133),
+	.B2(n_5379),
+	.Y(n_5801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436469 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.A2_N(n_2981),
+	.B1(n_3024),
+	.B2(n_5379),
+	.Y(n_5800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436470 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.A2_N(n_2981),
+	.B1(n_3135),
+	.B2(n_5379),
+	.Y(n_5799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436471 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.A2_N(n_2981),
+	.B1(n_3131),
+	.B2(n_5379),
+	.Y(n_5798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436472 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.A2_N(n_2981),
+	.B1(n_3028),
+	.B2(n_5379),
+	.Y(n_5797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436473 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.A2_N(n_2981),
+	.B1(n_3128),
+	.B2(n_5379),
+	.Y(n_5796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436474 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.A2_N(n_2981),
+	.B1(n_3140),
+	.B2(n_5379),
+	.Y(n_5795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g436476 (
+	.A(n_5117),
+	.B(n_2656),
+	.C(n_2916),
+	.Y(n_5793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g436477 (
+	.A(n_5095),
+	.B(n_2346),
+	.C(n_1790),
+	.Y(n_5792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g436478 (
+	.A1(n_1410),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.B1(n_5550),
+	.Y(n_5791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g436479 (
+	.A(n_5089),
+	.B(n_2964),
+	.C(n_2661),
+	.Y(n_5790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g436480 (
+	.A(n_5105),
+	.B(n_2357),
+	.C(n_1803),
+	.Y(n_5789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g436481 (
+	.A(n_2410),
+	.B(n_2923),
+	.C(n_1988),
+	.D(n_2946),
+	.Y(n_5788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436482 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.C1(n_5549),
+	.Y(n_5787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436483 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.C1(n_5553),
+	.Y(n_5786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436484 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.C1(n_5571),
+	.Y(n_5785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g436485 (
+	.A1(FE_OFN927_n_2421),
+	.A2(n_13559),
+	.B1(n_3085),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
+	.C1(n_2195),
+	.X(n_5784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g436486 (
+	.A1(FE_OFN927_n_2421),
+	.A2(n_13560),
+	.B1(n_3085),
+	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
+	.C1(n_5171),
+	.X(n_5783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436487 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
+	.B1(n_1233),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.C1(n_5558),
+	.Y(n_5782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436488 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.C1(n_5547),
+	.Y(n_5781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g436489 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.C1(n_5551),
+	.Y(n_5780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g436490 (
+	.A(n_2970),
+	.B(n_5086),
+	.C(n_1801),
+	.Y(n_5779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g436491 (
+	.A(n_1982),
+	.B(n_2958),
+	.C(n_1946),
+	.D(n_1828),
+	.Y(n_5778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g436492 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.B(n_2886),
+	.X(n_5777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436493 (
+	.A1_N(n_13328),
+	.A2_N(n_2886),
+	.B1(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.B2(n_2886),
+	.Y(n_5776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436494 (
+	.A1_N(FE_COEN4302_n_669),
+	.A2_N(n_5401),
+	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B2(n_1845),
+	.Y(n_5775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436495 (
+	.A1(n_5374),
+	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [1]),
+	.Y(n_5774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436496 (
+	.A1(n_5376),
+	.A2(FE_OFN18412_n),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [2]),
+	.Y(n_5773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436497 (
+	.A1(n_5376),
+	.A2(FE_PSN4668_FE_OFN18492_n_13420),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [3]),
+	.Y(n_5772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436498 (
+	.A1(n_5376),
+	.A2(FE_PSN4354_FE_OFN1585_n_13422),
+	.B1(n_3076),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [5]),
+	.Y(n_5771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436499 (
+	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
+	.A2_N(n_3099),
+	.B1(n_5419),
+	.B2(n_6),
+	.Y(n_5770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g436500 (
+	.A1(n_5391),
+	.A2(n_1702),
+	.B1(n_1028),
+	.B2(n_1612),
+	.Y(n_5769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436501 (
+	.A1(n_5384),
+	.A2(n_3142),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(n_5768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436502 (
+	.A1(n_5384),
+	.A2(n_5427),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.Y(n_5767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436503 (
+	.A1_N(n_2369),
+	.A2_N(n_5386),
+	.B1(n_5435),
+	.B2(FE_OFN1646_n_3077),
+	.Y(n_5766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436504 (
+	.A1(n_5384),
+	.A2(n_5421),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.Y(n_5765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436505 (
+	.A1_N(n_2370),
+	.A2_N(n_5386),
+	.B1(n_5437),
+	.B2(FE_OFN1646_n_3077),
+	.Y(n_5764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436506 (
+	.A1_N(n_2597),
+	.A2_N(n_5386),
+	.B1(n_5440),
+	.B2(FE_OFN1646_n_3077),
+	.Y(n_5763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436507 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.A2_N(n_2981),
+	.B1(n_3016),
+	.B2(n_5379),
+	.Y(n_5762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436508 (
+	.A1_N(n_2577),
+	.A2_N(n_5386),
+	.B1(n_5448),
+	.B2(FE_OFN1646_n_3077),
+	.Y(n_5761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436509 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.A2_N(n_2981),
+	.B1(n_13355),
+	.B2(n_5379),
+	.Y(n_5760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436510 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.A2_N(n_2981),
+	.B1(n_13354),
+	.B2(n_5379),
+	.Y(n_5759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436511 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5459),
+	.B1(n_5385),
+	.B2(n_2565),
+	.Y(n_5758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436512 (
+	.A1(FE_OFN1646_n_3077),
+	.A2(n_5460),
+	.B1(n_5385),
+	.B2(n_2581),
+	.Y(n_5757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436513 (
+	.A1_N(n_5463),
+	.A2_N(FE_OFN1646_n_3077),
+	.B1(n_2399),
+	.B2(n_5386),
+	.Y(n_5756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436514 (
+	.A1_N(n_5464),
+	.A2_N(FE_OFN1646_n_3077),
+	.B1(n_2402),
+	.B2(n_5386),
+	.Y(n_5755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436515 (
+	.A1(FE_OFN974_n_5360),
+	.A2(FE_OFN18279_n_16012),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN18484_n_13416),
+	.Y(n_5754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436516 (
+	.A1(FE_OFN973_n_5358),
+	.A2(FE_OFN18487_n_13386),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.Y(n_5753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436517 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.A2_N(n_2981),
+	.B1(n_3030),
+	.B2(n_5379),
+	.Y(n_5752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436518 (
+	.A1(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN18594_n),
+	.Y(n_5751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436519 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_OFN18594_n),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_PSN4341_FE_OFN18495_n_13389),
+	.Y(n_5750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436520 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_PSN4341_FE_OFN18495_n_13389),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.Y(n_5749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436521 (
+	.A1(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.Y(n_5748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436522 (
+	.A1(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.Y(n_5747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436523 (
+	.A1(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN18312_n_13393),
+	.Y(n_5746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436524 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_OFN18312_n_13393),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.Y(n_5745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436525 (
+	.A1(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.Y(n_5744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436526 (
+	.A1(FE_OFN973_n_5358),
+	.A2(FE_PSN4777_FE_OFN1082_n_13075),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.Y(n_5743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436527 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_PSN4777_FE_OFN1082_n_13075),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.Y(n_5742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436528 (
+	.A1(\u_soc_xbar_to_dccm[a_address] [13]),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN1083_n_13076),
+	.Y(n_5741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436529 (
+	.A1(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN973_n_5358),
+	.B2(n_13399),
+	.Y(n_5740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436530 (
+	.A1(\u_soc_lsu_to_xbar[a_address] [15]),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN977_n_5366),
+	.B2(n_13399),
+	.Y(n_5739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436531 (
+	.A1(FE_OFN977_n_5366),
+	.A2(n_13400),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.Y(n_5738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436532 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_COEN4335_n_13401),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.Y(n_5737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436533 (
+	.A1(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.Y(n_5736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436534 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_OFN1086_n_13082),
+	.B1(FE_OFN973_n_5358),
+	.B2(n_13404),
+	.Y(n_5735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436535 (
+	.A1(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN977_n_5366),
+	.B2(n_13404),
+	.Y(n_5734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436536 (
+	.A1(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN973_n_5358),
+	.B2(n_13406),
+	.Y(n_5733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436537 (
+	.A1(FE_OFN973_n_5358),
+	.A2(FE_PSN4147_n_13407),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.Y(n_5732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436538 (
+	.A1(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.Y(n_5731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436539 (
+	.A1(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.Y(n_5730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436540 (
+	.A1(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN973_n_5358),
+	.B2(n_13410),
+	.Y(n_5729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436541 (
+	.A1(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.Y(n_5728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436542 (
+	.A1(FE_OFN977_n_5366),
+	.A2(n_13411),
+	.B1(FE_OFN973_n_5358),
+	.B2(n_13412),
+	.Y(n_5727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436543 (
+	.A1(FE_OFN977_n_5366),
+	.A2(n_13412),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.Y(n_5726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436544 (
+	.A1(FE_OFN977_n_5366),
+	.A2(FE_OFN1093_n_13090),
+	.B1(FE_OFN973_n_5358),
+	.B2(FE_OFN1089_n_13086),
+	.Y(n_5725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436545 (
+	.A1(FE_OFN18361_n),
+	.A2(FE_OFN974_n_5360),
+	.B1(FE_OFN975_n_5362),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.Y(n_5724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g436546 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_5409),
+	.X(n_5723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436547 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.A2_N(n_2981),
+	.B1(n_13357),
+	.B2(n_5379),
+	.Y(n_5722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436548 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.A2_N(n_2981),
+	.B1(n_13356),
+	.B2(n_5379),
+	.Y(n_5721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436549 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.A2_N(n_2981),
+	.B1(n_3122),
+	.B2(n_5379),
+	.Y(n_5720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436550 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.A2_N(n_2981),
+	.B1(n_3026),
+	.B2(n_5379),
+	.Y(n_5719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436551 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.A2_N(n_2981),
+	.B1(n_3020),
+	.B2(n_5379),
+	.Y(n_5718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436552 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.A2_N(n_2981),
+	.B1(n_3120),
+	.B2(n_5379),
+	.Y(n_5717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436553 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.A2_N(n_2981),
+	.B1(n_3022),
+	.B2(n_5379),
+	.Y(n_5716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436554 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.A2_N(n_2981),
+	.B1(n_3018),
+	.B2(n_5379),
+	.Y(n_5715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436555 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.A2_N(n_2981),
+	.B1(n_3032),
+	.B2(n_5379),
+	.Y(n_5714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g436556 (
+	.A1(FE_OFN1639_n_16011),
+	.A2(n_5426),
+	.B1(n_2858),
+	.Y(n_5874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436557 (
+	.A1(n_5454),
+	.A2(n_2001),
+	.B1(n_5466),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436558 (
+	.A1_N(n_2001),
+	.A2_N(n_2603),
+	.B1(n_2001),
+	.B2(n_5450),
+	.Y(n_5872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g436559 (
+	.A1_N(n_2001),
+	.A2_N(n_5444),
+	.B1(n_2001),
+	.B2(n_15922),
+	.Y(n_5871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436560 (
+	.A1(n_5456),
+	.A2(n_2001),
+	.B1(n_5446),
+	.B2(FE_OFN1639_n_16011),
+	.X(n_5870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g436561 (
+	.A1(n_5455),
+	.A2(n_2001),
+	.B1(n_5443),
+	.B2(FE_OFN1639_n_16011),
+	.X(n_5869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436562 (
+	.A1(n_5453),
+	.A2(n_2001),
+	.B1(n_5438),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436563 (
+	.A1(n_5449),
+	.A2(n_2001),
+	.B1(n_2608),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436564 (
+	.A1(n_5447),
+	.A2(n_2001),
+	.B1(n_5425),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436565 (
+	.A1(n_5442),
+	.A2(n_2001),
+	.B1(n_5420),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436566 (
+	.A1(n_5439),
+	.A2(n_2001),
+	.B1(n_5423),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436567 (
+	.A1(n_5465),
+	.A2(FE_OFN1639_n_16011),
+	.B1(n_5436),
+	.B2(n_2001),
+	.Y(n_5862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436568 (
+	.A1(n_5434),
+	.A2(n_2001),
+	.B1(n_5467),
+	.B2(FE_OFN1639_n_16011),
+	.Y(n_5861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g436569 (
+	.A1(n_5432),
+	.A2(FE_OFN1639_n_16011),
+	.B1(n_5431),
+	.B2(n_2001),
+	.Y(n_5860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g436570 (
+	.A1_N(n_2001),
+	.A2_N(n_5429),
+	.B1(n_2001),
+	.B2(n_5430),
+	.Y(n_5859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g436571 (
+	.A1(FE_OFN1639_n_16011),
+	.A2(n_2549),
+	.A3(n_1743),
+	.B1(n_5451),
+	.B2(n_2001),
+	.Y(n_5858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g436572 (
+	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.C(n_2959),
+	.D(n_1222),
+	.Y(n_5857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436573 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3132),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.Y(n_5856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436574 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3023),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.Y(n_5854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436575 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3134),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.Y(n_5852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436576 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3130),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.Y(n_5850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436577 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3027),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.Y(n_5848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436578 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3127),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.Y(n_5846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436579 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3139),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.Y(n_5844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436580 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.A2(n_13493),
+	.B1(n_13492),
+	.B2(n_3015),
+	.C1(n_13494),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.Y(n_5841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436581 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3029),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.Y(n_5840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436582 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3017),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.Y(n_5838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436583 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3021),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.Y(n_5836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436584 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3119),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.Y(n_5834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436585 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3031),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.Y(n_5832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436586 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3019),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_5830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436587 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3025),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.Y(n_5828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g436588 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.A2(n_13494),
+	.B1(n_13492),
+	.B2(n_3121),
+	.C1(n_13493),
+	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.Y(n_5826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g437777 (
+	.A(n_5691),
+	.Y(n_5692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g437778 (
+	.A(n_5680),
+	.Y(n_5679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g437779 (
+	.A(n_5674),
+	.Y(n_5673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g437780 (
+	.A(FE_OFN1482_n_5651),
+	.Y(n_5650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g437781 (
+	.A(FE_OFN1481_n_5649),
+	.Y(n_5648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g437782 (
+	.A(FE_OFN1480_n_5647),
+	.Y(n_5646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g437783 (
+	.A(n_5645),
+	.Y(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g437784 (
+	.A(n_5642),
+	.Y(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437785 (
+	.A(n_5400),
+	.B(n_5240),
+	.Y(n_5640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g437786 (
+	.A1(n_1026),
+	.A2(n_3009),
+	.B1(n_1038),
+	.B2(n_2997),
+	.Y(n_5639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g437787 (
+	.A1(n_1026),
+	.A2(n_2999),
+	.B1(n_1038),
+	.B2(n_3013),
+	.Y(n_5638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g437788 (
+	.A1_N(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.A2_N(n_2296),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B2(n_2296),
+	.Y(n_5637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437789 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.Y(n_5636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437790 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.Y(n_5635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437791 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.Y(n_5634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437792 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
+	.B(n_5416),
+	.Y(n_5633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437793 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]),
+	.B(n_5417),
+	.Y(n_5632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437794 (
+	.A(n_3098),
+	.B(n_5419),
+	.Y(n_5631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437795 (
+	.A(FE_OFN1646_n_3077),
+	.B(n_5445),
+	.Y(n_5630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437796 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
+	.B(n_5403),
+	.Y(n_5629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437797 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.Y(n_5628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437798 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.Y(n_5627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437799 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.Y(n_5626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437800 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.Y(n_5625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437801 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]),
+	.B(n_5410),
+	.Y(n_5624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437802 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]),
+	.B(n_5414),
+	.Y(n_5623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437803 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
+	.B(n_5415),
+	.Y(n_5622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437804 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
+	.B(n_5413),
+	.Y(n_5621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437805 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.Y(n_5620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437806 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.Y(n_5619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g437807 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.A2_N(n_3146),
+	.B1(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B2(n_3146),
+	.Y(n_5618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437808 (
+	.A(n_5393),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Y(n_5617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437809 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.Y(n_5616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437810 (
+	.A(n_5393),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Y(n_5615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437811 (
+	.A1(FE_OFN929_n_2985),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.Y(n_5614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437812 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.Y(n_5613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437813 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.Y(n_5612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437814 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_5611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437815 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_3070),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.Y(n_5610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437816 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.Y(n_5609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437817 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.Y(n_5608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437818 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.B1(n_2008),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.Y(n_5607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437819 (
+	.A1(FE_OFN929_n_2985),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(FE_OFN930_n_2987),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_5606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437820 (
+	.A1(FE_OFN930_n_2987),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.Y(n_5605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437821 (
+	.A1(FE_OFN929_n_2985),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.B1(n_2009),
+	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.Y(n_5604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437822 (
+	.A1(n_2983),
+	.A2(n_13525),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[30]),
+	.B1(n_1746),
+	.B2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.Y(n_5603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437823 (
+	.A1(n_2983),
+	.A2(n_13524),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[29]),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_5602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437824 (
+	.A1(n_2983),
+	.A2(n_13523),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[28]),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437825 (
+	.A1(n_2983),
+	.A2(n_13522),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[27]),
+	.B1(n_1746),
+	.B2(FE_PSN4369_u_soc_lsu_to_xbar_a_address_26),
+	.Y(n_5600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437826 (
+	.A1(n_2983),
+	.A2(n_13521),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[26]),
+	.B1(n_1745),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_5599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437827 (
+	.A1(n_2983),
+	.A2(n_13520),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[25]),
+	.B1(n_1746),
+	.B2(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.Y(n_5598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437828 (
+	.A1(n_2986),
+	.A2(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.B1(n_1746),
+	.B2(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.Y(n_5597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437829 (
+	.A(n_5088),
+	.B(n_2932),
+	.Y(n_5596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437830 (
+	.A1(n_2983),
+	.A2(n_13516),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[21]),
+	.B1(n_2986),
+	.B2(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.Y(n_5595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437831 (
+	.A1(n_2983),
+	.A2(n_13515),
+	.A3(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B1(n_2986),
+	.B2(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.Y(n_5594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437832 (
+	.A1(n_2983),
+	.A2(n_13514),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[19]),
+	.B1(n_2986),
+	.B2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.Y(n_5593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437833 (
+	.A1(n_2983),
+	.A2(FE_PSN4151_n_13513),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[18]),
+	.B1(n_2986),
+	.B2(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.Y(n_5592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437834 (
+	.A1(n_2983),
+	.A2(n_13512),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[17]),
+	.B1(n_2986),
+	.B2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.Y(n_5591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g437835 (
+	.A1(FE_OFN18286_n_2984),
+	.A2(n_13511),
+	.A3(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.B1(n_2986),
+	.B2(\u_soc_lsu_to_xbar[a_address] [16]),
+	.Y(n_5590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g437836 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_19),
+	.A3(n_1834),
+	.A4(n_2159),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_5589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437837 (
+	.A1(n_2986),
+	.A2(FE_OFN762_u_soc_lsu_to_xbar_a_address__15),
+	.B1(n_1746),
+	.B2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.Y(n_5588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437838 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_5144),
+	.Y(n_5587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437839 (
+	.A1(n_2986),
+	.A2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.B1(n_1746),
+	.B2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.Y(n_5586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437840 (
+	.A(n_2295),
+	.B(n_5398),
+	.Y(n_5585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g437841 (
+	.A1_N(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.A2_N(n_2299),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B2(n_2299),
+	.Y(n_5584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437842 (
+	.A1(n_2986),
+	.A2(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_5583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437843 (
+	.A1(n_2986),
+	.A2(FE_OFN18279_n_16012),
+	.B1(n_1746),
+	.B2(n_13576),
+	.Y(n_5582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g437844 (
+	.A1(n_2996),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.X(n_5581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437845 (
+	.A1(n_13675),
+	.A2(n_3074),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.Y(n_5580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437846 (
+	.A1(n_13674),
+	.A2(n_3074),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.Y(n_5579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437847 (
+	.A1(n_13673),
+	.A2(n_3074),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.Y(n_5578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437848 (
+	.A1(n_13672),
+	.A2(FE_OFN18324_n_3074),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.Y(n_5577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g437849 (
+	.A1(n_2993),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.X(n_5576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g437850 (
+	.A1(n_2995),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.X(n_5575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g437851 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A3(n_1665),
+	.A4(n_2159),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_5574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g437852 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A3(n_1836),
+	.A4(n_2158),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_5573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g437853 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_2622),
+	.C(n_5468),
+	.Y(n_5572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g437854 (
+	.A(n_5118),
+	.B(n_2934),
+	.C(n_1978),
+	.Y(n_5571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437855 (
+	.A1(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A2(FE_COEN4276_n_13501),
+	.B1(n_5363),
+	.Y(n_5570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g437856 (
+	.A1(n_3109),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_1057),
+	.Y(n_5569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437857 (
+	.A1(FE_PSN4822_n_13503),
+	.A2(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.B1(n_5363),
+	.Y(n_5568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437858 (
+	.A1(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.A2(FE_PSN4132_n_13504),
+	.B1(n_5363),
+	.Y(n_5567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437859 (
+	.A1(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A2(n_13505),
+	.B1(n_5363),
+	.Y(n_5566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437860 (
+	.A1(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A2(n_13506),
+	.B1(n_5363),
+	.Y(n_5565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437861 (
+	.A1(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
+	.A2(n_13507),
+	.B1(n_5363),
+	.Y(n_5564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437862 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.A2(n_13508),
+	.B1(n_5363),
+	.Y(n_5563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437863 (
+	.A(n_1172),
+	.B(FE_OFN976_n_5364),
+	.Y(n_5562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437864 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[22]),
+	.A2(n_13517),
+	.B1(n_5363),
+	.Y(n_5561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437865 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[23]),
+	.A2(n_13518),
+	.B1(n_5363),
+	.Y(n_5560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437866 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[24]),
+	.A2(n_13519),
+	.B1(n_5363),
+	.Y(n_5559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g437867 (
+	.A(n_5090),
+	.B(n_2928),
+	.C(n_1980),
+	.Y(n_5558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437868 (
+	.A(n_5400),
+	.B(n_5257),
+	.Y(n_5557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437869 (
+	.A(n_5400),
+	.B(n_5256),
+	.Y(n_5556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437870 (
+	.A(n_5400),
+	.B(n_5255),
+	.Y(n_5555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g437871 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_3041),
+	.X(n_5554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g437872 (
+	.A(n_5097),
+	.B(n_2922),
+	.C(n_1977),
+	.Y(n_5553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g437873 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_2945),
+	.Y(n_5552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g437874 (
+	.A(n_5098),
+	.B(n_2943),
+	.C(n_1965),
+	.Y(n_5551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g437875 (
+	.A(n_5091),
+	.B(n_2660),
+	.Y(n_5550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g437876 (
+	.A(n_5094),
+	.B(n_1945),
+	.C(n_1961),
+	.Y(n_5549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g437877 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_2662),
+	.C(n_2896),
+	.Y(n_5548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g437878 (
+	.A(n_2379),
+	.B(n_2918),
+	.C(n_1976),
+	.Y(n_5547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g437879 (
+	.A1(n_3097),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
+	.Y(n_5546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g437880 (
+	.A1(n_2988),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.X(n_5545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g437881 (
+	.A1(n_3098),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_5418),
+	.X(n_5544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437882 (
+	.A1(n_3098),
+	.A2(n_1915),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_5543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g437883 (
+	.A1(n_2298),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
+	.B1(n_5408),
+	.Y(n_5542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g437884 (
+	.A1(n_3107),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_1084),
+	.Y(n_5541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437885 (
+	.A1(n_13671),
+	.A2(FE_OFN18324_n_3074),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.Y(n_5540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g437886 (
+	.A1(n_30),
+	.A2(FE_OFN18442_n_112),
+	.B1(FE_OFN976_n_5364),
+	.Y(n_5539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437887 (
+	.A1(FE_PSN4383_n_13496),
+	.A2(FE_PSBN19826_n_15943),
+	.B1(n_5363),
+	.Y(n_5538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g437888 (
+	.A_N(n_2544),
+	.B(n_1561),
+	.C(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.D(n_98),
+	.Y(n_5537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437889 (
+	.A1(n_13670),
+	.A2(FE_OFN18324_n_3074),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.Y(n_5536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437890 (
+	.A1(FE_PSN4470_n_13497),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.B1(n_5363),
+	.Y(n_5535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g437891 (
+	.A1(n_2990),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.X(n_5534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g437892 (
+	.A1(n_3012),
+	.A2(n_1027),
+	.B1(n_1024),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.X(n_5533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g437893 (
+	.A1(n_636),
+	.A2(n_3097),
+	.B1(n_1914),
+	.Y(n_5532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437894 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13669),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.Y(n_5531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g437895 (
+	.A1(FE_OFN18326_n_13495),
+	.A2(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B1(n_5363),
+	.Y(n_5530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g437896 (
+	.A1(n_2921),
+	.A2(n_1032),
+	.B1(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.Y(n_5529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437897 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13668),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.Y(n_5528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g437898 (
+	.A1(n_98),
+	.A2(n_2291),
+	.B1(n_1085),
+	.C1(n_2942),
+	.Y(n_5527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437899 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13667),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.Y(n_5526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g437900 (
+	.A1(n_3148),
+	.A2(n_188),
+	.B1_N(n_5407),
+	.Y(n_5525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g437901 (
+	.A1(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.A2(n_2957),
+	.B1(n_143),
+	.B2(n_1689),
+	.Y(n_5524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437902 (
+	.A1(n_3075),
+	.A2(n_13709),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.Y(n_5523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437903 (
+	.A1(n_3075),
+	.A2(n_13710),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.Y(n_5522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437904 (
+	.A1(n_3075),
+	.A2(n_13711),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.Y(n_5521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437905 (
+	.A1(n_3075),
+	.A2(n_13712),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.Y(n_5520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437906 (
+	.A1(n_3075),
+	.A2(n_13713),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.Y(n_5519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437907 (
+	.A1(n_3075),
+	.A2(n_13714),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.Y(n_5518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437908 (
+	.A1(n_3075),
+	.A2(n_13715),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.Y(n_5517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437909 (
+	.A1(n_3075),
+	.A2(n_13716),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.Y(n_5516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437910 (
+	.A1(n_3075),
+	.A2(n_13717),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.Y(n_5515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437911 (
+	.A1(n_3075),
+	.A2(n_13718),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.Y(n_5514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437912 (
+	.A1(n_3075),
+	.A2(n_13719),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.Y(n_5513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437913 (
+	.A1(n_3075),
+	.A2(n_13721),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.Y(n_5512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437914 (
+	.A1(n_3075),
+	.A2(n_13720),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.Y(n_5511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437915 (
+	.A1(n_3075),
+	.A2(n_13722),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.Y(n_5510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437916 (
+	.A1(n_3075),
+	.A2(n_13723),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.Y(n_5509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437917 (
+	.A1(n_3075),
+	.A2(n_13724),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.Y(n_5508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437918 (
+	.A1(n_3075),
+	.A2(n_13725),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.Y(n_5507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437919 (
+	.A1(n_3075),
+	.A2(n_13726),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.Y(n_5506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437920 (
+	.A1(n_3075),
+	.A2(n_13727),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.Y(n_5505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437921 (
+	.A1(n_3075),
+	.A2(n_13728),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.Y(n_5504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437922 (
+	.A1(n_3075),
+	.A2(n_13729),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.Y(n_5503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437923 (
+	.A1(n_3075),
+	.A2(n_13730),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.Y(n_5502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437924 (
+	.A1(n_3075),
+	.A2(n_13731),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.Y(n_5501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437925 (
+	.A1(n_3075),
+	.A2(n_13732),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.Y(n_5500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437926 (
+	.A1(n_3075),
+	.A2(n_13733),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.Y(n_5499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437927 (
+	.A1(n_3075),
+	.A2(n_13734),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.Y(n_5498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437928 (
+	.A1(n_3075),
+	.A2(n_13735),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.Y(n_5497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437929 (
+	.A1(n_3075),
+	.A2(n_13736),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.Y(n_5496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437930 (
+	.A1(n_3075),
+	.A2(n_13737),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.Y(n_5495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437931 (
+	.A1(n_3075),
+	.A2(n_13738),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.Y(n_5494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437932 (
+	.A1(n_3075),
+	.A2(n_13739),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.Y(n_5493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437933 (
+	.A1(n_3075),
+	.A2(n_13740),
+	.B1(FE_OFN18208_n_2676),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.Y(n_5492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437934 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13644),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.Y(n_5491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437935 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13645),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.Y(n_5490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437936 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13646),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.Y(n_5489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437937 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13647),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.Y(n_5488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437938 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13648),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.Y(n_5487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437939 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13649),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.Y(n_5486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437940 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13650),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.Y(n_5485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437941 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13651),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.Y(n_5484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437942 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13652),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.Y(n_5483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437943 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13653),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.Y(n_5482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437944 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13654),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.Y(n_5481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437945 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13656),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.Y(n_5480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437946 (
+	.A1(FE_OFN18323_n_3074),
+	.A2(n_13655),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.Y(n_5479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437947 (
+	.A1(n_3074),
+	.A2(n_13657),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.Y(n_5478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437948 (
+	.A1(n_3074),
+	.A2(n_13658),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.Y(n_5477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437949 (
+	.A1(n_3074),
+	.A2(n_13659),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.Y(n_5476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437950 (
+	.A1(n_3074),
+	.A2(n_13660),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.Y(n_5475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437951 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13661),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.Y(n_5474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437952 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13662),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.Y(n_5473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437953 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13663),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.Y(n_5472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437954 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13664),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.Y(n_5471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437955 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13665),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.Y(n_5470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g437956 (
+	.A1(FE_OFN18324_n_3074),
+	.A2(n_13666),
+	.B1(FE_OFN18237_n_2678),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.Y(n_5469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438739 (
+	.A(n_5412),
+	.B(FE_OFN18318_n_15946),
+	.Y(n_5713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438741 (
+	.A(n_5443),
+	.B(n_2001),
+	.Y(n_5712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438743 (
+	.A(n_5446),
+	.B(n_2001),
+	.Y(n_5711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g438744 (
+	.A_N(n_13376),
+	.B(n_5422),
+	.Y(n_5710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438752 (
+	.A(n_13449),
+	.B(n_2886),
+	.Y(n_5709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438767 (
+	.A(n_5438),
+	.B(n_2001),
+	.Y(n_5708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438783 (
+	.A(n_5391),
+	.B(n_589),
+	.Y(n_5707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438791 (
+	.A(n_2302),
+	.B(n_5392),
+	.Y(n_5706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g438808 (
+	.A(FE_OFN1639_n_16011),
+	.B_N(n_5466),
+	.Y(n_5705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g438851 (
+	.A(n_13885),
+	.B(n_13488),
+	.C(n_3042),
+	.Y(n_5704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g438856 (
+	.A(FE_OFN18249_n_183),
+	.B(n_16),
+	.C(n_3143),
+	.Y(n_5703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g438857 (
+	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(FE_COEN4290_FE_OFN18250_n_183),
+	.C(n_3088),
+	.X(n_5702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g438859 (
+	.A1(n_1401),
+	.A2(n_1094),
+	.A3(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_5149),
+	.Y(n_5701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g438916 (
+	.A1(n_1056),
+	.A2(n_3143),
+	.B1(FE_OFN18249_n_183),
+	.B2(n_2944),
+	.Y(n_5700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g438920 (
+	.A(n_16),
+	.B(FE_OFN18249_n_183),
+	.C(n_3147),
+	.Y(n_5699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438921 (
+	.A(n_5392),
+	.B(n_1264),
+	.Y(n_5697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438922 (
+	.A(n_2896),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Y(n_5696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438923 (
+	.A(FE_OFN876_n_471),
+	.B(n_5394),
+	.Y(n_5695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438924 (
+	.A(n_5394),
+	.B(n_5381),
+	.Y(n_5694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438925 (
+	.A(n_5391),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.Y(n_5693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438926 (
+	.A(n_5391),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.Y(n_5691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438928 (
+	.A(n_5405),
+	.B(FE_OFN29_io_out_37),
+	.Y(n_5690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438929 (
+	.A(FE_OFN984_n_5382),
+	.B(n_5405),
+	.Y(n_5689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438930 (
+	.A(n_1231),
+	.B(n_5394),
+	.Y(n_5688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g438931 (
+	.A1(n_1632),
+	.A2(n_1701),
+	.B1(FE_OFN986_n_5399),
+	.Y(n_5687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g438932 (
+	.A1(n_1682),
+	.A2(n_1728),
+	.B1(FE_OFN986_n_5399),
+	.Y(n_5686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438933 (
+	.A(n_1226),
+	.B(n_5394),
+	.Y(n_5685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g438934 (
+	.A1(n_1684),
+	.A2(n_1698),
+	.B1(n_5404),
+	.Y(n_5684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438935 (
+	.A(n_1229),
+	.B(n_5394),
+	.Y(n_5683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438936 (
+	.A(n_1225),
+	.B(n_5394),
+	.Y(n_5682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438937 (
+	.A(n_5378),
+	.B(n_13384),
+	.Y(n_5681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438938 (
+	.A(n_5396),
+	.B(n_533),
+	.Y(n_5680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438939 (
+	.A(FE_OFN876_n_471),
+	.B(FE_OFN986_n_5399),
+	.Y(n_5678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438940 (
+	.A(FE_OFN986_n_5399),
+	.B(n_5381),
+	.Y(n_5677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438941 (
+	.A(n_5380),
+	.B(n_13384),
+	.Y(n_5676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438942 (
+	.A(n_5380),
+	.B(n_13385),
+	.Y(n_5675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438943 (
+	.A(n_5396),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.Y(n_5674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438944 (
+	.A(n_5378),
+	.B(n_13385),
+	.Y(n_5672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438945 (
+	.A(n_5468),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.Y(n_5671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g438946 (
+	.A1(n_3107),
+	.A2(FE_OFN31_io_out_37),
+	.B1(n_1076),
+	.X(n_5670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438947 (
+	.A(n_5139),
+	.B(n_1084),
+	.Y(n_5669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438948 (
+	.A(n_5140),
+	.B(n_1058),
+	.Y(n_5668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g438949 (
+	.A(n_1231),
+	.B(n_5397),
+	.Y(n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438950 (
+	.A(n_1229),
+	.B(n_5397),
+	.Y(n_5666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438951 (
+	.A(n_1225),
+	.B(n_5397),
+	.Y(n_5665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g438952 (
+	.A(n_1226),
+	.B(n_5397),
+	.Y(n_5664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438955 (
+	.A(n_5395),
+	.B(n_1755),
+	.Y(n_5663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438956 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5415),
+	.Y(n_5662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438957 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_15919),
+	.Y(n_5661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438958 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5410),
+	.Y(n_5660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438959 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5417),
+	.Y(n_5659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438960 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5416),
+	.Y(n_5658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g438961 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(n_5406),
+	.Y(n_5657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438962 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5414),
+	.Y(n_5656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438963 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5403),
+	.Y(n_5655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438964 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5413),
+	.Y(n_5654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438965 (
+	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_5406),
+	.Y(n_5653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g438966 (
+	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(n_5401),
+	.X(n_5652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438967 (
+	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(n_5357),
+	.Y(n_5651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438968 (
+	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(n_5361),
+	.Y(n_5649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g438969 (
+	.A(n_16),
+	.B(n_5357),
+	.Y(n_5647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g438970 (
+	.A1(n_3109),
+	.A2(FE_OFN29_io_out_37),
+	.B1(n_1097),
+	.Y(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g438971 (
+	.A(n_1693),
+	.B(n_3124),
+	.C_N(n_1086),
+	.X(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g438972 (
+	.A(n_5146),
+	.B(n_1057),
+	.Y(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g438973 (
+	.A(n_2890),
+	.Y(n_5468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g438974 (
+	.A(n_5425),
+	.Y(n_5426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438975 (
+	.A(n_5404),
+	.Y(n_5405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g438976 (
+	.A(n_15919),
+	.Y(n_5403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g438977 (
+	.A(n_5396),
+	.Y(n_5395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g438978 (
+	.A(n_5393),
+	.Y(n_5392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438979 (
+	.A(n_5388),
+	.Y(n_5387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438980 (
+	.A(n_5386),
+	.Y(n_5385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438981 (
+	.A(n_5384),
+	.Y(n_5383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g438982 (
+	.A(FE_OFN984_n_5382),
+	.Y(n_5381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438983 (
+	.A(n_5380),
+	.Y(n_5379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438984 (
+	.A(n_5378),
+	.Y(n_5377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g438985 (
+	.A(n_5376),
+	.Y(n_5375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g438986 (
+	.A(n_5374),
+	.Y(n_5373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438989 (
+	.A(FE_OFN975_n_5362),
+	.Y(n_5361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g438991 (
+	.A(FE_OFN973_n_5358),
+	.Y(n_5357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438992 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2052),
+	.Y(n_5325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438993 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
+	.A2_N(n_2828),
+	.B1(n_2081),
+	.B2(n_1994),
+	.Y(n_5324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438994 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
+	.A2_N(n_2828),
+	.B1(FE_OFN1406_n_2016),
+	.B2(n_1994),
+	.Y(n_5323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438995 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
+	.A2_N(n_2828),
+	.B1(FE_OFN1438_n_2107),
+	.B2(n_1994),
+	.Y(n_5322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438996 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
+	.A2_N(n_2828),
+	.B1(n_2108),
+	.B2(n_1994),
+	.Y(n_5321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438997 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
+	.A2_N(n_2828),
+	.B1(FE_OFN1440_n_2112),
+	.B2(n_1994),
+	.Y(n_5320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438998 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
+	.A2_N(n_2828),
+	.B1(n_2106),
+	.B2(n_1994),
+	.Y(n_5319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g438999 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
+	.A2_N(n_2426),
+	.B1(n_2134),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439000 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
+	.A2_N(n_2426),
+	.B1(n_2081),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439001 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
+	.A2_N(n_2426),
+	.B1(n_2016),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439002 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
+	.A2_N(n_2426),
+	.B1(n_2102),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439003 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2059),
+	.Y(n_5314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439004 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
+	.A2_N(n_2426),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439005 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
+	.A2_N(n_2426),
+	.B1(n_2108),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439006 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
+	.A2_N(n_2426),
+	.B1(n_2112),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439007 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
+	.A2_N(n_2426),
+	.B1(n_2106),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_5310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439008 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
+	.A2_N(n_2863),
+	.B1(n_2081),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439009 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
+	.A2_N(n_2863),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439010 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
+	.A2_N(n_2863),
+	.B1(n_2102),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g439011 (
+	.A1(n_2009),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.B1(n_2534),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.Y(n_5306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439012 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
+	.A2_N(n_2863),
+	.B1(n_2107),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439013 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
+	.A2_N(n_2863),
+	.B1(n_2108),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439014 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
+	.A2_N(n_2863),
+	.B1(n_2112),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439015 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(n_2073),
+	.Y(n_5302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439016 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
+	.A2_N(n_2863),
+	.B1(n_2106),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_5301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439017 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2091),
+	.Y(n_5300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439018 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_5299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439019 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2070),
+	.Y(n_5298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439020 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_5297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439021 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2035),
+	.Y(n_5296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439022 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_5295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439023 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2130),
+	.Y(n_5294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439024 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2075),
+	.Y(n_5293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439025 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(n_2091),
+	.Y(n_5292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439026 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_5291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439027 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_5290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439028 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_5289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439029 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(n_2035),
+	.Y(n_5288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439030 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_5287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439031 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_5286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439032 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
+	.A2_N(n_2827),
+	.B1(n_1994),
+	.B2(n_2130),
+	.Y(n_5285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439033 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2091),
+	.Y(n_5284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439034 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2095),
+	.Y(n_5283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439035 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2070),
+	.Y(n_5282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439036 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2071),
+	.Y(n_5281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439037 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2035),
+	.Y(n_5280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439038 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2017),
+	.Y(n_5279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439039 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_5278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g439040 (
+	.A1(n_2009),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.B1(n_2534),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.Y(n_5277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439041 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
+	.A2_N(n_2849),
+	.B1(n_2024),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_5276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439042 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
+	.A2_N(n_2428),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2130),
+	.Y(n_5275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439043 (
+	.A(n_2982),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_5274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439044 (
+	.A(FE_OFN930_n_2987),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.Y(n_5273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439045 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.Y(n_5272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439046 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.Y(n_5271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439047 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.Y(n_5270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439048 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.Y(n_5269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439049 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.Y(n_5268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439050 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_5267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439051 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.Y(n_5266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439052 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.Y(n_5265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439053 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.Y(n_5264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439054 (
+	.A(FE_OFN930_n_2987),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.Y(n_5263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439055 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.Y(n_5262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439056 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.Y(n_5261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439057 (
+	.A(n_3110),
+	.B(n_1083),
+	.Y(n_5260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439058 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.Y(n_5259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439059 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.Y(n_5258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439060 (
+	.A(n_3003),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_5257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439061 (
+	.A(n_3003),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.Y(n_5256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439062 (
+	.A(n_3003),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.Y(n_5255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439063 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.Y(n_5254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439064 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.Y(n_5253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439065 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.Y(n_5252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439066 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.Y(n_5251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439067 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.Y(n_5250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439068 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.Y(n_5249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439069 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.Y(n_5248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439070 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.Y(n_5247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439071 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.Y(n_5246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439072 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.Y(n_5245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439073 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(n_2091),
+	.Y(n_5244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439074 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_5243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439075 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_5242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439076 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.B1(n_2047),
+	.B2(n_2214),
+	.X(n_5241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439077 (
+	.A(n_3003),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.Y(n_5240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439078 (
+	.A(FE_OFN929_n_2985),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.Y(n_5239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439079 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(n_2035),
+	.Y(n_5238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439080 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_5237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439081 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(n_2130),
+	.Y(n_5236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439082 (
+	.A1(n_2842),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.B1(n_2228),
+	.B2(n_2025),
+	.X(n_5235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439083 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
+	.A2_N(n_2424),
+	.B1(n_2081),
+	.B2(n_2220),
+	.Y(n_5234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439084 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
+	.A2_N(n_2424),
+	.B1(FE_OFN1406_n_2016),
+	.B2(n_2220),
+	.Y(n_5233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439085 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
+	.A2_N(n_2424),
+	.B1(FE_OFN1436_n_2102),
+	.B2(n_2220),
+	.Y(n_5232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439086 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
+	.A2_N(n_2424),
+	.B1(FE_OFN1438_n_2107),
+	.B2(n_2220),
+	.Y(n_5231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439087 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
+	.A2_N(n_2424),
+	.B1(n_2108),
+	.B2(n_2220),
+	.Y(n_5230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439088 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
+	.A2_N(n_2424),
+	.B1(FE_OFN1440_n_2112),
+	.B2(n_2220),
+	.Y(n_5229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439089 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
+	.A2_N(n_2424),
+	.B1(n_2106),
+	.B2(n_2220),
+	.Y(n_5228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439090 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
+	.A2_N(n_2746),
+	.B1(FE_OFN1446_n_2134),
+	.B2(n_2224),
+	.Y(n_5227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439091 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
+	.A2_N(n_2746),
+	.B1(FE_OFN1406_n_2016),
+	.B2(n_2224),
+	.Y(n_5226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439092 (
+	.A(n_2986),
+	.B(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.Y(n_5225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439093 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
+	.A2_N(n_2746),
+	.B1(FE_OFN1438_n_2107),
+	.B2(n_2224),
+	.Y(n_5224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439094 (
+	.A(n_2986),
+	.B(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_5223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439095 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
+	.A2_N(n_2746),
+	.B1(n_2108),
+	.B2(n_2224),
+	.Y(n_5222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439096 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
+	.A2_N(n_2746),
+	.B1(FE_OFN1440_n_2112),
+	.B2(n_2224),
+	.Y(n_5221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439097 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
+	.A2_N(n_2746),
+	.B1(n_2106),
+	.B2(n_2224),
+	.Y(n_5220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439098 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
+	.A2_N(n_2878),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439099 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
+	.A2_N(n_2878),
+	.B1(n_2081),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439100 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
+	.A2_N(n_2878),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439101 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
+	.A2_N(n_2878),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439102 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
+	.A2_N(n_2878),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439103 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
+	.A2_N(n_2878),
+	.B1(n_2108),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439104 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
+	.A2_N(n_2878),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439105 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
+	.A2_N(n_2878),
+	.B1(n_2106),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_5212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439106 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
+	.A2_N(n_2816),
+	.B1(n_2081),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439107 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
+	.A2_N(n_2816),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439108 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
+	.A2_N(n_2816),
+	.B1(n_2102),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439109 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
+	.A2_N(n_2816),
+	.B1(n_2107),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439110 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
+	.A2_N(n_2816),
+	.B1(n_2108),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439111 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
+	.A2_N(n_2816),
+	.B1(n_2112),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439112 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
+	.A2_N(n_2816),
+	.B1(n_2106),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439113 (
+	.A1(n_2779),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
+	.B1(n_2072),
+	.B2(FE_OFN923_n_2252),
+	.X(n_5204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439114 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2091),
+	.Y(n_5203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439115 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2095),
+	.Y(n_5202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439116 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2070),
+	.Y(n_5201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439117 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_5200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439118 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2035),
+	.Y(n_5199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439119 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2017),
+	.Y(n_5198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439120 (
+	.A(n_2986),
+	.B(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.Y(n_5197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439121 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_5196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439122 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
+	.A2_N(n_2429),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2130),
+	.Y(n_5195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439123 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(n_2091),
+	.Y(n_5194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g439124 (
+	.A(n_3096),
+	.B(n_2287),
+	.Y(n_5193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439125 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2059),
+	.Y(n_5192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439126 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_5191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439127 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(n_2071),
+	.Y(n_5190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439128 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(n_2035),
+	.Y(n_5189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439129 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_5188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439130 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_5187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439131 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(n_2037),
+	.Y(n_5186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439132 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
+	.B1(n_2096),
+	.B2(FE_OFN914_n_2235),
+	.X(n_5185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439133 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(n_2130),
+	.Y(n_5184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439134 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2091),
+	.Y(n_5183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439135 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2095),
+	.Y(n_5182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439136 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2070),
+	.Y(n_5181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439137 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_5180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439138 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2035),
+	.Y(n_5179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439139 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2017),
+	.Y(n_5178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439140 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_5177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439141 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
+	.A2_N(n_2883),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2130),
+	.Y(n_5176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439142 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(n_2091),
+	.Y(n_5175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439143 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_5174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439144 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
+	.A2_N(n_2749),
+	.B1(n_2218),
+	.B2(n_2087),
+	.Y(n_5173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439145 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_5172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g439146 (
+	.A1(n_2298),
+	.A2(n_2186),
+	.B1(n_1751),
+	.Y(n_5171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g439147 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.C1(n_2924),
+	.Y(n_5170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439148 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(n_2035),
+	.Y(n_5169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g439149 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2(n_1918),
+	.A3(n_1762),
+	.B1(n_2979),
+	.Y(n_5168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439150 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_5167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439151 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(n_2037),
+	.Y(n_5166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g439152 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[0]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [0]),
+	.C1(n_2936),
+	.X(n_5165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439153 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
+	.A2_N(n_2511),
+	.B1(n_2219),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_5164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439154 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(n_2130),
+	.Y(n_5163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439155 (
+	.A1(n_2536),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_3113),
+	.X(n_5162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439156 (
+	.A1(n_2536),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_3113),
+	.X(n_5161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439157 (
+	.A1(n_2536),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_3113),
+	.X(n_5160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439158 (
+	.A1(n_2536),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_3113),
+	.X(n_5159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439159 (
+	.A1(n_2889),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.B1(n_3114),
+	.X(n_5158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439160 (
+	.A1(n_2889),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.B1(n_3114),
+	.X(n_5157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439161 (
+	.A1(n_2889),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.B1(n_3114),
+	.X(n_5156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439162 (
+	.A1(n_2889),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.B1(n_3114),
+	.X(n_5155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439163 (
+	.A1(n_2535),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.B1(n_3112),
+	.X(n_5154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439164 (
+	.A1(n_2535),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.B1(n_3112),
+	.X(n_5153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439165 (
+	.A1(n_2535),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.B1(n_3112),
+	.X(n_5152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g439166 (
+	.A1(n_2535),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.B1(n_3112),
+	.X(n_5151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g439167 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2(n_1917),
+	.A3(n_1761),
+	.B1(n_3072),
+	.Y(n_5150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g439168 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A3(n_1724),
+	.B1(n_2937),
+	.Y(n_5149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g439169 (
+	.A1(n_10),
+	.A2(n_1531),
+	.A3(n_1909),
+	.B1(n_2968),
+	.Y(n_5148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g439170 (
+	.A1(n_1450),
+	.A2(n_2901),
+	.B1(n_3141),
+	.X(n_5147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g439171 (
+	.A1(n_1458),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(n_3124),
+	.Y(n_5146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g439172 (
+	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.B(n_13473),
+	.C(n_2364),
+	.Y(n_5145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g439173 (
+	.A1(n_1535),
+	.A2(n_1066),
+	.A3(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B1(n_1738),
+	.B2(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
+	.Y(n_5144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439174 (
+	.A(n_1259),
+	.B(FE_PSN4131_n_13610),
+	.C(n_2372),
+	.Y(n_5143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439175 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
+	.A2_N(n_2789),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2113),
+	.Y(n_5142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g439176 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(FE_OFN1476_n_2542),
+	.B1(n_3137),
+	.X(n_5141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g439177 (
+	.A1(n_1092),
+	.A2(n_1063),
+	.B1(n_3123),
+	.Y(n_5140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g439178 (
+	.A1(n_1092),
+	.A2(n_1091),
+	.B1(n_3123),
+	.Y(n_5139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g439179 (
+	.A1(n_1831),
+	.A2(n_2543),
+	.B1(n_3065),
+	.Y(n_5138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g439180 (
+	.A1(n_1085),
+	.A2(n_15927),
+	.A3(n_2544),
+	.B1(n_3045),
+	.Y(n_5137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439181 (
+	.A(n_2983),
+	.B(FE_PSBN19826_n_15943),
+	.C(n_13496),
+	.Y(n_5136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439182 (
+	.A(n_2983),
+	.B(FE_OFN1400_n_577),
+	.C(n_13499),
+	.Y(n_5135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439183 (
+	.A(n_2983),
+	.B(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.C(FE_PSN4385_n_13500),
+	.Y(n_5134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439184 (
+	.A(n_2983),
+	.B(n_13501),
+	.C(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.Y(n_5133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439185 (
+	.A(FE_OFN18286_n_2984),
+	.B(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.C(FE_PSN4822_n_13503),
+	.Y(n_5132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439186 (
+	.A(FE_OFN18286_n_2984),
+	.B(FE_PSN4132_n_13504),
+	.C(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.Y(n_5131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439187 (
+	.A(FE_OFN18286_n_2984),
+	.B(n_13505),
+	.C(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.Y(n_5130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439188 (
+	.A(FE_OFN18286_n_2984),
+	.B(n_13506),
+	.C(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.Y(n_5129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439189 (
+	.A(FE_OFN18286_n_2984),
+	.B(n_13507),
+	.C(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
+	.Y(n_5128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439190 (
+	.A(FE_OFN18286_n_2984),
+	.B(n_13508),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.Y(n_5127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439191 (
+	.A(n_2983),
+	.B(n_13509),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[14]),
+	.Y(n_5126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439192 (
+	.A(n_2983),
+	.B(n_13510),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[15]),
+	.Y(n_5125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439193 (
+	.A(n_2983),
+	.B(n_13517),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[22]),
+	.Y(n_5124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439194 (
+	.A(n_2983),
+	.B(n_13518),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[23]),
+	.Y(n_5123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439195 (
+	.A(n_2983),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.C(n_13497),
+	.Y(n_5122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439196 (
+	.A(n_2983),
+	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.C(FE_PSN4365_n_13502),
+	.Y(n_5121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g439197 (
+	.A(n_2983),
+	.B(n_13526),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[31]),
+	.Y(n_5120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439198 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.A2(n_2246),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[19]),
+	.Y(n_5119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439199 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.A2(n_1410),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.C1(n_1749),
+	.C2(u_soc_u_top_u_core_csr_mtvec[14]),
+	.Y(n_5118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439200 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.A2(FE_OFN1605_n_1513),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[20]),
+	.Y(n_5117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439201 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.A2(n_2246),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.Y(n_5116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439202 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.A2(n_2246),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[22]),
+	.Y(n_5115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439203 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.A2(n_2246),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[23]),
+	.Y(n_5114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439204 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.A2(n_2246),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[24]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.Y(n_5113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439205 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.A2(n_2246),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[25]),
+	.Y(n_5112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439206 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.A2(n_2246),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[26]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.Y(n_5111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439207 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.A2(n_2246),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[27]),
+	.Y(n_5110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439208 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.A2(n_2246),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[28]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.Y(n_5109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439209 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.A2(n_2246),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[29]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.Y(n_5108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439210 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.A2(n_2246),
+	.B1(FE_OFN1605_n_1513),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.Y(n_5107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439211 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.A2(FE_OFN882_n_1214),
+	.B1(FE_OFN1607_n_2241),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.C1(FE_OFN1608_n_2244),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.Y(n_5106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439212 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.A2(FE_OFN1605_n_1513),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.C1(FE_OFN882_n_1214),
+	.C2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.Y(n_5105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439213 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.A2(n_2246),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.C1(FE_OFN1606_n_1516),
+	.C2(u_soc_u_top_u_core_csr_depc[18]),
+	.Y(n_5104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439214 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
+	.A2_N(n_2789),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_5103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g439215 (
+	.A(n_1744),
+	.B(n_2001),
+	.C(n_2547),
+	.Y(n_5102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439216 (
+	.A1(n_2803),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
+	.B1(n_2225),
+	.B2(n_2019),
+	.X(n_5101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439217 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
+	.A2_N(n_2789),
+	.B1(n_2222),
+	.B2(n_2013),
+	.Y(n_5100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439218 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.A2(n_2246),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.Y(n_5099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439219 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.A2(n_1410),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.C1(n_1749),
+	.C2(u_soc_u_top_u_core_csr_mtvec[10]),
+	.Y(n_5098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439220 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.A2(FE_OFN1605_n_1513),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.C1(n_1749),
+	.C2(u_soc_u_top_u_core_csr_mtvec[9]),
+	.Y(n_5097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439221 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.A2(n_2246),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.Y(n_5096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439222 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.A2(n_1233),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.C1(FE_OFN882_n_1214),
+	.C2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.Y(n_5095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439223 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.A2(n_1233),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C1(n_1409),
+	.C2(u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
+	.Y(n_5094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439225 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.A2(n_2246),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[16]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.Y(n_5092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439226 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.A2(FE_OFN1607_n_2241),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.C1(FE_OFN1608_n_2244),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.Y(n_5091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439227 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.A2(n_2246),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.Y(n_5090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439228 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.A2(FE_OFN882_n_1214),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.Y(n_5089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439229 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.A2(FE_OFN921_n_2243),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.C1(FE_OFN920_n_2242),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.Y(n_5088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439230 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.A2(n_2246),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.Y(n_5087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g439231 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.A2(n_2246),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[5]),
+	.C1(FE_OFN1608_n_2244),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.Y(n_5086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g439232 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
+	.A2(n_2897),
+	.B1(n_3138),
+	.Y(n_5085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439233 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
+	.A2_N(n_2789),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2125),
+	.Y(n_5084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439234 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
+	.A2_N(n_2789),
+	.B1(n_2222),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_5083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g439235 (
+	.A1(n_1918),
+	.A2(n_2544),
+	.B1(n_3067),
+	.Y(n_5082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g439236 (
+	.A1(n_1917),
+	.A2(n_2543),
+	.B1(n_3068),
+	.Y(n_5081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g439237 (
+	.A1(n_10),
+	.A2(n_1908),
+	.A3(n_1434),
+	.B1(n_29),
+	.B2(n_2376),
+	.Y(n_5080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439238 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
+	.A2_N(n_2789),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2117),
+	.Y(n_5079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439240 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
+	.A2_N(n_2789),
+	.B1(n_2222),
+	.B2(n_2116),
+	.Y(n_5077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439241 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
+	.B1(n_2114),
+	.B2(n_1997),
+	.X(n_5076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439242 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(n_2113),
+	.Y(n_5075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439243 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
+	.B1(n_2076),
+	.B2(n_1997),
+	.X(n_5074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439244 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
+	.B1(n_2121),
+	.B2(n_1997),
+	.X(n_5073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439245 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
+	.B1(n_2014),
+	.B2(n_1997),
+	.X(n_5072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439246 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
+	.B1(n_2126),
+	.B2(n_1997),
+	.X(n_5071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439247 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
+	.B1(n_2096),
+	.B2(n_1997),
+	.X(n_5070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439248 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
+	.B1(n_2118),
+	.B2(n_1997),
+	.X(n_5069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439249 (
+	.A1(n_2786),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
+	.B1(n_2115),
+	.B2(n_1997),
+	.X(n_5068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439250 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2113),
+	.Y(n_5067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439251 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2077),
+	.Y(n_5066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439252 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_5065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439253 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2013),
+	.Y(n_5064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439254 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(n_2087),
+	.Y(n_5063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439255 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2125),
+	.Y(n_5062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439256 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_5061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439257 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2117),
+	.Y(n_5060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439258 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
+	.A2_N(n_2721),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2116),
+	.Y(n_5059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439259 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2113),
+	.Y(n_5058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439260 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2077),
+	.Y(n_5057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439261 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2122),
+	.Y(n_5056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439262 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2013),
+	.Y(n_5055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439263 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2125),
+	.Y(n_5054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439264 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2097),
+	.Y(n_5053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439265 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2117),
+	.Y(n_5052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439266 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
+	.A2_N(n_2847),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2116),
+	.Y(n_5051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439267 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2128),
+	.Y(n_5050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439268 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2079),
+	.Y(n_5049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439269 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2104),
+	.Y(n_5048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439270 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2100),
+	.Y(n_5047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439271 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2083),
+	.Y(n_5046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439272 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2110),
+	.Y(n_5045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439273 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(n_2128),
+	.Y(n_5044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439274 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_5043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439275 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(n_2085),
+	.Y(n_5042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439276 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_5041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439277 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_5040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439278 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_5039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439279 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_5038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439280 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
+	.A2_N(n_2448),
+	.B1(n_2106),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_5037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439281 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2054),
+	.Y(n_5036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439282 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
+	.A2_N(n_2746),
+	.B1(n_2081),
+	.B2(n_2224),
+	.Y(n_5035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439283 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
+	.A2_N(n_2470),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_5034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439284 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
+	.A2_N(n_2746),
+	.B1(FE_OFN1436_n_2102),
+	.B2(n_2224),
+	.Y(n_5033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439285 (
+	.A1(n_2444),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_2005),
+	.X(n_5032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439286 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_5031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439287 (
+	.A1(n_2444),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_2005),
+	.X(n_5030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439288 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.B1(n_2805),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_5029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439289 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2083),
+	.Y(n_5028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439290 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2128),
+	.Y(n_5027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439291 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2124),
+	.Y(n_5026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439292 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2120),
+	.Y(n_5025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439293 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
+	.A2_N(n_2816),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_5024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439294 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
+	.A2_N(n_2477),
+	.B1(FE_OFN1416_n_2057),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_5023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439295 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.B1(n_2805),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_5022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439296 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.B1(n_2805),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_5021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439297 (
+	.A1(n_2718),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.B1(n_2045),
+	.B2(n_2232),
+	.X(n_5020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439298 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.B1(n_2805),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_5019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g439299 (
+	.A1(n_2009),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.B1(n_2534),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.Y(n_5018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439300 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_5017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439301 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(n_2113),
+	.Y(n_5016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439302 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.A2_N(n_2850),
+	.B1(n_2230),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_5015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439303 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_1993),
+	.X(n_5014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439304 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(n_2069),
+	.Y(n_5013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439305 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_5012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439306 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2021),
+	.X(n_5011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439307 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(n_2071),
+	.Y(n_5010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439308 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_5009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439309 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2027),
+	.X(n_5008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439310 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(n_2037),
+	.Y(n_5007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439311 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2031),
+	.X(n_5006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g439312 (
+	.A1(n_1889),
+	.A2(n_1432),
+	.A3(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B1(n_2373),
+	.B2(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.X(n_5005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439313 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
+	.B1(n_2503),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_5004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439314 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_5003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439315 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(n_1993),
+	.X(n_5002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439316 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
+	.A2_N(n_2477),
+	.B1(FE_OFN1419_n_2065),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_5001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439317 (
+	.A1(n_2718),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.B1(n_2049),
+	.B2(n_2232),
+	.X(n_5000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439318 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
+	.B1(n_2794),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439319 (
+	.A1(n_2718),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(n_2232),
+	.X(n_4998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439320 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
+	.A2_N(n_2477),
+	.B1(FE_OFN1418_n_2063),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439321 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2038),
+	.Y(n_4996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439322 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2046),
+	.Y(n_4995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439323 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2095),
+	.Y(n_4994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439324 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
+	.B1(n_2127),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439325 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2046),
+	.Y(n_4992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439326 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
+	.B1(n_2768),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439327 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.B1(n_2529),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439328 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2057),
+	.Y(n_4989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439329 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2125),
+	.Y(n_4988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439330 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(n_2125),
+	.Y(n_4987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439331 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(n_2013),
+	.Y(n_4986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439332 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2013),
+	.Y(n_4985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439333 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(FE_OFN915_n_2235),
+	.X(n_4984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439334 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
+	.A2_N(n_2745),
+	.B1(n_2224),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_4983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439335 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439336 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
+	.A2_N(n_2449),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_4981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439337 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
+	.A2_N(n_2801),
+	.B1(n_1996),
+	.B2(n_2091),
+	.Y(n_4980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439338 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2097),
+	.Y(n_4979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439339 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
+	.B1(n_2791),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439340 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
+	.A2_N(n_2835),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2100),
+	.Y(n_4977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439341 (
+	.A1(n_2714),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
+	.B1(n_2084),
+	.B2(n_2232),
+	.X(n_4976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439342 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
+	.A2_N(n_2835),
+	.B1(FE_OFN916_n_2237),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439343 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439344 (
+	.A1(n_2714),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
+	.B1(n_2103),
+	.B2(n_2232),
+	.X(n_4973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439345 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
+	.A2_N(n_2872),
+	.B1(n_2227),
+	.B2(n_2128),
+	.Y(n_4972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439346 (
+	.A1(n_2836),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.B1(n_2126),
+	.B2(n_2236),
+	.X(n_4971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439347 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2116),
+	.Y(n_4970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439348 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
+	.A2_N(n_2524),
+	.B1(n_2018),
+	.B2(n_2007),
+	.Y(n_4969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439349 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2113),
+	.Y(n_4968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439350 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.A2_N(n_2864),
+	.B1(n_2024),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439351 (
+	.A1(n_2714),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
+	.B1(n_2082),
+	.B2(n_2232),
+	.X(n_4966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439352 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(n_2228),
+	.X(n_4965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439353 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
+	.A2_N(n_2872),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2085),
+	.Y(n_4964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439354 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_2214),
+	.X(n_4963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439355 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2038),
+	.Y(n_4962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439356 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
+	.A2_N(n_2424),
+	.B1(FE_OFN1446_n_2134),
+	.B2(n_2220),
+	.Y(n_4961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439357 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.B1(n_2529),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439358 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.A2_N(n_2490),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_4959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439359 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2116),
+	.Y(n_4958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439360 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2079),
+	.Y(n_4957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439361 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2110),
+	.Y(n_4956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439362 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
+	.B1(n_2485),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439363 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.B1(n_2792),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439364 (
+	.A1(n_2759),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.B1(n_2094),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439365 (
+	.A1(n_2759),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.B1(n_2129),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439366 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
+	.B1(n_2435),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439367 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2059),
+	.Y(n_4950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439368 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.B1(n_2792),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439369 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
+	.B1(n_2502),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439370 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2069),
+	.Y(n_4947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439371 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.B1(n_2126),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439372 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
+	.B1(n_2445),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439373 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.B1(n_2841),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439374 (
+	.A1(n_2443),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
+	.B1(n_2005),
+	.B2(n_2033),
+	.X(n_4943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439375 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
+	.B1(n_2484),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439376 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2037),
+	.Y(n_4941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439377 (
+	.A1(n_2461),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2105),
+	.X(n_4940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439378 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439379 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.B1(n_2529),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439380 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
+	.B1(n_2014),
+	.B2(FE_OFN914_n_2235),
+	.X(n_4937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439381 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
+	.B1(n_2532),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439382 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
+	.B1(n_2824),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439383 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
+	.B1(n_2824),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439384 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.A2_N(n_2784),
+	.B1(n_2226),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439385 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
+	.B1(n_2772),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439386 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
+	.B1(n_2532),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439387 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
+	.B1(n_2838),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439388 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439389 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
+	.B1(n_2791),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439390 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
+	.B1(n_2772),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439391 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
+	.B1(n_2484),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439392 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
+	.B1(n_2531),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439393 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(n_2117),
+	.Y(n_4924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439394 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.B1(n_2792),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439395 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
+	.B1(n_2423),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439396 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(n_2125),
+	.Y(n_4921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439397 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
+	.B1(n_2768),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439398 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
+	.B1(n_2531),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439399 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
+	.B1(n_2109),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439400 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
+	.B1(n_2531),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439401 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
+	.B1(n_2520),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439402 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
+	.B1(n_2531),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439403 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(n_2113),
+	.Y(n_4914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439404 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
+	.B1(n_2531),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439405 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.A2_N(n_2490),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_4912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439406 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.A2_N(n_2490),
+	.B1(n_2108),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_4911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439407 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
+	.B1(n_2121),
+	.B2(n_2248),
+	.X(n_4910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439408 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
+	.B1(n_2531),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439409 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
+	.B1(n_2484),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439410 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
+	.B1(n_2772),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439411 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(n_2116),
+	.Y(n_4906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439412 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
+	.B1(n_2509),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439413 (
+	.A1(n_2467),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
+	.B1(n_2015),
+	.B2(n_2010),
+	.X(n_4904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439414 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2104),
+	.Y(n_4903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439415 (
+	.A1(n_2461),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2015),
+	.X(n_4902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439416 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(n_2066),
+	.Y(n_4901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439417 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
+	.B1(n_2509),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439418 (
+	.A1(n_2836),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.B1(n_2114),
+	.B2(n_2236),
+	.X(n_4899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439419 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
+	.B1(n_2118),
+	.B2(n_2248),
+	.X(n_4898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439420 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
+	.B1(n_2823),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439421 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
+	.A2_N(n_2849),
+	.B1(n_2018),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439422 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2044),
+	.Y(n_4895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439423 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
+	.A2_N(n_2470),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439424 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2048),
+	.Y(n_4893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439425 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2066),
+	.Y(n_4892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439426 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
+	.B1(n_1997),
+	.B2(n_2033),
+	.X(n_4891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439427 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
+	.B1(n_1995),
+	.B2(n_2029),
+	.X(n_4890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439428 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2065),
+	.Y(n_4889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439429 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2042),
+	.Y(n_4888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439430 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
+	.A2_N(n_2863),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439431 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2069),
+	.Y(n_4886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439432 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
+	.B1(n_2121),
+	.B2(FE_OFN914_n_2235),
+	.X(n_4885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439433 (
+	.A1(n_2467),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
+	.B1(n_2111),
+	.B2(n_2010),
+	.X(n_4884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439434 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
+	.B1(n_2459),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439435 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.A2_N(n_2784),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2087),
+	.Y(n_4882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439436 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
+	.A2_N(n_2872),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439437 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
+	.A2_N(n_2872),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_4880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439438 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(n_2214),
+	.X(n_4879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439439 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
+	.B1(n_2787),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439440 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2110),
+	.Y(n_4877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439441 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_4876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439442 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2073),
+	.Y(n_4875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439443 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_4874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439444 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
+	.B1(n_2502),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439445 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.B1(n_2792),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439446 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
+	.B1(n_2790),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439447 (
+	.A1(n_2836),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
+	.B1(n_2118),
+	.B2(n_2236),
+	.X(n_4870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439448 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439449 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
+	.B1(n_2109),
+	.B2(FE_OFN914_n_2235),
+	.X(n_4868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439450 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.B1(n_2450),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439451 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
+	.B1(n_2520),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439452 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
+	.B1(n_2493),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_4865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439453 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.A2_N(n_2753),
+	.B1(n_2106),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_4864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439454 (
+	.A1(n_2837),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
+	.B1(n_2067),
+	.B2(n_2232),
+	.X(n_4863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439455 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.B1(n_2450),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439456 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2027),
+	.X(n_4861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439457 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(n_2113),
+	.Y(n_4860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439458 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2038),
+	.Y(n_4859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439459 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2110),
+	.Y(n_4858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439460 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
+	.B1(n_2460),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439461 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.B1(n_2529),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439462 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
+	.B1(n_2458),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439463 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
+	.B1(n_2479),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439464 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
+	.A2_N(n_2832),
+	.B1(n_2230),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_4853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439465 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
+	.B1(n_2770),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439466 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
+	.A2_N(n_2774),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439467 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
+	.B1(n_2460),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439468 (
+	.A1(n_2686),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
+	.B1(n_2058),
+	.B2(n_2248),
+	.X(n_4849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439469 (
+	.A1(n_2440),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
+	.B1(n_2232),
+	.B2(n_2101),
+	.X(n_4848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439470 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
+	.B1(n_2770),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439471 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
+	.A2_N(n_2832),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439472 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2061),
+	.Y(n_4845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439473 (
+	.A1(n_2727),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
+	.B1(n_2034),
+	.B2(FE_OFN923_n_2252),
+	.X(n_4844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439474 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
+	.B1(n_2791),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439475 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.B1(n_2118),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439476 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.A2_N(n_2507),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_4841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439477 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.A2_N(n_2507),
+	.B1(n_2081),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_4840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439478 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
+	.B1(n_2460),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439479 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
+	.B1(n_2479),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439480 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
+	.B1(n_2509),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439481 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2132),
+	.Y(n_4836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439482 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.A2_N(n_2490),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_4835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439483 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
+	.B1(n_2691),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439484 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.B1(n_2096),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439485 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
+	.B1(n_2822),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439486 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2017),
+	.Y(n_4831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439487 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2122),
+	.Y(n_4830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439488 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.B1(n_2792),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439489 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.B1(n_2792),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439490 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
+	.B1(n_2691),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_4827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439491 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
+	.B1(n_2078),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439492 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2091),
+	.Y(n_4825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439493 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
+	.B1(n_2787),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439494 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2013),
+	.Y(n_4823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439495 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
+	.B1(n_2485),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439496 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
+	.B1(n_2691),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439497 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
+	.B1(n_2772),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439498 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
+	.B1(n_2433),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439499 (
+	.A1(n_2727),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
+	.B1(n_2090),
+	.B2(FE_OFN923_n_2252),
+	.X(n_4818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439500 (
+	.A1(n_2837),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
+	.B1(n_2055),
+	.B2(n_2232),
+	.X(n_4817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439501 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_4816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439502 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
+	.B1(n_2846),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439503 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
+	.B1(n_2527),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439504 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2079),
+	.Y(n_4813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439505 (
+	.A1(n_2836),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.B1(n_2014),
+	.B2(n_2236),
+	.X(n_4812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439506 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_2221),
+	.X(n_4811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439507 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1421_n_2069),
+	.Y(n_4810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439508 (
+	.A1(n_2727),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
+	.B1(n_2036),
+	.B2(FE_OFN923_n_2252),
+	.X(n_4809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439509 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2050),
+	.Y(n_4808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439510 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2052),
+	.Y(n_4807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439511 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
+	.B1(n_2433),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439512 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
+	.B1(n_2434),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439513 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
+	.B1(n_2790),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439514 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2113),
+	.Y(n_4803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439515 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
+	.B1(n_2459),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_4802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439516 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
+	.B1(n_2794),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439517 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
+	.B1(n_2485),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439518 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
+	.B1(n_2691),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439519 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
+	.B1(n_2691),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439520 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(n_2065),
+	.Y(n_4797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439521 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.B1(n_2450),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439522 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
+	.B1(n_2794),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439523 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
+	.B1(n_2221),
+	.B2(n_2031),
+	.X(n_4794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439524 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439525 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
+	.B1(n_2769),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439526 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2050),
+	.Y(n_4791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439527 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2040),
+	.Y(n_4790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439528 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
+	.B1(n_2047),
+	.B2(n_1995),
+	.X(n_4789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439529 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
+	.B1(n_2486),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439530 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
+	.B1(n_2433),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439531 (
+	.A1(n_2779),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
+	.B1(n_2086),
+	.B2(FE_OFN923_n_2252),
+	.X(n_4786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439532 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2091),
+	.Y(n_4785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439533 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2079),
+	.Y(n_4784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439534 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2083),
+	.Y(n_4783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439535 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2113),
+	.Y(n_4782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439536 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
+	.B1(n_2520),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439537 (
+	.A1(n_2779),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
+	.B1(n_2131),
+	.B2(FE_OFN923_n_2252),
+	.X(n_4780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439538 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.B1(n_2214),
+	.B2(n_2019),
+	.X(n_4779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439539 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
+	.B1(n_2691),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439540 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2117),
+	.Y(n_4777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439541 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
+	.B1(n_2769),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439542 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439543 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
+	.B1(n_2485),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439544 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
+	.B1(n_2493),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_4773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439545 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
+	.B1(n_2533),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439546 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439547 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
+	.B1(n_2846),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439548 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
+	.B1(n_2846),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439549 (
+	.A1(n_2833),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.B1(n_2129),
+	.B2(n_2236),
+	.X(n_4768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439550 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2113),
+	.Y(n_4767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439551 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439552 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
+	.A2_N(n_2730),
+	.B1(n_1996),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439553 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2059),
+	.Y(n_4764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439554 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
+	.B1(n_2485),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_4763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439555 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(n_2116),
+	.Y(n_4762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439556 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2087),
+	.Y(n_4761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439557 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
+	.B1(n_2486),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_4760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439558 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
+	.B1(n_2103),
+	.B2(FE_OFN918_n_2240),
+	.X(n_4759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439559 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
+	.B1(n_2493),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439560 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
+	.B1(n_2433),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439561 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
+	.B1(n_2434),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_4756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439562 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(FE_OFN915_n_2235),
+	.X(n_4755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439563 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439564 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439565 (
+	.A1(n_2467),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
+	.B1(n_2133),
+	.B2(n_2010),
+	.X(n_4752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439566 (
+	.A1(n_2830),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.B1(n_2236),
+	.B2(n_2105),
+	.X(n_4751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439567 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
+	.A2_N(n_2835),
+	.B1(FE_OFN916_n_2237),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439568 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
+	.B1(n_2486),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
+	.X(n_4749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439569 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
+	.B1(n_2051),
+	.B2(n_1995),
+	.X(n_4748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439570 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(n_2116),
+	.Y(n_4747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439571 (
+	.A1(n_2440),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
+	.B1(n_2232),
+	.B2(n_2080),
+	.X(n_4746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439572 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.B1(n_2769),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.X(n_4745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439573 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
+	.B1(n_2422),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439574 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(n_2124),
+	.Y(n_4743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439575 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.B1(n_2841),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_4742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439576 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2042),
+	.Y(n_4741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439577 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2040),
+	.Y(n_4740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439578 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2085),
+	.Y(n_4739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439579 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2050),
+	.Y(n_4738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439580 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2048),
+	.Y(n_4737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439581 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2046),
+	.Y(n_4736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439582 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2044),
+	.Y(n_4735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439583 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
+	.A2_N(n_2879),
+	.B1(n_2218),
+	.B2(n_2038),
+	.Y(n_4734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439584 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2042),
+	.Y(n_4733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439585 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2040),
+	.Y(n_4732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439586 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2052),
+	.Y(n_4731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439587 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2050),
+	.Y(n_4730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439588 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2048),
+	.Y(n_4729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439589 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2046),
+	.Y(n_4728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439590 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2044),
+	.Y(n_4727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439591 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
+	.A2_N(n_2852),
+	.B1(FE_OFN898_n_2216),
+	.B2(n_2038),
+	.Y(n_4726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439592 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2042),
+	.Y(n_4725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439593 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2040),
+	.Y(n_4724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439594 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2052),
+	.Y(n_4723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439595 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2050),
+	.Y(n_4722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439596 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2048),
+	.Y(n_4721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439597 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2046),
+	.Y(n_4720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439598 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2044),
+	.Y(n_4719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439599 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
+	.A2_N(n_2869),
+	.B1(n_2224),
+	.B2(n_2038),
+	.Y(n_4718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439600 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2042),
+	.Y(n_4717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439601 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2040),
+	.Y(n_4716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439602 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2052),
+	.Y(n_4715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439603 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2050),
+	.Y(n_4714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439604 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2048),
+	.Y(n_4713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439605 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2046),
+	.Y(n_4712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439606 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2044),
+	.Y(n_4711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439607 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
+	.A2_N(n_2810),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2038),
+	.Y(n_4710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439608 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
+	.A2_N(n_2880),
+	.B1(n_2018),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439609 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
+	.A2_N(n_2880),
+	.B1(n_2032),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439610 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439611 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
+	.A2_N(n_2880),
+	.B1(n_2022),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439612 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
+	.A2_N(n_2880),
+	.B1(n_2030),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439613 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
+	.A2_N(n_2880),
+	.B1(n_2028),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439614 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
+	.A2_N(n_2880),
+	.B1(n_2026),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439615 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
+	.A2_N(n_2880),
+	.B1(n_2024),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439616 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
+	.A2_N(n_2828),
+	.B1(FE_OFN1436_n_2102),
+	.B2(n_1994),
+	.Y(n_4701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439617 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
+	.A2_N(n_2880),
+	.B1(n_2020),
+	.B2(FE_OFN901_n_2218),
+	.Y(n_4700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439618 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
+	.A2_N(n_2851),
+	.B1(n_2018),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439619 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
+	.A2_N(n_2851),
+	.B1(n_2032),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439620 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
+	.A2_N(n_2851),
+	.B1(n_2022),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439621 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
+	.A2_N(n_2851),
+	.B1(n_2030),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439622 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
+	.A2_N(n_2851),
+	.B1(n_2028),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439623 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
+	.B1(n_2088),
+	.B2(n_2256),
+	.X(n_4694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439624 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
+	.A2_N(n_2851),
+	.B1(n_2026),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439625 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
+	.A2_N(n_2851),
+	.B1(n_2024),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439626 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
+	.A2_N(n_2851),
+	.B1(n_2020),
+	.B2(FE_OFN898_n_2216),
+	.Y(n_4691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439627 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
+	.A2_N(n_2868),
+	.B1(n_2018),
+	.B2(n_2224),
+	.Y(n_4690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439628 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
+	.A2_N(n_2868),
+	.B1(n_2032),
+	.B2(n_2224),
+	.Y(n_4689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439629 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
+	.A2_N(n_2868),
+	.B1(n_2022),
+	.B2(n_2224),
+	.Y(n_4688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439630 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
+	.A2_N(n_2868),
+	.B1(n_2030),
+	.B2(n_2224),
+	.Y(n_4687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439631 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
+	.A2_N(n_2868),
+	.B1(n_2028),
+	.B2(n_2224),
+	.Y(n_4686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439632 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
+	.A2_N(n_2868),
+	.B1(n_2026),
+	.B2(n_2224),
+	.Y(n_4685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439633 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
+	.A2_N(n_2868),
+	.B1(n_2024),
+	.B2(n_2224),
+	.Y(n_4684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439634 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
+	.A2_N(n_2868),
+	.B1(n_2020),
+	.B2(n_2224),
+	.Y(n_4683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439635 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
+	.A2_N(n_2811),
+	.B1(n_2018),
+	.B2(n_2220),
+	.Y(n_4682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439636 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
+	.A2_N(n_2811),
+	.B1(n_2032),
+	.B2(n_2220),
+	.Y(n_4681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439637 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
+	.A2_N(n_2811),
+	.B1(n_2022),
+	.B2(n_2220),
+	.Y(n_4680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439638 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
+	.A2_N(n_2811),
+	.B1(n_2030),
+	.B2(n_2220),
+	.Y(n_4679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439639 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
+	.A2_N(n_2811),
+	.B1(n_2028),
+	.B2(n_2220),
+	.Y(n_4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439640 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
+	.A2_N(n_2811),
+	.B1(n_2026),
+	.B2(n_2220),
+	.Y(n_4677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439641 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
+	.A2_N(n_2811),
+	.B1(n_2024),
+	.B2(n_2220),
+	.Y(n_4676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439642 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
+	.A2_N(n_2811),
+	.B1(n_2020),
+	.B2(n_2220),
+	.Y(n_4675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439643 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2042),
+	.Y(n_4674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439644 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2040),
+	.Y(n_4673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439645 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2052),
+	.Y(n_4672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439646 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2050),
+	.Y(n_4671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439647 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2048),
+	.Y(n_4670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439648 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2046),
+	.Y(n_4669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439649 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2044),
+	.Y(n_4668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439650 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
+	.A2_N(n_2884),
+	.B1(n_2230),
+	.B2(n_2038),
+	.Y(n_4667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439651 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2042),
+	.Y(n_4666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439652 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
+	.B1(n_2098),
+	.B2(FE_OFN914_n_2235),
+	.X(n_4665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439653 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2040),
+	.Y(n_4664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439654 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2052),
+	.Y(n_4663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439655 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2050),
+	.Y(n_4662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439656 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2048),
+	.Y(n_4661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439657 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2046),
+	.Y(n_4660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439658 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2044),
+	.Y(n_4659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439659 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
+	.A2_N(n_2809),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2038),
+	.Y(n_4658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439660 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(n_1993),
+	.X(n_4657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439661 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(n_1993),
+	.X(n_4656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439662 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
+	.B1(n_2051),
+	.B2(n_1993),
+	.X(n_4655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439663 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439664 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(n_1993),
+	.X(n_4653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439665 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
+	.B1(n_2047),
+	.B2(n_1993),
+	.X(n_4652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439666 (
+	.A1(n_2882),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_1993),
+	.X(n_4651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g439667 (
+	.A1(n_2391),
+	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B1(n_2283),
+	.B2(n_1051),
+	.Y(n_4650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439668 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2042),
+	.Y(n_4649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439669 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2040),
+	.Y(n_4648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439670 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2052),
+	.Y(n_4647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439671 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2050),
+	.Y(n_4646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439672 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2048),
+	.Y(n_4645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439673 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2046),
+	.Y(n_4644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439674 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2044),
+	.Y(n_4643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439675 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
+	.A2_N(n_2845),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2038),
+	.Y(n_4642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439676 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
+	.A2_N(n_2885),
+	.B1(n_2018),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439677 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
+	.A2_N(n_2885),
+	.B1(n_2032),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439678 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(n_1995),
+	.X(n_4639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439679 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
+	.A2_N(n_2885),
+	.B1(n_2022),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439680 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.A2_N(n_2885),
+	.B1(n_2030),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439681 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
+	.A2_N(n_2885),
+	.B1(n_2028),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439682 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
+	.A2_N(n_2885),
+	.B1(n_2026),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439683 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
+	.A2_N(n_2885),
+	.B1(n_2024),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439684 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
+	.A2_N(n_2885),
+	.B1(n_2020),
+	.B2(FE_OFN910_n_2230),
+	.Y(n_4633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439685 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
+	.B1(n_2214),
+	.B2(n_2021),
+	.X(n_4632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439686 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
+	.A2_N(n_2813),
+	.B1(n_2018),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439687 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
+	.A2_N(n_2425),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439688 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
+	.A2_N(n_2813),
+	.B1(n_2032),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439689 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
+	.A2_N(n_2813),
+	.B1(n_2022),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439690 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
+	.A2_N(n_2813),
+	.B1(n_2030),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439691 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
+	.A2_N(n_2813),
+	.B1(n_2028),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439692 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
+	.A2_N(n_2813),
+	.B1(n_2026),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439693 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
+	.A2_N(n_2813),
+	.B1(n_2024),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439694 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
+	.A2_N(n_2813),
+	.B1(n_2020),
+	.B2(FE_OFN900_n_2217),
+	.Y(n_4623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439695 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
+	.B1(n_1993),
+	.B2(n_2019),
+	.X(n_4622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439696 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
+	.B1(n_1993),
+	.B2(n_2033),
+	.X(n_4621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439697 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
+	.B1(n_1993),
+	.B2(n_2023),
+	.X(n_4620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439698 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
+	.B1(n_1993),
+	.B2(n_2031),
+	.X(n_4619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439699 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
+	.B1(n_1993),
+	.B2(n_2029),
+	.X(n_4618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439700 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
+	.B1(n_1993),
+	.B2(n_2027),
+	.X(n_4617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439701 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
+	.B1(n_1993),
+	.B2(n_2025),
+	.X(n_4616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439702 (
+	.A1(n_2881),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
+	.B1(n_1993),
+	.B2(n_2021),
+	.X(n_4615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439703 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
+	.A2_N(n_2844),
+	.B1(n_2018),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439704 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
+	.B1(n_2214),
+	.B2(n_2029),
+	.X(n_4613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439705 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
+	.A2_N(n_2844),
+	.B1(n_2022),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439706 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
+	.A2_N(n_2844),
+	.B1(n_2030),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439707 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
+	.A2_N(n_2844),
+	.B1(n_2028),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439708 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
+	.A2_N(n_2844),
+	.B1(n_2026),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439709 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
+	.A2_N(n_2844),
+	.B1(n_2024),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439710 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
+	.A2_N(n_2844),
+	.B1(n_2020),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439711 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
+	.A2_N(n_2773),
+	.B1(n_2218),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_4606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439712 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
+	.A2_N(n_2773),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439713 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
+	.A2_N(n_2773),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_4604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439714 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.A2_N(n_2773),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439715 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.A2_N(n_2773),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439716 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.A2_N(n_2773),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2085),
+	.Y(n_4601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439717 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.A2_N(n_2773),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439718 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.A2_N(n_2773),
+	.B1(n_2218),
+	.B2(n_2128),
+	.Y(n_4599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439719 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2110),
+	.Y(n_4598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439720 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2083),
+	.Y(n_4597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439721 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2100),
+	.Y(n_4596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439722 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439723 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439724 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_4593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439725 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2079),
+	.Y(n_4592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439726 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.A2_N(n_2432),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_4591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439727 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_4590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439728 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439729 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2100),
+	.Y(n_4588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439730 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439731 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439732 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439733 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2085),
+	.Y(n_4584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439734 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439735 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
+	.B1(n_2214),
+	.B2(n_2023),
+	.X(n_4582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439736 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.A2_N(n_2694),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2128),
+	.Y(n_4581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439737 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2110),
+	.Y(n_4580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439738 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2083),
+	.Y(n_4579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439739 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439740 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439741 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_4576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439742 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2079),
+	.Y(n_4575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439743 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2116),
+	.Y(n_4574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439744 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
+	.A2_N(n_2480),
+	.B1(n_2106),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439745 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2117),
+	.Y(n_4572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439746 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2097),
+	.Y(n_4571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439747 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2125),
+	.Y(n_4570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439748 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2013),
+	.Y(n_4569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439749 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2122),
+	.Y(n_4568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439750 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.A2_N(n_2854),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2077),
+	.Y(n_4567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439751 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2116),
+	.Y(n_4566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439752 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439753 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2125),
+	.Y(n_4564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439754 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2013),
+	.Y(n_4563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439755 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439756 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.A2_N(n_2736),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439757 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(n_2079),
+	.Y(n_4560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439758 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2117),
+	.Y(n_4559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439759 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439760 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2013),
+	.Y(n_4557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439761 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439762 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439763 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
+	.A2_N(n_2749),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439764 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.A2_N(n_2788),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2113),
+	.Y(n_4553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439765 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2130),
+	.Y(n_4552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439766 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(n_2116),
+	.Y(n_4551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439767 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(n_2117),
+	.Y(n_4550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439768 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(n_2125),
+	.Y(n_4549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439769 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(n_2013),
+	.Y(n_4548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439770 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.A2_N(n_2873),
+	.B1(n_2220),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439771 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.A2_N(n_2850),
+	.B1(n_2230),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439772 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.A2_N(n_2850),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_4545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439773 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.A2_N(n_2850),
+	.B1(n_2230),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439774 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.A2_N(n_2850),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439775 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.A2_N(n_2850),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2085),
+	.Y(n_4542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439776 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.A2_N(n_2850),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439777 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.A2_N(n_2850),
+	.B1(n_2230),
+	.B2(n_2128),
+	.Y(n_4540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439778 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2110),
+	.Y(n_4539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439779 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2100),
+	.Y(n_4538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439780 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439781 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439782 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_4535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439783 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2079),
+	.Y(n_4534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439784 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
+	.A2_N(n_2749),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439785 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439786 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_4531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439787 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
+	.A2_N(n_2749),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439788 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439789 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439790 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2085),
+	.Y(n_4527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439791 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2128),
+	.Y(n_4526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439792 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2110),
+	.Y(n_4525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439793 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2100),
+	.Y(n_4524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439794 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2104),
+	.Y(n_4523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439795 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2099),
+	.Y(n_4522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439796 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2085),
+	.Y(n_4521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439797 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.A2_N(n_2515),
+	.B1(n_2219),
+	.B2(n_2128),
+	.Y(n_4520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439798 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2117),
+	.Y(n_4519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439799 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2097),
+	.Y(n_4518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439800 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2125),
+	.Y(n_4517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439801 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2013),
+	.Y(n_4516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439802 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2122),
+	.Y(n_4515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439803 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2077),
+	.Y(n_4514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439804 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.A2_N(n_2875),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2113),
+	.Y(n_4513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439805 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2116),
+	.Y(n_4512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439806 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2117),
+	.Y(n_4511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439807 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439808 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2125),
+	.Y(n_4509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439809 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439810 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.A2_N(n_2874),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2077),
+	.Y(n_4507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439811 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2116),
+	.Y(n_4506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439812 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2117),
+	.Y(n_4505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439813 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439814 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2125),
+	.Y(n_4503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439815 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2013),
+	.Y(n_4502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439816 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439817 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439818 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_4499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439819 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.A2_N(n_2705),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2116),
+	.Y(n_4498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439820 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(n_2117),
+	.Y(n_4497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439821 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439822 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_4495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439823 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(n_2013),
+	.Y(n_4494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439824 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_4493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439825 (
+	.A1(n_2523),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_2003),
+	.X(n_4492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439826 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2042),
+	.Y(n_4491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439827 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2040),
+	.Y(n_4490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439828 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.A2_N(n_2705),
+	.B1(n_2219),
+	.B2(n_2125),
+	.Y(n_4489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439829 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2052),
+	.Y(n_4488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439830 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2050),
+	.Y(n_4487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439831 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(n_2099),
+	.Y(n_4486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439832 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2048),
+	.Y(n_4485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439833 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2046),
+	.Y(n_4484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439834 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2044),
+	.Y(n_4483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439835 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.A2_N(n_2865),
+	.B1(n_2227),
+	.B2(n_2038),
+	.Y(n_4482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439836 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2042),
+	.Y(n_4481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439837 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2048),
+	.Y(n_4480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439838 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.A2_N(n_2808),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2044),
+	.Y(n_4479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439839 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(n_2228),
+	.X(n_4478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439840 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(n_2228),
+	.X(n_4477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439841 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.B1(n_2051),
+	.B2(n_2228),
+	.X(n_4476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439842 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(n_2228),
+	.X(n_4475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439843 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.B1(n_2047),
+	.B2(n_2228),
+	.X(n_4474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439844 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_2228),
+	.X(n_4473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439845 (
+	.A1(n_2843),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_2228),
+	.X(n_4472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439846 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(n_2214),
+	.X(n_4471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439847 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(n_2214),
+	.X(n_4470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439848 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.B1(n_2051),
+	.B2(n_2214),
+	.X(n_4469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439849 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(n_2214),
+	.X(n_4468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439850 (
+	.A1(n_2807),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_2214),
+	.X(n_4467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439851 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.A2_N(n_2864),
+	.B1(n_2018),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439852 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.A2_N(n_2864),
+	.B1(n_2032),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439853 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.A2_N(n_2864),
+	.B1(n_2022),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439854 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.A2_N(n_2864),
+	.B1(n_2030),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439855 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.A2_N(n_2864),
+	.B1(n_2028),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439856 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.A2_N(n_2864),
+	.B1(n_2026),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439857 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.A2_N(n_2864),
+	.B1(n_2020),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439858 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.A2_N(n_2812),
+	.B1(n_2018),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439859 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(n_2089),
+	.Y(n_4458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439860 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.A2_N(n_2812),
+	.B1(n_2032),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439861 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.A2_N(n_2812),
+	.B1(n_2022),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439862 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.A2_N(n_2812),
+	.B1(n_2030),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439863 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.A2_N(n_2812),
+	.B1(n_2028),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439864 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.A2_N(n_2812),
+	.B1(n_2026),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439865 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.A2_N(n_2812),
+	.B1(n_2024),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439866 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.A2_N(n_2812),
+	.B1(n_2020),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439867 (
+	.A1(n_2842),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.B1(n_2228),
+	.B2(n_2033),
+	.X(n_4450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439868 (
+	.A1(n_2842),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.B1(n_2228),
+	.B2(n_2031),
+	.X(n_4449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439869 (
+	.A1(n_2842),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.B1(n_2228),
+	.B2(n_2029),
+	.X(n_4448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439870 (
+	.A1(n_2842),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.B1(n_2228),
+	.B2(n_2021),
+	.X(n_4447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439871 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.B1(n_2214),
+	.B2(n_2033),
+	.X(n_4446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439872 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
+	.B1(n_2214),
+	.B2(n_2031),
+	.X(n_4445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439873 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
+	.B1(n_2214),
+	.B2(n_2027),
+	.X(n_4444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439874 (
+	.A1(n_2806),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
+	.B1(n_2214),
+	.B2(n_2025),
+	.X(n_4443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439875 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(FE_OFN915_n_2235),
+	.X(n_4442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439876 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(n_1995),
+	.X(n_4441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439877 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(n_1995),
+	.X(n_4440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439878 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
+	.B1(n_2049),
+	.B2(n_1995),
+	.X(n_4439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439879 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
+	.B1(n_2045),
+	.B2(n_1995),
+	.X(n_4438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439880 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
+	.A2_N(n_2749),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439881 (
+	.A1(n_2877),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_1995),
+	.X(n_4436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439882 (
+	.A1(n_2523),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(n_2003),
+	.X(n_4435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439883 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2040),
+	.Y(n_4434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439884 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2052),
+	.Y(n_4433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439885 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2050),
+	.Y(n_4432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439886 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_4431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439887 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2046),
+	.Y(n_4430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439888 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439889 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
+	.A2_N(n_2848),
+	.B1(n_2223),
+	.B2(n_2038),
+	.Y(n_4428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439890 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(n_1997),
+	.X(n_4427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439891 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(n_1997),
+	.X(n_4426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439892 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(n_1997),
+	.X(n_4425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439893 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
+	.B1(n_2051),
+	.B2(n_1997),
+	.X(n_4424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439894 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(n_1997),
+	.X(n_4423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439895 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(n_1997),
+	.X(n_4422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439896 (
+	.A1(n_2867),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_1997),
+	.X(n_4421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439897 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(n_2221),
+	.X(n_4420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439898 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(n_2221),
+	.X(n_4419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439899 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
+	.B1(n_2051),
+	.B2(n_2221),
+	.X(n_4418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439900 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(n_2221),
+	.X(n_4417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439901 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
+	.B1(n_2047),
+	.B2(n_2221),
+	.X(n_4416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439902 (
+	.A1(n_2871),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(n_2221),
+	.X(n_4415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439903 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
+	.B1(n_1995),
+	.B2(n_2019),
+	.X(n_4414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439904 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
+	.B1(n_1995),
+	.B2(n_2033),
+	.X(n_4413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439905 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
+	.B1(n_1995),
+	.B2(n_2023),
+	.X(n_4412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439906 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
+	.B1(n_1995),
+	.B2(n_2031),
+	.X(n_4411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439907 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
+	.B1(n_1995),
+	.B2(n_2027),
+	.X(n_4410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439908 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
+	.B1(n_1995),
+	.B2(n_2025),
+	.X(n_4409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439909 (
+	.A1(n_2876),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
+	.B1(n_1995),
+	.B2(n_2021),
+	.X(n_4408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439910 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
+	.A2_N(n_2849),
+	.B1(n_2032),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439911 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
+	.A2_N(n_2849),
+	.B1(n_2030),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439912 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
+	.A2_N(n_2849),
+	.B1(n_2028),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439913 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
+	.A2_N(n_2849),
+	.B1(n_2020),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439914 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
+	.B1(n_1997),
+	.B2(n_2019),
+	.X(n_4403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439915 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
+	.B1(n_1997),
+	.B2(n_2023),
+	.X(n_4402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439916 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
+	.B1(n_1997),
+	.B2(n_2031),
+	.X(n_4401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439917 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
+	.B1(n_1997),
+	.B2(n_2029),
+	.X(n_4400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439918 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
+	.B1(n_1997),
+	.B2(n_2027),
+	.X(n_4399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439919 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
+	.B1(n_1997),
+	.B2(n_2025),
+	.X(n_4398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439920 (
+	.A1(n_2866),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
+	.B1(n_1997),
+	.B2(n_2021),
+	.X(n_4397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439921 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
+	.B1(n_2221),
+	.B2(n_2019),
+	.X(n_4396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439922 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
+	.B1(n_2221),
+	.B2(n_2033),
+	.X(n_4395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439923 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
+	.B1(n_2221),
+	.B2(n_2023),
+	.X(n_4394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439924 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
+	.B1(n_2221),
+	.B2(n_2029),
+	.X(n_4393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439925 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
+	.B1(n_2221),
+	.B2(n_2027),
+	.X(n_4392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439926 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
+	.B1(n_2221),
+	.B2(n_2025),
+	.X(n_4391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g439927 (
+	.A1(n_2870),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
+	.B1(n_2221),
+	.B2(n_2021),
+	.X(n_4390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439928 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
+	.A2_N(n_2872),
+	.B1(n_2227),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_4389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439929 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2079),
+	.Y(n_4388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439930 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439931 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
+	.A2_N(n_2872),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439932 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
+	.A2_N(n_2872),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439933 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
+	.A2_N(n_2872),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439934 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2110),
+	.Y(n_4383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439935 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2083),
+	.Y(n_4382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439936 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2100),
+	.Y(n_4381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439937 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439938 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_4379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439939 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
+	.A2_N(n_2497),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2079),
+	.Y(n_4378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439940 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439941 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(n_2100),
+	.Y(n_4376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439942 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439943 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439944 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(n_2085),
+	.Y(n_4373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439945 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439946 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
+	.A2_N(n_2514),
+	.B1(n_2229),
+	.B2(n_2128),
+	.Y(n_4371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439947 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(n_2110),
+	.Y(n_4370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439948 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(n_2083),
+	.Y(n_4369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439949 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(n_2100),
+	.Y(n_4368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439950 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(n_2104),
+	.Y(n_4367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439951 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_4366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439952 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
+	.A2_N(n_2471),
+	.B1(n_2215),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_4365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439953 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2116),
+	.Y(n_4364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439954 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2117),
+	.Y(n_4363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439955 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2125),
+	.Y(n_4362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439956 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2122),
+	.Y(n_4361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439957 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2077),
+	.Y(n_4360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439958 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
+	.A2_N(n_2771),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2113),
+	.Y(n_4359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439959 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(n_2117),
+	.Y(n_4358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439960 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.A2_N(n_2855),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2113),
+	.Y(n_4357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439961 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(n_2013),
+	.Y(n_4356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439962 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439963 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439964 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
+	.A2_N(n_2856),
+	.B1(n_2231),
+	.B2(n_2113),
+	.Y(n_4353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439965 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_4352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439966 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(n_2117),
+	.Y(n_4351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439967 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439968 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(n_2125),
+	.Y(n_4349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439969 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(n_2013),
+	.Y(n_4348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439970 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439971 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439972 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
+	.A2_N(n_2704),
+	.B1(n_2229),
+	.B2(n_2113),
+	.Y(n_4345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439973 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
+	.A2_N(n_2853),
+	.B1(n_2215),
+	.B2(n_2116),
+	.Y(n_4344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439974 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2117),
+	.Y(n_4343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439975 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_4342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439976 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2125),
+	.Y(n_4341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439977 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2013),
+	.Y(n_4340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439978 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_4339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439979 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_4338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439980 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
+	.A2_N(n_2853),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2113),
+	.Y(n_4337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439981 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_4336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439982 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_4335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439983 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_4334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439984 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_4333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439985 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
+	.A2_N(n_2767),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_4332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439986 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(n_2085),
+	.Y(n_4331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439987 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_4330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439988 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
+	.A2_N(n_2767),
+	.B1(n_1996),
+	.B2(n_2128),
+	.Y(n_4329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439989 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2110),
+	.Y(n_4328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439990 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2083),
+	.Y(n_4327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439991 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2100),
+	.Y(n_4326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439992 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2104),
+	.Y(n_4325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g439993 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
+	.A2_N(n_2430),
+	.B1(n_2223),
+	.B2(n_2099),
+	.Y(n_4324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g439995 (
+	.A1(n_1744),
+	.A2(n_2588),
+	.B1(n_1743),
+	.B2(n_2601),
+	.Y(n_5467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g439996 (
+	.A1(n_1744),
+	.A2(n_2556),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_2212),
+	.Y(n_5466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g439997 (
+	.A1(n_1743),
+	.A2(n_15921),
+	.B1(n_1744),
+	.B2(n_2578),
+	.Y(n_5465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g439998 (
+	.A1(n_1744),
+	.A2(n_2568),
+	.B1(n_1743),
+	.B2(n_2570),
+	.Y(n_5464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g439999 (
+	.A1(n_1744),
+	.A2(n_2557),
+	.B1(n_1743),
+	.B2(n_2571),
+	.Y(n_5463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440000 (
+	.A1(n_1744),
+	.A2(n_2558),
+	.B1(n_1743),
+	.B2(n_2554),
+	.Y(n_5462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440001 (
+	.A1(n_1744),
+	.A2(n_2569),
+	.B1(n_1743),
+	.B2(n_2555),
+	.Y(n_5461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440002 (
+	.A1(n_1744),
+	.A2(n_2570),
+	.B1(n_1743),
+	.B2(n_2562),
+	.Y(n_5460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440003 (
+	.A1(n_1744),
+	.A2(n_2571),
+	.B1(n_1743),
+	.B2(n_2572),
+	.Y(n_5459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440004 (
+	.A1(n_1744),
+	.A2(n_2554),
+	.B1(n_1743),
+	.B2(n_2552),
+	.Y(n_5458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440005 (
+	.A1(n_1744),
+	.A2(n_2555),
+	.B1(n_1743),
+	.B2(n_2550),
+	.Y(n_5457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440006 (
+	.A1(n_1744),
+	.A2(n_2562),
+	.B1(n_1743),
+	.B2(n_2602),
+	.Y(n_5456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440007 (
+	.A1(n_1744),
+	.A2(n_2572),
+	.B1(n_1743),
+	.B2(n_2573),
+	.Y(n_5455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440008 (
+	.A1(n_1744),
+	.A2(n_2552),
+	.B1(n_1743),
+	.B2(n_2610),
+	.Y(n_5454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440009 (
+	.A1(n_1744),
+	.A2(n_2550),
+	.B1(n_2574),
+	.B2(n_1743),
+	.Y(n_5453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440010 (
+	.A1(n_1744),
+	.A2(n_2602),
+	.B1(n_1743),
+	.B2(n_2553),
+	.Y(n_5452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440011 (
+	.A1(n_1744),
+	.A2(n_2573),
+	.B1(n_1743),
+	.B2(n_2575),
+	.Y(n_5451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440012 (
+	.A1(n_1744),
+	.A2(n_2610),
+	.B1(n_1743),
+	.B2(n_2556),
+	.Y(n_5450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440013 (
+	.A1(n_1744),
+	.A2(n_2574),
+	.B1(n_1743),
+	.B2(n_2576),
+	.Y(n_5449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440014 (
+	.A1(n_1744),
+	.A2(n_2609),
+	.B1(n_1743),
+	.B2(n_2579),
+	.Y(n_5448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440015 (
+	.A1(n_1744),
+	.A2(n_2580),
+	.B1(n_1743),
+	.B2(n_2578),
+	.Y(n_5447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440016 (
+	.A1(n_1744),
+	.A2(n_2553),
+	.B1(n_1743),
+	.B2(n_2547),
+	.Y(n_5446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440017 (
+	.A1(n_1744),
+	.A2(n_2560),
+	.B1(n_1743),
+	.B2(n_2586),
+	.Y(n_5445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440018 (
+	.A1(n_1744),
+	.A2(n_2587),
+	.B1(n_1743),
+	.B2(n_2588),
+	.Y(n_5444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440019 (
+	.A1_N(n_1744),
+	.A2_N(n_2549),
+	.B1(n_1744),
+	.B2(n_2575),
+	.Y(n_5443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440020 (
+	.A1(n_1744),
+	.A2(n_2594),
+	.B1(n_1743),
+	.B2(n_2592),
+	.Y(n_5442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440021 (
+	.A1(n_1744),
+	.A2(n_2590),
+	.B1(n_1743),
+	.B2(n_2593),
+	.Y(n_5441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440022 (
+	.A1(n_2599),
+	.A2(n_1744),
+	.B1(n_2595),
+	.B2(n_1743),
+	.Y(n_5440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440023 (
+	.A1(n_2596),
+	.A2(n_1744),
+	.B1(n_2559),
+	.B2(n_1743),
+	.Y(n_5439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g440024 (
+	.A1(n_1052),
+	.A2(FE_OFN886_n_1742),
+	.A3(n_1743),
+	.B1(n_2576),
+	.B2(n_1744),
+	.Y(n_5438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440025 (
+	.A1(n_1744),
+	.A2(n_2551),
+	.B1(n_1743),
+	.B2(n_2609),
+	.Y(n_5437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440026 (
+	.A1(n_1744),
+	.A2(n_2579),
+	.B1(n_1743),
+	.B2(n_2580),
+	.Y(n_5436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440027 (
+	.A1(n_1744),
+	.A2(n_2582),
+	.B1(n_1743),
+	.B2(n_2560),
+	.Y(n_5435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440028 (
+	.A1(n_1744),
+	.A2(n_2586),
+	.B1(n_1743),
+	.B2(n_2587),
+	.Y(n_5434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440029 (
+	.A1(n_1744),
+	.A2(n_2589),
+	.B1(n_1743),
+	.B2(n_2590),
+	.Y(n_5433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440030 (
+	.A1(n_1743),
+	.A2(n_2591),
+	.B1(n_2592),
+	.B2(n_1744),
+	.Y(n_5432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440031 (
+	.A1(n_1744),
+	.A2(n_2593),
+	.B1(n_1743),
+	.B2(n_2594),
+	.Y(n_5431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440032 (
+	.A1(n_1744),
+	.A2(n_2595),
+	.B1(n_1743),
+	.B2(n_2596),
+	.Y(n_5430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g440033 (
+	.A1(n_1744),
+	.A2(n_2559),
+	.B1(n_1743),
+	.B2(n_2585),
+	.X(n_5429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g440034 (
+	.A1(n_1744),
+	.A2(n_2598),
+	.B1(n_1743),
+	.B2(n_2599),
+	.Y(n_5428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g440035 (
+	.A(n_2001),
+	.B(n_2549),
+	.C(n_1743),
+	.X(n_5427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g440036 (
+	.A1(n_1744),
+	.A2(n_15921),
+	.B1(n_2156),
+	.Y(n_5425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g440038 (
+	.A1(n_1744),
+	.A2(n_2585),
+	.B1(n_2156),
+	.Y(n_5423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g440040 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(n_13879),
+	.C(n_2887),
+	.Y(n_5422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g440041 (
+	.A(n_1744),
+	.B(FE_OFN1639_n_16011),
+	.C(n_2547),
+	.Y(n_5421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g440042 (
+	.A1(n_1744),
+	.A2(n_2591),
+	.B1(n_2156),
+	.Y(n_5420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g440047 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
+	.A2(n_2541),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.Y(n_5419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g440053 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_1201),
+	.C(n_2548),
+	.Y(n_5418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440057 (
+	.A(n_1046),
+	.B(n_3093),
+	.Y(n_5417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440060 (
+	.A(n_1042),
+	.B(n_3090),
+	.Y(n_5416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440061 (
+	.A(n_1046),
+	.B(n_3090),
+	.Y(n_5415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440062 (
+	.A(n_1042),
+	.B(n_3093),
+	.Y(n_5414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440070 (
+	.A(n_1248),
+	.B(n_3090),
+	.Y(n_5413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440080 (
+	.A(n_1056),
+	.B(n_3147),
+	.Y(n_5412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440085 (
+	.A(n_1454),
+	.B(n_3098),
+	.Y(n_5411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440086 (
+	.A(n_1097),
+	.B(n_3109),
+	.Y(n_4323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440087 (
+	.A(n_1076),
+	.B(n_3107),
+	.Y(n_4322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440088 (
+	.A(n_1248),
+	.B(n_3093),
+	.Y(n_5410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g440089 (
+	.A(n_666),
+	.B(n_1206),
+	.C(n_2548),
+	.Y(n_5409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440090 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
+	.B(n_2298),
+	.Y(n_5408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g440093 (
+	.A_N(n_3148),
+	.B(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_5407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440094 (
+	.A(n_15920),
+	.B(n_1262),
+	.Y(n_5406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g440095 (
+	.A1(n_2157),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.B1(n_1758),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.C1(n_2310),
+	.Y(n_5404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g440098 (
+	.A_N(n_3136),
+	.B(n_1255),
+	.Y(n_5401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440099 (
+	.A(n_3002),
+	.B(FE_OFN75_io_out_37),
+	.Y(n_5400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g440100 (
+	.A1(n_2157),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.B1(n_1758),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.C1(n_2309),
+	.Y(n_5399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440101 (
+	.A(n_2302),
+	.B(n_3096),
+	.Y(n_5398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g440102 (
+	.A1(n_2157),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_1758),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.C1(n_2319),
+	.Y(n_5397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440106 (
+	.A(n_13345),
+	.B(n_3136),
+	.Y(n_5396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g440107 (
+	.A1(n_2157),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.B1(n_1758),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.C1(n_2317),
+	.Y(n_5394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440108 (
+	.A(n_3096),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_5393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440109 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
+	.B(n_3100),
+	.Y(n_5391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440110 (
+	.A(n_13364),
+	.B(n_3083),
+	.Y(n_5390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440112 (
+	.A(FE_OFN18443_n_13363),
+	.B(n_3083),
+	.Y(n_5389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440115 (
+	.A(n_3078),
+	.B(n_1744),
+	.Y(n_5388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440116 (
+	.A(n_3078),
+	.B(n_1743),
+	.Y(n_5386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440117 (
+	.A(n_2414),
+	.B(n_3080),
+	.Y(n_5384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g440118 (
+	.A(n_1377),
+	.B(n_2397),
+	.C(n_2954),
+	.Y(n_5382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440119 (
+	.A(n_471),
+	.B(n_2981),
+	.Y(n_5380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440120 (
+	.A(n_471),
+	.B(FE_OFN1477_n_2980),
+	.Y(n_5378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440121 (
+	.A(n_1244),
+	.B(n_3076),
+	.Y(n_5376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440122 (
+	.A(n_1245),
+	.B(n_3076),
+	.Y(n_5374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440123 (
+	.A(n_1436),
+	.B(n_3090),
+	.Y(n_5372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440124 (
+	.A(n_15933),
+	.B(n_3093),
+	.Y(n_5371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440125 (
+	.A(n_15929),
+	.B(n_3090),
+	.Y(n_5370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440126 (
+	.A(n_15933),
+	.B(n_3090),
+	.Y(n_5369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440127 (
+	.A(n_15929),
+	.B(n_3093),
+	.Y(n_5368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440128 (
+	.A(n_1436),
+	.B(n_3093),
+	.Y(n_5367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g440129 (
+	.A(n_3117),
+	.B_N(n_1263),
+	.Y(n_5366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g440130 (
+	.A(n_2292),
+	.B(n_621),
+	.C(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.D(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.Y(n_5364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440131 (
+	.A(FE_OFN18249_n_183),
+	.B(n_3095),
+	.Y(n_5362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440132 (
+	.A(n_1263),
+	.B(n_3117),
+	.Y(n_5360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440133 (
+	.A(FE_OFN18249_n_183),
+	.B(n_3088),
+	.Y(n_5358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440134 (
+	.A(n_13370),
+	.B(n_3102),
+	.Y(n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440135 (
+	.A(n_3105),
+	.B(n_1243),
+	.Y(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440136 (
+	.A(n_3116),
+	.B(n_1243),
+	.Y(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440137 (
+	.A(n_3108),
+	.B(n_1243),
+	.Y(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440138 (
+	.A(n_3111),
+	.B(n_1243),
+	.Y(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g440139 (
+	.A(n_3106),
+	.B(n_1243),
+	.Y(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440140 (
+	.A(n_3103),
+	.B(n_1243),
+	.Y(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440141 (
+	.A(n_13370),
+	.B(n_3101),
+	.Y(n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440142 (
+	.A(n_13351),
+	.B(n_3101),
+	.Y(n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g440143 (
+	.A_N(n_13370),
+	.B(n_3106),
+	.X(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g440144 (
+	.A_N(n_13370),
+	.B(n_3108),
+	.X(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g440145 (
+	.A_N(n_13351),
+	.B(n_3108),
+	.X(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g440146 (
+	.A_N(n_13351),
+	.B(n_3111),
+	.X(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g440147 (
+	.A_N(n_13351),
+	.B(n_3106),
+	.X(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440148 (
+	.A(n_1239),
+	.B(n_3102),
+	.Y(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440149 (
+	.A(n_13370),
+	.B(n_3126),
+	.Y(n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440150 (
+	.A(n_13351),
+	.B(n_3104),
+	.Y(n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g440151 (
+	.A_N(n_13370),
+	.B(n_3111),
+	.X(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440152 (
+	.A(n_13370),
+	.B(n_3104),
+	.Y(n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g440153 (
+	.A(n_3111),
+	.B(n_1238),
+	.Y(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g440154 (
+	.A(n_3108),
+	.B(n_1238),
+	.Y(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440155 (
+	.A(n_13351),
+	.B(n_3115),
+	.Y(n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440156 (
+	.A(n_13351),
+	.B(n_3126),
+	.Y(n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440157 (
+	.A(n_3106),
+	.B(n_1238),
+	.Y(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440159 (
+	.A(n_13370),
+	.B(n_3115),
+	.Y(n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440160 (
+	.A(n_1239),
+	.B(n_3101),
+	.Y(n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g440161 (
+	.A(n_13351),
+	.B(n_3102),
+	.Y(n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440162 (
+	.A(n_3116),
+	.B(n_1238),
+	.Y(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g440163 (
+	.A(n_3125),
+	.B(n_1238),
+	.Y(n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g440164 (
+	.A(n_3105),
+	.B(n_1238),
+	.Y(n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440165 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
+	.A2_N(n_2871),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2052),
+	.Y(n_4321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440166 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
+	.A2_N(n_2448),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440167 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
+	.A2_N(n_2448),
+	.B1(n_2108),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440168 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
+	.A2_N(n_2448),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440169 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
+	.A2_N(n_2448),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440170 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
+	.A2_N(n_2448),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440171 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
+	.A2_N(n_2448),
+	.B1(n_2081),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440172 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
+	.A2_N(n_2448),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_4314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440173 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
+	.A2_N(n_2749),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440174 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
+	.A2_N(n_2749),
+	.B1(FE_OFN901_n_2218),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440175 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
+	.A2_N(n_2749),
+	.B1(n_2218),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_4311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440176 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2087),
+	.Y(n_4310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440177 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440178 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440179 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2093),
+	.Y(n_4307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440180 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2120),
+	.Y(n_4306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440181 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2124),
+	.Y(n_4305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440182 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440183 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
+	.A2_N(n_2701),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2132),
+	.Y(n_4303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440184 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2087),
+	.Y(n_4302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440185 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440186 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440187 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2120),
+	.Y(n_4299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440188 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.A2_N(n_2842),
+	.B1(n_2026),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440189 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440190 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_4296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440191 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2089),
+	.Y(n_4295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440192 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2073),
+	.Y(n_4294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g440193 (
+	.A1(n_2009),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.B1(n_2534),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.Y(n_4293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440194 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2093),
+	.Y(n_4292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440195 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440196 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440197 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2075),
+	.Y(n_4289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440198 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
+	.A2_N(n_2724),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2132),
+	.Y(n_4288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440199 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2059),
+	.Y(n_4287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440200 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2057),
+	.Y(n_4286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440201 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2054),
+	.Y(n_4285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440202 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2066),
+	.Y(n_4284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440203 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2063),
+	.Y(n_4283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440204 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2069),
+	.Y(n_4282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440205 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.B1(n_2841),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_4281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440206 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
+	.A2_N(n_2752),
+	.B1(FE_OFN901_n_2218),
+	.B2(n_2065),
+	.Y(n_4280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440207 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440208 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2054),
+	.Y(n_4278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440209 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440210 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2066),
+	.Y(n_4276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440211 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440212 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2069),
+	.Y(n_4274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440213 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440214 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2059),
+	.Y(n_4272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440215 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440216 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2054),
+	.Y(n_4270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440217 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2066),
+	.Y(n_4269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440218 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440219 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(n_2069),
+	.Y(n_4267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440220 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440221 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(n_2059),
+	.Y(n_4265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440222 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440223 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(n_2054),
+	.Y(n_4263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440224 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(n_2066),
+	.Y(n_4262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440225 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440226 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(FE_OFN1421_n_2069),
+	.Y(n_4260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440227 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440228 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
+	.A2_N(n_2832),
+	.B1(n_2230),
+	.B2(n_2087),
+	.Y(n_4258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440229 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
+	.A2_N(n_2832),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440230 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
+	.A2_N(n_2832),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440231 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
+	.A2_N(n_2832),
+	.B1(n_2230),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g440232 (
+	.A1(n_2009),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.B1(n_2534),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.Y(n_4254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440233 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
+	.A2_N(n_2832),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440234 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
+	.A2_N(n_2832),
+	.B1(FE_OFN910_n_2230),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440235 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2087),
+	.Y(n_4251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440236 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440237 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440238 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2093),
+	.Y(n_4248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440239 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2120),
+	.Y(n_4247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440240 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
+	.B1(n_2819),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_4246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440241 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440242 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2075),
+	.Y(n_4244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440243 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
+	.A2_N(n_2765),
+	.B1(FE_OFN899_n_2217),
+	.B2(n_2132),
+	.Y(n_4243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440244 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2087),
+	.Y(n_4242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440245 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440246 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440247 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2124),
+	.Y(n_4239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440248 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440249 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
+	.A2_N(n_2761),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_4237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440250 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(n_2089),
+	.Y(n_4236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440251 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(n_2073),
+	.Y(n_4235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440252 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(n_2093),
+	.Y(n_4234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440253 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440254 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(n_2075),
+	.Y(n_4232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440255 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
+	.A2_N(n_2700),
+	.B1(n_2219),
+	.B2(n_2132),
+	.Y(n_4231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440256 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2057),
+	.Y(n_4230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440257 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2054),
+	.Y(n_4229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440258 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2061),
+	.Y(n_4228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440259 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
+	.A2_N(n_2834),
+	.B1(FE_OFN910_n_2230),
+	.B2(n_2063),
+	.Y(n_4227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440260 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440261 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2054),
+	.Y(n_4225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440262 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440263 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2065),
+	.Y(n_4223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440264 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2066),
+	.Y(n_4222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440265 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440266 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(n_2069),
+	.Y(n_4220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440267 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
+	.A2_N(n_2457),
+	.B1(FE_OFN900_n_2217),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440268 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2059),
+	.Y(n_4218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440269 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440270 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2054),
+	.Y(n_4216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440271 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440272 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2066),
+	.Y(n_4214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440273 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440274 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(n_2069),
+	.Y(n_4212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440275 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
+	.A2_N(n_2757),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440276 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
+	.A2_N(n_2511),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2059),
+	.Y(n_4210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440277 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
+	.A2_N(n_2511),
+	.B1(n_2219),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440278 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
+	.A2_N(n_2511),
+	.B1(FE_OFN902_n_2219),
+	.B2(n_2054),
+	.Y(n_4208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440279 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
+	.A2_N(n_2511),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440280 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
+	.A2_N(n_2511),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440281 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(n_2116),
+	.Y(n_4205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440282 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
+	.A2_N(n_2511),
+	.B1(FE_OFN902_n_2219),
+	.B2(FE_OFN1421_n_2069),
+	.Y(n_4204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440283 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(n_2130),
+	.Y(n_4203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440284 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.A2_N(n_2842),
+	.B1(n_2022),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440285 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(n_2037),
+	.Y(n_4201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440286 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_4200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440287 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(n_2035),
+	.Y(n_4199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440288 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440289 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
+	.A2_N(n_2719),
+	.B1(n_2227),
+	.B2(n_2091),
+	.Y(n_4197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440290 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_4196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440291 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
+	.A2_N(n_2849),
+	.B1(n_2026),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440292 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_4194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440293 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2035),
+	.Y(n_4193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440294 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440295 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2070),
+	.Y(n_4191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440296 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
+	.A2_N(n_2427),
+	.B1(FE_OFN912_n_2231),
+	.B2(n_2091),
+	.Y(n_4190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440297 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(n_2130),
+	.Y(n_4189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440298 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(n_2035),
+	.Y(n_4188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440299 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_4187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440300 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(n_2095),
+	.Y(n_4186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440301 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
+	.A2_N(n_2447),
+	.B1(FE_OFN909_n_2229),
+	.B2(n_2091),
+	.Y(n_4185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g440302 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_2612),
+	.Y(n_4184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440303 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2130),
+	.Y(n_4183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440304 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_4182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440305 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2017),
+	.Y(n_4181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440306 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2035),
+	.Y(n_4180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440307 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440308 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2070),
+	.Y(n_4178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440309 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2091),
+	.Y(n_4177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440310 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
+	.A2_N(n_2720),
+	.B1(n_2106),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440311 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
+	.A2_N(n_2720),
+	.B1(n_2112),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440312 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
+	.A2_N(n_2720),
+	.B1(n_2108),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440313 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
+	.A2_N(n_2720),
+	.B1(n_2107),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440314 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
+	.A2_N(n_2727),
+	.B1(n_2251),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_4172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440315 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
+	.A2_N(n_2720),
+	.B1(n_2102),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440316 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
+	.A2_N(n_2720),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440317 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
+	.A2_N(n_2720),
+	.B1(n_2081),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440318 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
+	.A2_N(n_2720),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN908_n_2227),
+	.Y(n_4168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440319 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
+	.A2_N(n_2425),
+	.B1(n_2106),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440320 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
+	.A2_N(n_2425),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440321 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
+	.A2_N(n_2425),
+	.B1(n_2108),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440322 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
+	.A2_N(n_2425),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440323 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
+	.A2_N(n_2425),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440324 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
+	.A2_N(n_2425),
+	.B1(n_2081),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440325 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.A2_N(n_2494),
+	.B1(FE_OFN903_n_2220),
+	.B2(n_2100),
+	.Y(n_4161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440326 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
+	.A2_N(n_2425),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN912_n_2231),
+	.Y(n_4160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440327 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
+	.A2_N(n_2446),
+	.B1(n_2106),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440328 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
+	.A2_N(n_2446),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440329 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
+	.A2_N(n_2446),
+	.B1(n_2108),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440330 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
+	.A2_N(n_2446),
+	.B1(n_2107),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440331 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
+	.A2_N(n_2446),
+	.B1(n_2102),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440332 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
+	.A2_N(n_2446),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440333 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
+	.A2_N(n_2446),
+	.B1(n_2081),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440334 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
+	.A2_N(n_2446),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_4152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440335 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
+	.A2_N(n_2470),
+	.B1(n_2106),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440336 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
+	.A2_N(n_2470),
+	.B1(n_2108),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440337 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
+	.A2_N(n_2470),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440338 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
+	.A2_N(n_2470),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440339 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
+	.A2_N(n_2470),
+	.B1(n_2081),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440340 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
+	.A2_N(n_2470),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN896_n_2215),
+	.Y(n_4146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440341 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
+	.A2_N(n_2801),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2130),
+	.Y(n_4145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440342 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
+	.A2_N(n_2801),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2037),
+	.Y(n_4144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440343 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
+	.A2_N(n_2801),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_4143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440344 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
+	.A2_N(n_2801),
+	.B1(n_1996),
+	.B2(n_2035),
+	.Y(n_4142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440345 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
+	.A2_N(n_2801),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440346 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
+	.A2_N(n_2801),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_4140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440347 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
+	.A2_N(n_2801),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_4139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440348 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.A2_N(n_2506),
+	.B1(FE_OFN899_n_2217),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_4138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440349 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2130),
+	.Y(n_4137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440350 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_4136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440351 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2017),
+	.Y(n_4135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440352 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2035),
+	.Y(n_4134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440353 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2071),
+	.Y(n_4133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440354 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2070),
+	.Y(n_4132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440355 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2073),
+	.Y(n_4131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440356 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2095),
+	.Y(n_4130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440357 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
+	.A2_N(n_2481),
+	.B1(n_2223),
+	.B2(n_2091),
+	.Y(n_4129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440358 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
+	.A2_N(n_2739),
+	.B1(FE_OFN891_n_1998),
+	.B2(n_2130),
+	.Y(n_4128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440359 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
+	.A2_N(n_2739),
+	.B1(FE_OFN891_n_1998),
+	.B2(n_2037),
+	.Y(n_4127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440360 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
+	.A2_N(n_2739),
+	.B1(FE_OFN891_n_1998),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_4126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440361 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
+	.A2_N(n_2739),
+	.B1(n_1998),
+	.B2(n_2035),
+	.Y(n_4125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440362 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
+	.A2_N(n_2739),
+	.B1(FE_OFN891_n_1998),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440363 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
+	.A2_N(n_2739),
+	.B1(FE_OFN891_n_1998),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_4123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440364 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
+	.A2_N(n_2739),
+	.B1(FE_OFN891_n_1998),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_4122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440365 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
+	.A2_N(n_2739),
+	.B1(n_1998),
+	.B2(n_2091),
+	.Y(n_4121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440366 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2130),
+	.Y(n_4120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440367 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_4119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440368 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_4118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440369 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2035),
+	.Y(n_4117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440370 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_4116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440371 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_4115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440372 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_4114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440373 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
+	.A2_N(n_2748),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2091),
+	.Y(n_4113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440374 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
+	.A2_N(n_2800),
+	.B1(n_2106),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440375 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
+	.A2_N(n_2800),
+	.B1(n_2112),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440376 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
+	.A2_N(n_2800),
+	.B1(n_2108),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440377 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
+	.A2_N(n_2800),
+	.B1(n_2107),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440378 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
+	.A2_N(n_2800),
+	.B1(n_2102),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440379 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
+	.A2_N(n_2800),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440380 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1421_n_2069),
+	.Y(n_4106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440381 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
+	.A2_N(n_2800),
+	.B1(n_2081),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440382 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
+	.A2_N(n_2800),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN890_n_1996),
+	.Y(n_4104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440383 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
+	.B1(n_2458),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_4103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440384 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
+	.A2_N(n_2480),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440385 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
+	.A2_N(n_2480),
+	.B1(n_2108),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440386 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
+	.A2_N(n_2480),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440387 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
+	.A2_N(n_2480),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440388 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
+	.A2_N(n_2480),
+	.B1(n_2016),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440389 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
+	.A2_N(n_2480),
+	.B1(n_2081),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440390 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
+	.A2_N(n_2480),
+	.B1(n_2134),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_4096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440391 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
+	.A2_N(n_2738),
+	.B1(n_2106),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440392 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
+	.A2_N(n_2738),
+	.B1(n_2112),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440393 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
+	.A2_N(n_2738),
+	.B1(n_2108),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440394 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
+	.A2_N(n_2738),
+	.B1(n_2107),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440395 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
+	.A2_N(n_2738),
+	.B1(n_2102),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440396 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
+	.A2_N(n_2738),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440397 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
+	.A2_N(n_2738),
+	.B1(n_2081),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440398 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
+	.A2_N(n_2738),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN891_n_1998),
+	.Y(n_4088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440399 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
+	.A2_N(n_2747),
+	.B1(n_2106),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440400 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
+	.A2_N(n_2747),
+	.B1(FE_OFN1440_n_2112),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440401 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
+	.A2_N(n_2747),
+	.B1(n_2108),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440402 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
+	.A2_N(n_2747),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440403 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
+	.A2_N(n_2747),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440404 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
+	.A2_N(n_2747),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440405 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
+	.A2_N(n_2747),
+	.B1(n_2081),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440406 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
+	.A2_N(n_2747),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_4080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440407 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
+	.A2_N(n_2697),
+	.B1(n_2227),
+	.B2(n_2087),
+	.Y(n_4079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440408 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
+	.A2_N(n_2697),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440409 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
+	.A2_N(n_2697),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440410 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
+	.A2_N(n_2697),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440411 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
+	.A2_N(n_2697),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440412 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
+	.A2_N(n_2697),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440413 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
+	.A2_N(n_2697),
+	.B1(FE_OFN908_n_2227),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440414 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
+	.A2_N(n_2697),
+	.B1(n_2227),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_4072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440415 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2087),
+	.Y(n_4071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440416 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440417 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440418 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2040),
+	.Y(n_4068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440419 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440420 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2120),
+	.Y(n_4066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440421 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440422 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2075),
+	.Y(n_4064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440423 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
+	.A2_N(n_2756),
+	.B1(FE_OFN911_n_2231),
+	.B2(n_2132),
+	.Y(n_4063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440424 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(n_2087),
+	.Y(n_4062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440425 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440426 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(n_2120),
+	.Y(n_4060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440427 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(n_2124),
+	.Y(n_4059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440428 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440429 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
+	.A2_N(n_2702),
+	.B1(n_2229),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_4057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440430 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(n_2087),
+	.Y(n_4056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440431 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
+	.B1(n_2838),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_4055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440432 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(n_2093),
+	.Y(n_4054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440433 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440434 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440435 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(n_2075),
+	.Y(n_4051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440436 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
+	.A2_N(n_2814),
+	.B1(n_2215),
+	.B2(n_2132),
+	.Y(n_4050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440437 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2057),
+	.Y(n_4049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440438 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2054),
+	.Y(n_4048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440439 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2061),
+	.Y(n_4047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440440 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2066),
+	.Y(n_4046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440441 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2063),
+	.Y(n_4045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440442 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
+	.A2_N(n_2442),
+	.B1(FE_OFN908_n_2227),
+	.B2(n_2065),
+	.Y(n_4044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440443 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(n_2059),
+	.Y(n_4043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440444 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440445 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(n_2054),
+	.Y(n_4041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440446 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440447 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(n_2066),
+	.Y(n_4039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440448 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440449 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(n_2069),
+	.Y(n_4037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440450 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
+	.A2_N(n_2451),
+	.B1(n_2231),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440451 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
+	.B1(n_2838),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_4035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440452 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(n_2059),
+	.Y(n_4034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440453 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440454 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(n_2054),
+	.Y(n_4032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440455 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440456 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(n_2066),
+	.Y(n_4030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440457 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440458 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(n_2069),
+	.Y(n_4028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440459 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
+	.A2_N(n_2513),
+	.B1(n_2229),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440460 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
+	.A2_N(n_2722),
+	.B1(n_2215),
+	.B2(n_2059),
+	.Y(n_4026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440461 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_4025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440462 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2054),
+	.Y(n_4024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440463 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_4023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440464 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2066),
+	.Y(n_4022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440465 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_4021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440466 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1421_n_2069),
+	.Y(n_4020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440467 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2061),
+	.Y(n_4019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440468 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
+	.A2_N(n_2722),
+	.B1(FE_OFN896_n_2215),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_4018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440469 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2132),
+	.Y(n_4017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440470 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
+	.A2_N(n_2730),
+	.B1(n_1996),
+	.B2(n_2087),
+	.Y(n_4016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440471 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
+	.A2_N(n_2730),
+	.B1(n_1996),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440472 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
+	.A2_N(n_2730),
+	.B1(n_1996),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_4014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440473 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
+	.A2_N(n_2730),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440474 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
+	.A2_N(n_2730),
+	.B1(FE_OFN890_n_1996),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440475 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
+	.A2_N(n_2730),
+	.B1(n_1996),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_4011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440476 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(n_2089),
+	.Y(n_4010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440477 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(n_2073),
+	.Y(n_4009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440478 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(n_2093),
+	.Y(n_4008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440479 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_4007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440480 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_4006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440481 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(n_2075),
+	.Y(n_4005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440482 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(n_2132),
+	.Y(n_4004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440483 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
+	.A2_N(n_2774),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440484 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(n_2087),
+	.Y(n_4002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440485 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_4001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440486 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_4000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440487 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_3999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440488 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(n_2124),
+	.Y(n_3998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440489 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440490 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2066),
+	.Y(n_3996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440491 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
+	.A2_N(n_2703),
+	.B1(n_1998),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_3995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440492 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2087),
+	.Y(n_3994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440493 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2089),
+	.Y(n_3993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440494 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2093),
+	.Y(n_3992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440495 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_3991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440496 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
+	.A2_N(n_2699),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_3990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440497 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2059),
+	.Y(n_3989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440498 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
+	.A2_N(n_2733),
+	.B1(FE_OFN890_n_1996),
+	.B2(n_2063),
+	.Y(n_3988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440499 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2057),
+	.Y(n_3987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440500 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2054),
+	.Y(n_3986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440501 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2061),
+	.Y(n_3985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440502 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2066),
+	.Y(n_3984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440503 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2063),
+	.Y(n_3983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440504 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2069),
+	.Y(n_3982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440505 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
+	.A2_N(n_2512),
+	.B1(FE_OFN905_n_2223),
+	.B2(n_2065),
+	.Y(n_3981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440506 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
+	.A2_N(n_2867),
+	.B1(FE_OFN891_n_1998),
+	.B2(n_2046),
+	.Y(n_3980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440507 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(n_2059),
+	.Y(n_3979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440508 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(n_2054),
+	.Y(n_3978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440509 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440510 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(n_2066),
+	.Y(n_3976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440511 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_3975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440512 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(n_2065),
+	.Y(n_3974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440513 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
+	.A2_N(n_2477),
+	.B1(n_2059),
+	.B2(n_2222),
+	.Y(n_3973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440514 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
+	.A2_N(n_2477),
+	.B1(n_2054),
+	.B2(n_2222),
+	.Y(n_3972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440515 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
+	.A2_N(n_2477),
+	.B1(FE_OFN1417_n_2061),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_3971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440516 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
+	.A2_N(n_2477),
+	.B1(n_2066),
+	.B2(n_2222),
+	.Y(n_3970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440517 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
+	.A2_N(n_2477),
+	.B1(FE_OFN1421_n_2069),
+	.B2(FE_OFN904_n_2222),
+	.Y(n_3969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440518 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2042),
+	.Y(n_3968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440519 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2040),
+	.Y(n_3967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440520 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2052),
+	.Y(n_3966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440521 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2050),
+	.Y(n_3965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440522 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2048),
+	.Y(n_3964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440523 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2046),
+	.Y(n_3963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440524 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2044),
+	.Y(n_3962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440525 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
+	.A2_N(n_2804),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2038),
+	.Y(n_3961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440526 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2042),
+	.Y(n_3960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440527 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2040),
+	.Y(n_3959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440528 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2052),
+	.Y(n_3958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440529 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2050),
+	.Y(n_3957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440530 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2048),
+	.Y(n_3956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440531 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2046),
+	.Y(n_3955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440532 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(n_2066),
+	.Y(n_3954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440533 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
+	.A2_N(n_2483),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2044),
+	.Y(n_3953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440534 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440535 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440536 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440537 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
+	.B1(n_2051),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440538 (
+	.A1(n_2741),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
+	.B1(n_2047),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440539 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
+	.A2_N(n_2523),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2042),
+	.Y(n_3947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440540 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
+	.A2_N(n_2523),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2040),
+	.Y(n_3946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440541 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
+	.A2_N(n_2523),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2052),
+	.Y(n_3945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440542 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
+	.A2_N(n_2523),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2050),
+	.Y(n_3944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440543 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
+	.A2_N(n_2523),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2046),
+	.Y(n_3943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440544 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
+	.A2_N(n_2523),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2038),
+	.Y(n_3942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440545 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
+	.A2_N(n_2803),
+	.B1(n_2032),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440546 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
+	.A2_N(n_2803),
+	.B1(n_2022),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440547 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
+	.A2_N(n_2803),
+	.B1(n_2030),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440548 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
+	.A2_N(n_2803),
+	.B1(n_2028),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440549 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
+	.A2_N(n_2803),
+	.B1(n_2026),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440550 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
+	.A2_N(n_2803),
+	.B1(n_2024),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440551 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
+	.A2_N(n_2803),
+	.B1(n_2020),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440552 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2018),
+	.Y(n_3934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440553 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2022),
+	.Y(n_3933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440554 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2028),
+	.Y(n_3932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440555 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2026),
+	.Y(n_3931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440556 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2024),
+	.Y(n_3930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440557 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2019),
+	.X(n_3929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440558 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2033),
+	.X(n_3928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440559 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2023),
+	.X(n_3927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440560 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2029),
+	.X(n_3926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440561 (
+	.A1(n_2740),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2025),
+	.X(n_3925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440562 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2018),
+	.Y(n_3924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440563 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2032),
+	.Y(n_3923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440564 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2022),
+	.Y(n_3922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440565 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2030),
+	.Y(n_3921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440566 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2028),
+	.Y(n_3920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440567 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2026),
+	.Y(n_3919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440568 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2024),
+	.Y(n_3918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440569 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
+	.A2_N(n_2525),
+	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B2(n_2020),
+	.Y(n_3917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440570 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2042),
+	.Y(n_3916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440571 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2040),
+	.Y(n_3915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440572 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2050),
+	.Y(n_3914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440573 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2046),
+	.Y(n_3913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440574 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2038),
+	.Y(n_3912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440575 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2042),
+	.Y(n_3911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440576 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2052),
+	.Y(n_3910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440577 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2048),
+	.Y(n_3909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440578 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
+	.A2_N(n_2521),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2044),
+	.Y(n_3908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440579 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
+	.B1(FE_OFN19728_n_2043),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440580 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
+	.B1(FE_OFN18842_n_2041),
+	.B2(FE_OFN919_n_2240),
+	.X(n_3906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440581 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
+	.B1(FE_OFN19413_n_2053),
+	.B2(FE_OFN919_n_2240),
+	.X(n_3905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440582 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
+	.B1(n_2051),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440583 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
+	.B1(FE_OFN1413_n_2049),
+	.B2(FE_OFN919_n_2240),
+	.X(n_3903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440584 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
+	.B1(n_2047),
+	.B2(FE_OFN919_n_2240),
+	.X(n_3902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440585 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
+	.B1(FE_OFN1412_n_2045),
+	.B2(FE_OFN919_n_2240),
+	.X(n_3901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440586 (
+	.A1(n_2817),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
+	.B1(FE_OFN1409_n_2039),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440587 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
+	.A2_N(n_2444),
+	.B1(n_2006),
+	.B2(n_2042),
+	.Y(n_3899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440588 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
+	.A2_N(n_2444),
+	.B1(n_2006),
+	.B2(n_2040),
+	.Y(n_3898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440589 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
+	.A2_N(n_2444),
+	.B1(n_2006),
+	.B2(n_2052),
+	.Y(n_3897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440590 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
+	.A2_N(n_2444),
+	.B1(n_2006),
+	.B2(n_2050),
+	.Y(n_3896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440591 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
+	.A2_N(n_2444),
+	.B1(n_2006),
+	.B2(n_2048),
+	.Y(n_3895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440592 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
+	.A2_N(n_2444),
+	.B1(n_2006),
+	.B2(n_2046),
+	.Y(n_3894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440593 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2018),
+	.Y(n_3893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440594 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2032),
+	.Y(n_3892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440595 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2022),
+	.Y(n_3891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440596 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2030),
+	.Y(n_3890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440597 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2028),
+	.Y(n_3889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440598 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2026),
+	.Y(n_3888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440599 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2024),
+	.Y(n_3887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440600 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
+	.A2_N(n_2861),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2020),
+	.Y(n_3886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440601 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
+	.A2_N(n_2524),
+	.B1(n_2032),
+	.B2(n_2007),
+	.Y(n_3885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440602 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
+	.A2_N(n_2524),
+	.B1(n_2022),
+	.B2(n_2007),
+	.Y(n_3884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440603 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
+	.A2_N(n_2524),
+	.B1(n_2030),
+	.B2(n_2007),
+	.Y(n_3883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440604 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
+	.A2_N(n_2524),
+	.B1(n_2028),
+	.B2(n_2007),
+	.Y(n_3882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440605 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
+	.A2_N(n_2524),
+	.B1(n_2026),
+	.B2(n_2007),
+	.Y(n_3881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440606 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
+	.A2_N(n_2524),
+	.B1(n_2024),
+	.B2(n_2007),
+	.Y(n_3880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440607 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
+	.A2_N(n_2524),
+	.B1(n_2020),
+	.B2(n_2007),
+	.Y(n_3879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440608 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2019),
+	.X(n_3878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440609 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2033),
+	.X(n_3877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440610 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2023),
+	.X(n_3876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440611 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2031),
+	.X(n_3875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440612 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2029),
+	.X(n_3874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440613 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2025),
+	.X(n_3873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440614 (
+	.A1(n_2818),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
+	.B1(FE_OFN919_n_2240),
+	.B2(n_2021),
+	.X(n_3872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440615 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
+	.A2_N(n_2443),
+	.B1(n_2018),
+	.B2(n_2006),
+	.Y(n_3871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440616 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
+	.A2_N(n_2443),
+	.B1(n_2022),
+	.B2(n_2006),
+	.Y(n_3870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440617 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
+	.A2_N(n_2443),
+	.B1(n_2028),
+	.B2(n_2006),
+	.Y(n_3869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440618 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
+	.A2_N(n_2443),
+	.B1(n_2026),
+	.B2(n_2006),
+	.Y(n_3868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440619 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
+	.A2_N(n_2443),
+	.B1(n_2024),
+	.B2(n_2006),
+	.Y(n_3867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440620 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
+	.A2_N(n_2443),
+	.B1(n_2020),
+	.B2(n_2006),
+	.Y(n_3866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440621 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_3865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440622 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(FE_OFN1428_n_2083),
+	.Y(n_3864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440623 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_3863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440624 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_3862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440625 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_3861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440626 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(n_2085),
+	.Y(n_3860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440627 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
+	.A2_N(n_2751),
+	.B1(n_2226),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_3859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440628 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
+	.A2_N(n_2751),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2128),
+	.Y(n_3858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440629 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(n_2110),
+	.Y(n_3857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440630 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(n_2083),
+	.Y(n_3856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440631 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(n_2100),
+	.Y(n_3855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440632 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_3854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440633 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_3853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440634 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_3852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440635 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(n_2079),
+	.Y(n_3851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440636 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
+	.A2_N(n_2713),
+	.B1(n_2238),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_3850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440637 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
+	.B1(n_2082),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440638 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
+	.A2_N(n_2708),
+	.B1(n_2234),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_3848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440639 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
+	.B1(n_2103),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440640 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
+	.B1(n_2084),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440641 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
+	.B1(n_2078),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440642 (
+	.A1(n_2708),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
+	.B1(n_2127),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440643 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2100),
+	.Y(n_3843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440644 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2099),
+	.Y(n_3842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440645 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_3841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440646 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2116),
+	.Y(n_3840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440647 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2117),
+	.Y(n_3839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440648 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2097),
+	.Y(n_3838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440649 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2125),
+	.Y(n_3837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440650 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2013),
+	.Y(n_3836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440651 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
+	.A2_N(n_2750),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2077),
+	.Y(n_3835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440652 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(n_2116),
+	.Y(n_3834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440653 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(n_2125),
+	.Y(n_3833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440654 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_3832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440655 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_3831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440656 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
+	.B1(n_2115),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440657 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
+	.B1(n_2118),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440658 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
+	.B1(n_2126),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440659 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
+	.B1(n_2076),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440660 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(n_2117),
+	.Y(n_3826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440661 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_3825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440662 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(n_2125),
+	.Y(n_3824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440663 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(n_2013),
+	.Y(n_3823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440664 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_3822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440665 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_3821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440666 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
+	.A2_N(n_2431),
+	.B1(n_2220),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440667 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
+	.A2_N(n_2436),
+	.B1(n_2004),
+	.B2(n_2113),
+	.Y(n_3819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440668 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
+	.A2_N(n_2835),
+	.B1(n_2237),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_3818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440669 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
+	.A2_N(n_2835),
+	.B1(FE_OFN916_n_2237),
+	.B2(FE_OFN1437_n_2104),
+	.Y(n_3817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440670 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
+	.A2_N(n_2835),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2085),
+	.Y(n_3816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440671 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
+	.A2_N(n_2835),
+	.B1(FE_OFN916_n_2237),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_3815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440672 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
+	.A2_N(n_2835),
+	.B1(n_2237),
+	.B2(n_2128),
+	.Y(n_3814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440673 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
+	.A2_N(n_2693),
+	.B1(n_1998),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_3813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440674 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2083),
+	.Y(n_3812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440675 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2100),
+	.Y(n_3811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440676 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_3810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440677 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(FE_OFN1429_n_2085),
+	.Y(n_3809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440678 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
+	.A2_N(n_2766),
+	.B1(FE_OFN893_n_2007),
+	.B2(FE_OFN1444_n_2128),
+	.Y(n_3808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440679 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
+	.B1(n_2082),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440680 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
+	.A2_N(n_2758),
+	.B1(n_2239),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_3806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440681 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
+	.B1(n_2098),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440682 (
+	.A1(n_2758),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
+	.B1(n_2084),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440683 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2083),
+	.Y(n_3803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440684 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2100),
+	.Y(n_3802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440685 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2099),
+	.Y(n_3801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440686 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2085),
+	.Y(n_3800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440687 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2079),
+	.Y(n_3799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440688 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
+	.A2_N(n_2709),
+	.B1(n_2006),
+	.B2(n_2128),
+	.Y(n_3798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440689 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
+	.A2_N(n_2836),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2116),
+	.Y(n_3797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440690 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.A2_N(n_2836),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2097),
+	.Y(n_3796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440691 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.A2_N(n_2836),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2122),
+	.Y(n_3795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440692 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
+	.A2_N(n_2698),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_3794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440693 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.A2_N(n_2836),
+	.B1(FE_OFN916_n_2237),
+	.B2(n_2077),
+	.Y(n_3793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440694 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_3792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440695 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(n_2013),
+	.Y(n_3791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440696 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.A2_N(n_2474),
+	.B1(n_2007),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_3790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440697 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.B1(n_2115),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440698 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
+	.B1(n_2502),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440699 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.B1(n_2014),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440700 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.B1(n_2076),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440701 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(n_2116),
+	.Y(n_3785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440702 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(n_2117),
+	.Y(n_3784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440703 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(FE_OFN1433_n_2097),
+	.Y(n_3783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440704 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(n_2125),
+	.Y(n_3782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440705 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(n_2013),
+	.Y(n_3781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440706 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_3780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440707 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(FE_OFN1426_n_2077),
+	.Y(n_3779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440708 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.A2_N(n_2516),
+	.B1(n_2006),
+	.B2(n_2113),
+	.Y(n_3778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440709 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.A2_N(n_2718),
+	.B1(n_2233),
+	.B2(n_2042),
+	.Y(n_3777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440710 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.A2_N(n_2718),
+	.B1(n_2233),
+	.B2(n_2040),
+	.Y(n_3776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440711 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.A2_N(n_2718),
+	.B1(n_2233),
+	.B2(n_2050),
+	.Y(n_3775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440712 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.A2_N(n_2718),
+	.B1(n_2233),
+	.B2(n_2046),
+	.Y(n_3774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440713 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.A2_N(n_2718),
+	.B1(n_2233),
+	.B2(n_2038),
+	.Y(n_3773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440714 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.B1(n_2805),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440715 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.B1(n_2805),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440716 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.B1(n_2805),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440717 (
+	.A1(n_2526),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.B1(n_2805),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440718 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.B1(n_2795),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440719 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.B1(n_2795),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440720 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.B1(n_2795),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440721 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.B1(n_2795),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440722 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.B1(n_2795),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440723 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.B1(n_2795),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440724 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.B1(n_2795),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440725 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.B1(n_2792),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440726 (
+	.A1(n_2472),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.B1(n_2792),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440727 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.A2_N(n_2717),
+	.B1(n_2018),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440728 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.A2_N(n_2717),
+	.B1(n_2032),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440729 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.A2_N(n_2717),
+	.B1(n_2022),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440730 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.A2_N(n_2717),
+	.B1(n_2030),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440731 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.A2_N(n_2717),
+	.B1(n_2028),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440732 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.A2_N(n_2717),
+	.B1(n_2026),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440733 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.A2_N(n_2717),
+	.B1(n_2024),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440734 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.A2_N(n_2717),
+	.B1(n_2020),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440735 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.B1(n_2841),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g440736 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_2611),
+	.Y(n_3750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440737 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.B1(n_2841),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440738 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.B1(n_2841),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440739 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.B1(n_2841),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440740 (
+	.A1(n_2522),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.B1(n_2841),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440741 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.B1(n_2821),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440742 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.B1(n_2821),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440743 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.B1(n_2821),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440744 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.B1(n_2821),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440745 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.B1(n_2821),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440746 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.B1(n_2821),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440747 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.B1(n_2821),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440748 (
+	.A1(n_2437),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.B1(n_2821),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440749 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.B1(n_2822),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440750 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
+	.B1(n_2822),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440751 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
+	.A2_N(n_2828),
+	.B1(FE_OFN1446_n_2134),
+	.B2(n_1994),
+	.Y(n_3735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440752 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
+	.B1(n_2822),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440753 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
+	.B1(n_2822),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440754 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
+	.B1(n_2822),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440755 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
+	.B1(n_2822),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440756 (
+	.A1(n_2469),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
+	.B1(n_2822),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440757 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
+	.B1(n_2791),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440758 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
+	.B1(n_2791),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440759 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
+	.B1(n_2791),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440760 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
+	.B1(n_2791),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440761 (
+	.A1(n_2796),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
+	.B1(n_2791),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440762 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
+	.B1(n_2793),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440763 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
+	.B1(n_2793),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440764 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
+	.B1(n_2793),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440765 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
+	.B1(n_2793),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440766 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(n_2069),
+	.Y(n_3720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440767 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
+	.B1(n_2793),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440768 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
+	.B1(n_2793),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440769 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
+	.B1(n_2793),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440770 (
+	.A1(n_2466),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
+	.B1(n_2793),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440771 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
+	.B1(n_2794),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440772 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
+	.B1(n_2794),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440773 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
+	.B1(n_2794),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440774 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
+	.B1(n_2794),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440775 (
+	.A1(n_2726),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
+	.B1(n_2794),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440776 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
+	.B1(n_2790),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440777 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
+	.B1(n_2790),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440778 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
+	.B1(n_2790),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440779 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
+	.B1(n_2790),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440780 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
+	.B1(n_2790),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440781 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
+	.B1(n_2820),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440782 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
+	.B1(n_2820),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440783 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
+	.B1(n_2820),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440784 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
+	.B1(n_2820),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440785 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
+	.B1(n_2820),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440786 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
+	.B1(n_2820),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440787 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
+	.B1(n_2820),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440788 (
+	.A1(n_2797),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
+	.B1(n_2820),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440789 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
+	.B1(n_2819),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440790 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
+	.B1(n_2819),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440791 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
+	.B1(n_2819),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440792 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
+	.B1(n_2819),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440793 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
+	.B1(n_2819),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440794 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
+	.B1(n_2819),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440795 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
+	.B1(n_2823),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440796 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
+	.B1(n_2823),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440797 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
+	.B1(n_2823),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440798 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
+	.B1(n_2823),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440799 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
+	.B1(n_2823),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440800 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
+	.B1(n_2823),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440801 (
+	.A1(n_2725),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
+	.B1(n_2823),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440802 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
+	.B1(n_2824),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440803 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
+	.B1(n_2824),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440804 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
+	.B1(n_2824),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440805 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
+	.B1(n_2824),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440806 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
+	.B1(n_2824),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440807 (
+	.A1(n_2744),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
+	.B1(n_2824),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440808 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
+	.A2_N(n_2714),
+	.B1(n_2233),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_3678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440809 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
+	.A2_N(n_2714),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1435_n_2100),
+	.Y(n_3677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440810 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
+	.A2_N(n_2731),
+	.B1(n_2251),
+	.B2(n_2057),
+	.Y(n_3676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440811 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
+	.A2_N(n_2714),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1434_n_2099),
+	.Y(n_3675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440812 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
+	.A2_N(n_2714),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1427_n_2079),
+	.Y(n_3674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440813 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
+	.A2_N(n_2714),
+	.B1(n_2233),
+	.B2(n_2128),
+	.Y(n_3673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440814 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
+	.B1(n_2486),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440815 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
+	.B1(n_2486),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440816 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
+	.B1(n_2486),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.X(n_3670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440817 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
+	.B1(n_2486),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440818 (
+	.A1(n_2764),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
+	.B1(n_2486),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440819 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
+	.B1(n_2691),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440820 (
+	.A1(n_2710),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
+	.B1(n_2691),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440821 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
+	.B1(n_2509),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440822 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
+	.B1(n_2509),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440823 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
+	.B1(n_2509),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440824 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
+	.B1(n_2509),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440825 (
+	.A1(n_2826),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
+	.B1(n_2509),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440826 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
+	.B1(n_2531),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440827 (
+	.A1(n_2441),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
+	.B1(n_2531),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440828 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.B1(n_2121),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440829 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
+	.B1(n_2435),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440830 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
+	.B1(n_2435),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440831 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
+	.B1(n_2435),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440832 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
+	.B1(n_2435),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440833 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
+	.B1(n_2435),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440834 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
+	.B1(n_2435),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440835 (
+	.A1(n_2456),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
+	.B1(n_2435),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_3651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440836 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
+	.B1(n_2459),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440837 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
+	.B1(n_2459),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440838 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
+	.B1(n_2459),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440839 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
+	.B1(n_2459),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440840 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
+	.B1(n_2459),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440841 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
+	.B1(n_2493),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440842 (
+	.A1(n_2518),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
+	.B1(n_2459),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_3644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440843 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
+	.B1(n_2532),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440844 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
+	.B1(n_2532),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440845 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
+	.B1(n_2532),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440846 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
+	.B1(n_2532),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440847 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
+	.B1(n_2532),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440848 (
+	.A1(n_2735),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
+	.B1(n_2532),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440849 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
+	.B1(n_2460),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440850 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
+	.A2_N(n_2440),
+	.B1(n_2106),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440851 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
+	.B1(n_2460),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440852 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
+	.B1(n_2460),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440853 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
+	.B1(n_2460),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440854 (
+	.A1(n_2729),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
+	.B1(n_2460),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440855 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
+	.B1(n_2500),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440856 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
+	.B1(n_2500),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440857 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
+	.B1(n_2500),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440858 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
+	.B1(n_2500),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440859 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
+	.B1(n_2500),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440860 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
+	.B1(n_2500),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440861 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
+	.B1(n_2500),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440862 (
+	.A1(n_2711),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
+	.B1(n_2500),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440863 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
+	.B1(n_2706),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440864 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
+	.B1(n_2706),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440865 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
+	.B1(n_2706),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440866 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
+	.B1(n_2706),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.X(n_3620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440867 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
+	.B1(n_2706),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440868 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
+	.B1(n_2706),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440869 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
+	.B1(n_2706),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440870 (
+	.A1(n_2712),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
+	.B1(n_2706),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_3616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440871 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
+	.B1(n_2445),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440872 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
+	.B1(n_2445),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440873 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
+	.B1(n_2445),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440874 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
+	.B1(n_2445),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440875 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
+	.B1(n_2445),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440876 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
+	.B1(n_2445),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440877 (
+	.A1(n_2715),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
+	.B1(n_2445),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440878 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
+	.B1(n_2434),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440879 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
+	.B1(n_2434),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440880 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
+	.B1(n_2434),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440881 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
+	.B1(n_2434),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440882 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
+	.B1(n_2434),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440883 (
+	.A1(n_2732),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
+	.B1(n_2434),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440884 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
+	.B1(n_2530),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440885 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
+	.B1(n_2530),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440886 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
+	.B1(n_2530),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440887 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
+	.B1(n_2530),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440888 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
+	.B1(n_2530),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440889 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
+	.B1(n_2530),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440890 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
+	.B1(n_2530),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440891 (
+	.A1(n_2517),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
+	.B1(n_2530),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440892 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
+	.A2_N(n_2440),
+	.B1(n_2108),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440893 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
+	.B1(n_2115),
+	.B2(n_2248),
+	.X(n_3593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440894 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_3592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440895 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2093),
+	.Y(n_3591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440896 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
+	.B1(n_2096),
+	.B2(n_2248),
+	.X(n_3590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440897 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
+	.B1(n_2126),
+	.B2(n_2248),
+	.X(n_3589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440898 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
+	.B1(n_2014),
+	.B2(n_2248),
+	.X(n_3588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440899 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
+	.A2_N(n_2774),
+	.B1(n_2233),
+	.B2(n_2087),
+	.Y(n_3587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440900 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
+	.B1(n_2076),
+	.B2(n_2248),
+	.X(n_3586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440901 (
+	.A1(n_2453),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
+	.B1(n_2114),
+	.B2(n_2248),
+	.X(n_3585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440902 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
+	.B1(n_2423),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440903 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
+	.B1(n_2423),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440904 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
+	.B1(n_2423),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440905 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
+	.B1(n_2423),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440906 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
+	.B1(n_2423),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440907 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
+	.B1(n_2423),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440908 (
+	.A1(n_2476),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
+	.B1(n_2423),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440909 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2130),
+	.Y(n_3577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440910 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_3576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440911 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2035),
+	.Y(n_3575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440912 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_3574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440913 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_3573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440914 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
+	.A2_N(n_2755),
+	.B1(FE_OFN907_n_2226),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_3572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440915 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2130),
+	.Y(n_3571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440916 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_3570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440917 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2017),
+	.Y(n_3569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440918 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2035),
+	.Y(n_3568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440919 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_3567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440920 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2070),
+	.Y(n_3566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440921 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2095),
+	.Y(n_3565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440922 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.A2_N(n_2508),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2091),
+	.Y(n_3564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440923 (
+	.A1(n_2462),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.B1(n_2129),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440924 (
+	.A1(n_2462),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.B1(n_2036),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440925 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.A2_N(n_2462),
+	.B1(n_2234),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_3561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440926 (
+	.A1(n_2462),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.B1(n_2034),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440927 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.A2_N(n_2462),
+	.B1(n_2234),
+	.B2(n_2071),
+	.Y(n_3559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440928 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.A2_N(n_2462),
+	.B1(n_2234),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_3558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440929 (
+	.A1(n_2462),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.B1(n_2094),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440930 (
+	.A1(n_2462),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.B1(n_2090),
+	.B2(FE_OFN915_n_2235),
+	.X(n_3556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440931 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2130),
+	.Y(n_3555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440932 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_3554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440933 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2035),
+	.Y(n_3553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440934 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_3552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440935 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2070),
+	.Y(n_3551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440936 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.A2_N(n_2489),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2095),
+	.Y(n_3550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440937 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.A2_N(n_2753),
+	.B1(n_2112),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440938 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.A2_N(n_2753),
+	.B1(n_2108),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440939 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.A2_N(n_2753),
+	.B1(n_2107),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440940 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.A2_N(n_2753),
+	.B1(n_2102),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440941 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.A2_N(n_2753),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440942 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.A2_N(n_2753),
+	.B1(n_2081),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440943 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.A2_N(n_2753),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN907_n_2226),
+	.Y(n_3543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440944 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.A2_N(n_2507),
+	.B1(n_2106),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_3542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440945 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.A2_N(n_2507),
+	.B1(n_2108),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_3541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440946 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.A2_N(n_2507),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_3540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440947 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.A2_N(n_2507),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_3539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440948 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.A2_N(n_2507),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_3538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440949 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.A2_N(n_2507),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN917_n_2238),
+	.Y(n_3537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440950 (
+	.A1(n_2461),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2111),
+	.X(n_3536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440951 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.A2_N(n_2461),
+	.B1(n_2108),
+	.B2(n_2234),
+	.Y(n_3535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440952 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.A2_N(n_2461),
+	.B1(n_2107),
+	.B2(n_2234),
+	.Y(n_3534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440953 (
+	.A1(n_2461),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2101),
+	.X(n_3533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440954 (
+	.A1(n_2461),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2080),
+	.X(n_3532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440955 (
+	.A1(n_2461),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.B1(FE_OFN915_n_2235),
+	.B2(n_2133),
+	.X(n_3531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440956 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.A2_N(n_2490),
+	.B1(n_2106),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_3530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440957 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.A2_N(n_2490),
+	.B1(FE_OFN1438_n_2107),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_3529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440958 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.A2_N(n_2490),
+	.B1(FE_OFN1436_n_2102),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_3528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440959 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.A2_N(n_2490),
+	.B1(n_2081),
+	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.Y(n_3527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440960 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(n_2037),
+	.Y(n_3526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440961 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_3525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440962 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(n_2035),
+	.Y(n_3524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440963 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_3523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440964 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_3522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440965 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(FE_OFN1432_n_2095),
+	.Y(n_3521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440966 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.A2_N(n_2833),
+	.B1(n_2237),
+	.B2(n_2091),
+	.Y(n_3520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440967 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2130),
+	.Y(n_3519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440968 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2017),
+	.Y(n_3518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440969 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2035),
+	.Y(n_3517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440970 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2071),
+	.Y(n_3516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440971 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2070),
+	.Y(n_3515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440972 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2095),
+	.Y(n_3514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440973 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.A2_N(n_2505),
+	.B1(FE_OFN893_n_2007),
+	.B2(n_2091),
+	.Y(n_3513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440974 (
+	.A1(n_2759),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.B1(n_2036),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440975 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.A2_N(n_2759),
+	.B1(n_2239),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_3511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440976 (
+	.A1(n_2759),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.B1(n_2034),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440977 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.A2_N(n_2759),
+	.B1(n_2239),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_3509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440978 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.A2_N(n_2759),
+	.B1(n_2239),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_3508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440979 (
+	.A1(n_2759),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.B1(n_2090),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440980 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(n_2130),
+	.Y(n_3506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440981 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(FE_OFN1408_n_2037),
+	.Y(n_3505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440982 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(n_2035),
+	.Y(n_3504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440983 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(n_2070),
+	.Y(n_3503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440984 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.A2_N(n_2491),
+	.B1(n_2006),
+	.B2(n_2091),
+	.Y(n_3502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440985 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.A2_N(n_2830),
+	.B1(n_2112),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440986 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.A2_N(n_2830),
+	.B1(n_2108),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440987 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.A2_N(n_2830),
+	.B1(n_2107),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440988 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.A2_N(n_2830),
+	.B1(n_2102),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440989 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.A2_N(n_2830),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440990 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.A2_N(n_2830),
+	.B1(n_2081),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g440991 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.A2_N(n_2830),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN916_n_2237),
+	.Y(n_3495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440992 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.B1(n_2450),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440993 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.B1(n_2450),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440994 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.B1(n_2450),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440995 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.B1(n_2450),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440996 (
+	.A1(n_2504),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.B1(n_2450),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440997 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.B1(n_2528),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440998 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.B1(n_2528),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g440999 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.B1(n_2528),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441000 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.B1(n_2528),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441001 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.B1(n_2528),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441002 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.B1(n_2528),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441003 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.B1(n_2528),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441004 (
+	.A1(n_2763),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.B1(n_2528),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441005 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.B1(n_2529),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441006 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.B1(n_2529),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441007 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.B1(n_2529),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441008 (
+	.A1(n_2492),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.B1(n_2529),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441009 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.A2_N(n_2784),
+	.B1(n_2226),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_3477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441010 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.A2_N(n_2842),
+	.B1(n_2018),
+	.B2(FE_OFN909_n_2229),
+	.Y(n_3476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441011 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.A2_N(n_2784),
+	.B1(n_2226),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_3475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441012 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.A2_N(n_2784),
+	.B1(n_2226),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_3474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441013 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.A2_N(n_2784),
+	.B1(n_2226),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_3473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441014 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.A2_N(n_2784),
+	.B1(n_2226),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441015 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.A2_N(n_2784),
+	.B1(FE_OFN907_n_2226),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_3471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441016 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.B1(n_2769),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441017 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
+	.B1(n_2074),
+	.B2(n_2256),
+	.X(n_3469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441018 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.B1(n_2769),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441019 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.B1(n_2769),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441020 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
+	.A2_N(n_2789),
+	.B1(FE_OFN904_n_2222),
+	.B2(FE_OFN1442_n_2122),
+	.Y(n_3466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441021 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
+	.B1(n_2769),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
+	.X(n_3465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441022 (
+	.A1(n_2778),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
+	.B1(n_2769),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441023 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
+	.B1(n_2802),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441024 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
+	.B1(n_2802),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441025 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
+	.B1(n_2802),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441026 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
+	.B1(n_2802),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.X(n_3460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441027 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
+	.B1(n_2802),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
+	.X(n_3459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441028 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
+	.B1(n_2802),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441029 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
+	.B1(n_2802),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441030 (
+	.A1(n_2781),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
+	.B1(n_2802),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441031 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
+	.B1(n_2768),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441032 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
+	.B1(n_2768),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441033 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
+	.B1(n_2768),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441034 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
+	.B1(n_2768),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441035 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
+	.B1(n_2768),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441036 (
+	.A1(n_2799),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
+	.B1(n_2768),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441037 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2059),
+	.Y(n_3449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441038 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2057),
+	.Y(n_3448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441039 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2054),
+	.Y(n_3447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441040 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2061),
+	.Y(n_3446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441041 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(n_2037),
+	.Y(n_3445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441042 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2066),
+	.Y(n_3444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441043 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2063),
+	.Y(n_3443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441044 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2069),
+	.Y(n_3442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441045 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
+	.A2_N(n_2754),
+	.B1(FE_OFN907_n_2226),
+	.B2(n_2065),
+	.Y(n_3441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441046 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
+	.B1(n_2493),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441047 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2085),
+	.Y(n_3439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441048 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
+	.B1(n_2493),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441049 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
+	.A2_N(n_2463),
+	.B1(FE_OFN906_n_2224),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441050 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
+	.B1(n_2493),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441051 (
+	.A1(n_2685),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
+	.B1(n_2493),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441052 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
+	.B1(n_2707),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441053 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
+	.B1(n_2707),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441054 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
+	.B1(n_2707),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441055 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
+	.B1(n_2707),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441056 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
+	.B1(n_2707),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441057 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
+	.B1(n_2707),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441058 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
+	.B1(n_2707),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441059 (
+	.A1(n_2687),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
+	.B1(n_2707),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_3427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441060 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
+	.B1(n_2485),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441061 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
+	.B1(n_2485),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441062 (
+	.A1(n_2716),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
+	.B1(n_2485),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441063 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
+	.B1(n_2787),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441064 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
+	.B1(n_2787),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441065 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
+	.B1(n_2787),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441066 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
+	.B1(n_2787),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441067 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
+	.B1(n_2787),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441068 (
+	.A1(n_2829),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
+	.B1(n_2787),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441069 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
+	.B1(n_2770),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441070 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
+	.B1(n_2770),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441071 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
+	.B1(n_2770),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441072 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
+	.B1(n_2770),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441073 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
+	.B1(n_2770),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441074 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
+	.B1(n_2839),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441075 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
+	.B1(n_2839),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441076 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
+	.B1(n_2839),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441077 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
+	.B1(n_2839),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441078 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
+	.A2_N(n_2862),
+	.B1(n_2230),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_3408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441079 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
+	.B1(n_2839),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441080 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
+	.B1(n_2839),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441081 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
+	.B1(n_2839),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441082 (
+	.A1(n_2783),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
+	.B1(n_2839),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_3404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441083 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
+	.B1(n_2772),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441084 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
+	.B1(n_2772),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441085 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
+	.B1(n_2772),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441086 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
+	.B1(n_2692),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441087 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
+	.B1(n_2692),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441088 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
+	.B1(n_2692),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441089 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
+	.B1(n_2692),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441090 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
+	.B1(n_2692),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441091 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
+	.B1(n_2692),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441092 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
+	.B1(n_2692),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441093 (
+	.A1(n_2831),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
+	.B1(n_2692),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441094 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
+	.B1(n_2484),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441095 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
+	.B1(n_2484),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441096 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
+	.B1(n_2484),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441097 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
+	.B1(n_2484),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441098 (
+	.A1(n_2742),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
+	.B1(n_2484),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441099 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
+	.B1(n_2723),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.X(n_3387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441100 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
+	.B1(n_2723),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.X(n_3386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441101 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
+	.B1(n_2723),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.X(n_3385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441102 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
+	.B1(n_2723),
+	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441103 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
+	.B1(n_2723),
+	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441104 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
+	.B1(n_2723),
+	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.X(n_3382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441105 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
+	.A2_N(n_2695),
+	.B1(FE_OFN904_n_2222),
+	.B2(n_2099),
+	.Y(n_3381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441106 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
+	.B1(n_2723),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.X(n_3380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441107 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
+	.A2_N(n_2454),
+	.B1(n_1998),
+	.B2(n_2057),
+	.Y(n_3379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441108 (
+	.A1(n_2762),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
+	.B1(n_2723),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.X(n_3378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441109 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
+	.B1(n_2479),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441110 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
+	.B1(n_2479),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441111 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
+	.B1(n_2479),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441112 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
+	.B1(n_2479),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441113 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2066),
+	.Y(n_3373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441114 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
+	.B1(n_2479),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441115 (
+	.A1(n_2684),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
+	.B1(n_2479),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441116 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
+	.B1(n_2503),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441117 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
+	.B1(n_2503),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441118 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
+	.B1(n_2503),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441119 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
+	.B1(n_2503),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441120 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
+	.B1(n_2503),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441121 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
+	.B1(n_2503),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441122 (
+	.A1(n_2439),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
+	.B1(n_2503),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441123 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
+	.B1(n_2520),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441124 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
+	.B1(n_2520),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441125 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
+	.B1(n_2520),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441126 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
+	.B1(n_2520),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441127 (
+	.A1(n_2496),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
+	.B1(n_2520),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441128 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
+	.B1(n_2458),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441129 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
+	.B1(n_2458),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441130 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
+	.B1(n_2458),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441131 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
+	.B1(n_2458),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441132 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
+	.B1(n_2458),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441133 (
+	.A1(n_2488),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
+	.B1(n_2458),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441134 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
+	.B1(n_2502),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441135 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
+	.B1(n_2502),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441136 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
+	.B1(n_2502),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441137 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
+	.B1(n_2502),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441138 (
+	.A1(n_2468),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
+	.B1(n_2502),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441139 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
+	.A2_N(n_2440),
+	.B1(n_2112),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441140 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
+	.A2_N(n_2440),
+	.B1(n_2107),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441141 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
+	.A2_N(n_2440),
+	.B1(FE_OFN1406_n_2016),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441142 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
+	.A2_N(n_2440),
+	.B1(FE_OFN1446_n_2134),
+	.B2(FE_OFN913_n_2233),
+	.Y(n_3344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441143 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2106),
+	.Y(n_3343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441144 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1440_n_2112),
+	.Y(n_3342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441145 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2108),
+	.Y(n_3341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441146 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1438_n_2107),
+	.Y(n_3340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441147 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1436_n_2102),
+	.Y(n_3339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441148 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1406_n_2016),
+	.Y(n_3338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441149 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2081),
+	.Y(n_3337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441150 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
+	.A2_N(n_2495),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1446_n_2134),
+	.Y(n_3336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441151 (
+	.A1(n_2487),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
+	.B1(n_2105),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441152 (
+	.A1(n_2487),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
+	.B1(n_2111),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441153 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
+	.A2_N(n_2487),
+	.B1(n_2108),
+	.B2(n_2249),
+	.Y(n_3333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441154 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
+	.A2_N(n_2487),
+	.B1(n_2107),
+	.B2(n_2249),
+	.Y(n_3332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441155 (
+	.A1(n_2487),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
+	.B1(n_2101),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441156 (
+	.A1(n_2487),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
+	.B1(n_2015),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441157 (
+	.A1(n_2487),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
+	.B1(n_2080),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441158 (
+	.A1(n_2487),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
+	.B1(n_2133),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441159 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
+	.A2_N(n_2467),
+	.B1(n_2011),
+	.B2(n_2106),
+	.Y(n_3327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441160 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
+	.A2_N(n_2467),
+	.B1(n_2011),
+	.B2(n_2108),
+	.Y(n_3326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441161 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
+	.A2_N(n_2467),
+	.B1(n_2011),
+	.B2(FE_OFN1438_n_2107),
+	.Y(n_3325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441162 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
+	.A2_N(n_2467),
+	.B1(n_2011),
+	.B2(FE_OFN1436_n_2102),
+	.Y(n_3324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441163 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
+	.A2_N(n_2467),
+	.B1(n_2011),
+	.B2(n_2081),
+	.Y(n_3323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441164 (
+	.A1(n_2727),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
+	.B1(n_2129),
+	.B2(FE_OFN923_n_2252),
+	.X(n_3322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441165 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
+	.A2_N(n_2727),
+	.B1(n_2251),
+	.B2(FE_OFN1407_n_2017),
+	.Y(n_3321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441166 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
+	.A2_N(n_2727),
+	.B1(n_2251),
+	.B2(FE_OFN1423_n_2071),
+	.Y(n_3320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441167 (
+	.A1(n_2727),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
+	.B1(n_2094),
+	.B2(FE_OFN923_n_2252),
+	.X(n_3319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441168 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
+	.B1(n_2501),
+	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441169 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
+	.B1(n_2501),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441170 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
+	.B1(n_2501),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441171 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
+	.B1(n_2501),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441172 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
+	.B1(n_2501),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441173 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
+	.B1(n_2501),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441174 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
+	.B1(n_2501),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441175 (
+	.A1(n_2499),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
+	.B1(n_2501),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441176 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
+	.B1(n_2846),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441177 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
+	.B1(n_2846),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441178 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
+	.B1(n_2846),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441179 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
+	.B1(n_2846),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441180 (
+	.A1(n_2452),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
+	.B1(n_2846),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441181 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
+	.B1(n_2533),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441182 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
+	.B1(n_2533),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441183 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
+	.B1(n_2533),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441184 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
+	.B1(n_2533),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441185 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
+	.B1(n_2533),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441186 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
+	.B1(n_2533),
+	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441187 (
+	.A1(n_2478),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
+	.B1(n_2533),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441188 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
+	.B1(n_2433),
+	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441189 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
+	.B1(n_2433),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441190 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
+	.B1(n_2433),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441191 (
+	.A1(n_2728),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
+	.B1(n_2433),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441192 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
+	.B1(n_2527),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441193 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
+	.B1(n_2527),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441194 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
+	.B1(n_2527),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441195 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
+	.B1(n_2527),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441196 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
+	.B1(n_2527),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441197 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
+	.B1(n_2527),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441198 (
+	.A1(n_2498),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
+	.B1(n_2527),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441199 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
+	.B1(n_2838),
+	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.X(n_3287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441200 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
+	.B1(n_2838),
+	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441201 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
+	.B1(n_2838),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441202 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
+	.B1(n_2838),
+	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441203 (
+	.A1(n_2455),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
+	.B1(n_2838),
+	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441204 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
+	.B1(n_2422),
+	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.X(n_3282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441205 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
+	.B1(n_2422),
+	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441206 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
+	.B1(n_2422),
+	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441207 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
+	.B1(n_2422),
+	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441208 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
+	.B1(n_2422),
+	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.X(n_3278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441209 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
+	.B1(n_2422),
+	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441210 (
+	.A1(n_2475),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
+	.B1(n_2422),
+	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.X(n_3276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441211 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
+	.A2_N(n_2774),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_3275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441212 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
+	.A2_N(n_2774),
+	.B1(n_2233),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_3274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441213 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
+	.A2_N(n_2731),
+	.B1(n_2251),
+	.B2(n_2069),
+	.Y(n_3273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441214 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
+	.A2_N(n_2774),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_3272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441215 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
+	.A2_N(n_2774),
+	.B1(FE_OFN913_n_2233),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441216 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
+	.A2_N(n_2774),
+	.B1(n_2233),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_3270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441217 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2087),
+	.Y(n_3269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441218 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1424_n_2073),
+	.Y(n_3268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441219 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2120),
+	.Y(n_3267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441220 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441221 (
+	.A1(n_2776),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
+	.B1(n_2086),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441222 (
+	.A1(n_2776),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
+	.B1(n_2088),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441223 (
+	.A1(n_2776),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
+	.B1(n_2072),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441224 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
+	.A2_N(n_2776),
+	.B1(n_2249),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_3262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441225 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
+	.A2_N(n_2776),
+	.B1(n_2249),
+	.B2(n_2120),
+	.Y(n_3261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441226 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
+	.A2_N(n_2776),
+	.B1(n_2249),
+	.B2(n_2124),
+	.Y(n_3260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441227 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
+	.A2_N(n_2776),
+	.B1(n_2249),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441228 (
+	.A1(n_2776),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
+	.B1(n_2131),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441229 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2087),
+	.Y(n_3257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441230 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2089),
+	.Y(n_3256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441231 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2093),
+	.Y(n_3255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441232 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_3254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441233 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_3253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441234 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2075),
+	.Y(n_3252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441235 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
+	.A2_N(n_2859),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2132),
+	.Y(n_3251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441236 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
+	.A2_N(n_2837),
+	.B1(FE_OFN913_n_2233),
+	.B2(n_2059),
+	.Y(n_3250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441237 (
+	.A1(n_2464),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
+	.B1(n_2114),
+	.B2(FE_OFN914_n_2235),
+	.X(n_3249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441238 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
+	.A2_N(n_2837),
+	.B1(FE_OFN913_n_2233),
+	.B2(n_2057),
+	.Y(n_3248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441239 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
+	.A2_N(n_2837),
+	.B1(FE_OFN913_n_2233),
+	.B2(n_2061),
+	.Y(n_3247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441240 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
+	.A2_N(n_2837),
+	.B1(FE_OFN913_n_2233),
+	.B2(n_2063),
+	.Y(n_3246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441241 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
+	.A2_N(n_2837),
+	.B1(FE_OFN913_n_2233),
+	.B2(n_2069),
+	.Y(n_3245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441242 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
+	.A2_N(n_2837),
+	.B1(FE_OFN913_n_2233),
+	.B2(n_2065),
+	.Y(n_3244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441243 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_3243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441244 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(n_2054),
+	.Y(n_3242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441245 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441246 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_3240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441247 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_3239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441248 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
+	.A2_N(n_2689),
+	.B1(n_2249),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_3238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441249 (
+	.A1(n_2689),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
+	.B1(FE_OFN1415_n_2055),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441250 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
+	.A2_N(n_2689),
+	.B1(n_2249),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441251 (
+	.A1(n_2689),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
+	.B1(FE_OFN1420_n_2067),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441252 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
+	.A2_N(n_2689),
+	.B1(n_2249),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_3234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441253 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.A2_N(n_2689),
+	.B1(n_2249),
+	.B2(n_2069),
+	.Y(n_3233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441254 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.A2_N(n_2689),
+	.B1(n_2249),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_3232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441255 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2059),
+	.Y(n_3231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441256 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_3230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441257 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(n_2054),
+	.Y(n_3229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441258 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441259 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
+	.A2_N(n_2798),
+	.B1(FE_OFN894_n_2011),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_3227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441260 (
+	.A1(n_2779),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
+	.B1(n_2088),
+	.B2(FE_OFN923_n_2252),
+	.X(n_3226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441261 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
+	.A2_N(n_2737),
+	.B1(FE_OFN892_n_2004),
+	.B2(n_2083),
+	.Y(n_3225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441262 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
+	.A2_N(n_2779),
+	.B1(n_2251),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_3224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441263 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
+	.A2_N(n_2779),
+	.B1(n_2251),
+	.B2(FE_OFN1441_n_2120),
+	.Y(n_3223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441264 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
+	.A2_N(n_2779),
+	.B1(n_2251),
+	.B2(FE_OFN1443_n_2124),
+	.Y(n_3222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441265 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
+	.A2_N(n_2779),
+	.B1(n_2251),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441266 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(n_2087),
+	.Y(n_3220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441267 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(FE_OFN1430_n_2089),
+	.Y(n_3219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441268 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(n_2120),
+	.Y(n_3218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441269 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441270 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
+	.A2_N(n_2775),
+	.B1(n_2137),
+	.B2(n_2132),
+	.Y(n_3216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441271 (
+	.A1(n_2780),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
+	.B1(n_2086),
+	.B2(n_2248),
+	.X(n_3215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441272 (
+	.A1(n_2780),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
+	.B1(n_2088),
+	.B2(n_2248),
+	.X(n_3214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441273 (
+	.A1(n_2780),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
+	.B1(n_2072),
+	.B2(n_2248),
+	.X(n_3213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441274 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
+	.A2_N(n_2780),
+	.B1(n_2247),
+	.B2(FE_OFN1431_n_2093),
+	.Y(n_3212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441275 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
+	.A2_N(n_2780),
+	.B1(n_2247),
+	.B2(n_2120),
+	.Y(n_3211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441276 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
+	.A2_N(n_2780),
+	.B1(n_2247),
+	.B2(n_2124),
+	.Y(n_3210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441277 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
+	.A2_N(n_2780),
+	.B1(n_2247),
+	.B2(FE_OFN1425_n_2075),
+	.Y(n_3209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441278 (
+	.A1(n_2780),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
+	.B1(n_2131),
+	.B2(n_2248),
+	.X(n_3208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441279 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
+	.B1(n_2086),
+	.B2(n_2256),
+	.X(n_3207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441280 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
+	.B1(n_2072),
+	.B2(n_2256),
+	.X(n_3206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441281 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
+	.B1(n_2092),
+	.B2(n_2256),
+	.X(n_3205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441282 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.A2_N(n_2785),
+	.B1(FE_OFN889_n_1994),
+	.B2(FE_OFN1439_n_2110),
+	.Y(n_3204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441283 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
+	.B1(n_2119),
+	.B2(n_2256),
+	.X(n_3203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441284 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
+	.B1(n_2131),
+	.B2(n_2256),
+	.X(n_3202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441285 (
+	.A1(n_2731),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
+	.B1(n_2058),
+	.B2(FE_OFN923_n_2252),
+	.X(n_3201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441286 (
+	.A1(n_2731),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
+	.B1(n_2055),
+	.B2(FE_OFN923_n_2252),
+	.X(n_3200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441287 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
+	.A2_N(n_2825),
+	.B1(FE_OFN895_n_2012),
+	.B2(n_2124),
+	.Y(n_3199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441288 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
+	.A2_N(n_2731),
+	.B1(n_2251),
+	.B2(n_2061),
+	.Y(n_3198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441289 (
+	.A1(n_2731),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
+	.B1(n_2067),
+	.B2(FE_OFN923_n_2252),
+	.X(n_3197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441290 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
+	.A2_N(n_2731),
+	.B1(n_2251),
+	.B2(n_2063),
+	.Y(n_3196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441291 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
+	.A2_N(n_2731),
+	.B1(n_2251),
+	.B2(n_2065),
+	.Y(n_3195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441292 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_3194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441293 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(n_2054),
+	.Y(n_3193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441294 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441295 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_3191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441296 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(n_2069),
+	.Y(n_3190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441297 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
+	.A2_N(n_2686),
+	.B1(n_2247),
+	.B2(FE_OFN1416_n_2057),
+	.Y(n_3189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441298 (
+	.A1(n_2686),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
+	.B1(FE_OFN1415_n_2055),
+	.B2(n_2248),
+	.X(n_3188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441299 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
+	.A2_N(n_2686),
+	.B1(n_2247),
+	.B2(FE_OFN1417_n_2061),
+	.Y(n_3187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441300 (
+	.A1(n_2686),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
+	.B1(FE_OFN1420_n_2067),
+	.B2(n_2248),
+	.X(n_3186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441301 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
+	.A2_N(n_2686),
+	.B1(n_2247),
+	.B2(FE_OFN1418_n_2063),
+	.Y(n_3185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441302 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
+	.A2_N(n_2686),
+	.B1(n_2247),
+	.B2(n_2069),
+	.Y(n_3184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441303 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
+	.A2_N(n_2686),
+	.B1(n_2247),
+	.B2(FE_OFN1419_n_2065),
+	.Y(n_3183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441304 (
+	.A1(n_2777),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
+	.B1(n_2772),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441305 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
+	.B1(n_2058),
+	.B2(n_2256),
+	.X(n_3181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441306 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
+	.B1(n_2056),
+	.B2(n_2256),
+	.X(n_3180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441307 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
+	.B1(FE_OFN1415_n_2055),
+	.B2(n_2256),
+	.X(n_3179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441308 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
+	.B1(n_2060),
+	.B2(n_2256),
+	.X(n_3178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441309 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
+	.B1(FE_OFN1420_n_2067),
+	.B2(n_2256),
+	.X(n_3177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441310 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
+	.B1(n_2062),
+	.B2(n_2256),
+	.X(n_3176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441311 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
+	.B1(n_2068),
+	.B2(n_2256),
+	.X(n_3175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441312 (
+	.A1(n_2688),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
+	.B1(n_2064),
+	.B2(n_2256),
+	.X(n_3174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441313 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
+	.A2_N(n_2690),
+	.B1(n_2137),
+	.B2(n_2059),
+	.Y(n_3173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441314 (
+	.A1(n_2438),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.B1(n_2795),
+	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.X(n_3172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441315 (
+	.A1(n_2465),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
+	.B1(n_2819),
+	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.X(n_3171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441316 (
+	.A1(n_2840),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
+	.B1(n_2770),
+	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.X(n_3170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441317 (
+	.A1(n_2782),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
+	.B1(n_2123),
+	.B2(n_2256),
+	.X(n_3169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441318 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
+	.A2_N(n_2443),
+	.B1(n_2030),
+	.B2(n_2006),
+	.Y(n_3168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441319 (
+	.A1(n_2689),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
+	.B1(n_2058),
+	.B2(FE_OFN922_n_2250),
+	.X(n_3167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441320 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
+	.A2_N(n_2519),
+	.B1(n_2238),
+	.B2(n_2117),
+	.Y(n_3166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441321 (
+	.A1(n_2743),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
+	.B1(n_2790),
+	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.X(n_3165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441322 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
+	.A2_N(n_2511),
+	.B1(n_2219),
+	.B2(n_2066),
+	.Y(n_3164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441323 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2020),
+	.Y(n_3163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441324 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
+	.A2_N(n_2473),
+	.B1(FE_OFN896_n_2215),
+	.B2(n_2095),
+	.Y(n_3162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441325 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2030),
+	.Y(n_3161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441326 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
+	.A2_N(n_2482),
+	.B1(FE_OFN917_n_2238),
+	.B2(n_2032),
+	.Y(n_3160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441327 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
+	.A2_N(n_2510),
+	.B1(FE_OFN897_n_2216),
+	.B2(n_2059),
+	.Y(n_3159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441328 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
+	.A2_N(n_2734),
+	.B1(n_2012),
+	.B2(n_2059),
+	.Y(n_3158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441329 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
+	.A2_N(n_2696),
+	.B1(n_2223),
+	.B2(n_2087),
+	.Y(n_3157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441330 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2044),
+	.Y(n_3156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441331 (
+	.A1(n_2760),
+	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.B1(n_2114),
+	.B2(FE_OFN918_n_2240),
+	.X(n_3155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441332 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2048),
+	.Y(n_3154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441333 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
+	.A2_N(n_2860),
+	.B1(n_2237),
+	.B2(n_2052),
+	.Y(n_3153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441334 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
+	.A2_N(n_2730),
+	.B1(n_1996),
+	.B2(FE_OFN1445_n_2132),
+	.Y(n_3152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441335 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
+	.A2_N(n_2815),
+	.B1(n_2218),
+	.B2(FE_OFN1422_n_2070),
+	.Y(n_3151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441336 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
+	.A2_N(n_2849),
+	.B1(n_2022),
+	.B2(FE_OFN905_n_2223),
+	.Y(n_3150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441337 (
+	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
+	.A2_N(n_2844),
+	.B1(n_2032),
+	.B2(FE_OFN902_n_2219),
+	.Y(n_3149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441338 (
+	.A(n_3140),
+	.Y(n_3139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441339 (
+	.A(n_3135),
+	.Y(n_3134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441340 (
+	.A(n_3133),
+	.Y(n_3132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441341 (
+	.A(n_3131),
+	.Y(n_3130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441342 (
+	.A(n_3128),
+	.Y(n_3127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441343 (
+	.A(n_3125),
+	.Y(n_3126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441344 (
+	.A(n_3122),
+	.Y(n_3121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441345 (
+	.A(n_3120),
+	.Y(n_3119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441346 (
+	.A(n_3116),
+	.Y(n_3115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441347 (
+	.A(n_3105),
+	.Y(n_3104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441348 (
+	.A(n_3102),
+	.Y(n_3103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g441349 (
+	.A(n_3100),
+	.Y(n_3099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441350 (
+	.A(n_3098),
+	.Y(n_3097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441351 (
+	.A(n_3096),
+	.Y(n_3095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441353 (
+	.A(n_15920),
+	.Y(n_3090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g441354 (
+	.A(n_3085),
+	.Y(n_3084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441355 (
+	.A(n_3082),
+	.Y(n_3081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441357 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[22]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[22]),
+	.C1(n_1950),
+	.Y(n_3073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441358 (
+	.A1(n_1078),
+	.A2(n_2288),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_3072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441359 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[16]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[16]),
+	.C1(n_1974),
+	.Y(n_3071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g441360 (
+	.A1(n_15926),
+	.A2(FE_OFN31_io_out_37),
+	.B1(n_626),
+	.C1(n_2158),
+	.X(n_3070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441361 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_2358),
+	.Y(n_3069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g441362 (
+	.A1(n_1078),
+	.A2(n_1833),
+	.A3(n_2158),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_3068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g441363 (
+	.A1(n_1070),
+	.A2(n_1832),
+	.A3(n_2159),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_3067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g441364 (
+	.A(n_1270),
+	.B(n_1733),
+	.C(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.D(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_3066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441365 (
+	.A1(n_1935),
+	.A2(n_2158),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_3065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g441366 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.A2(n_1047),
+	.A3(n_1461),
+	.A4(n_1266),
+	.B1(n_1933),
+	.Y(n_3064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g441367 (
+	.A1(n_2152),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_2891),
+	.X(n_3063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441368 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[29]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[29]),
+	.C1(n_1957),
+	.Y(n_3062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g441369 (
+	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.B(n_2583),
+	.X(n_3061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441370 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[28]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[28]),
+	.C1(n_1979),
+	.Y(n_3060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441371 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[27]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[27]),
+	.C1(n_1956),
+	.Y(n_3059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441372 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[26]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[26]),
+	.C1(n_1983),
+	.Y(n_3058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441373 (
+	.A(n_2545),
+	.B(n_2621),
+	.Y(n_3057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441374 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[25]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[25]),
+	.C1(n_1955),
+	.Y(n_3056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441375 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.B(n_2669),
+	.Y(n_3055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g441376 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B_N(n_1990),
+	.Y(n_3054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441377 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[24]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[24]),
+	.C1(n_1954),
+	.Y(n_3053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441378 (
+	.A(n_2545),
+	.B(n_2632),
+	.Y(n_3052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441379 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[23]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[23]),
+	.C1(n_1953),
+	.Y(n_3051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441380 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[18]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[18]),
+	.C1(n_1947),
+	.Y(n_3050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441381 (
+	.A(FE_OFN18274_n_2418),
+	.B(n_1391),
+	.Y(n_3049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441382 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[19]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[19]),
+	.C1(n_1987),
+	.Y(n_3048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441383 (
+	.A(n_2545),
+	.B(n_2618),
+	.Y(n_3047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441384 (
+	.A(n_2545),
+	.B(n_2626),
+	.Y(n_3046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441385 (
+	.A1(n_1941),
+	.A2(n_2159),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.Y(n_3045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441386 (
+	.A(FE_OFN18274_n_2418),
+	.B(n_1366),
+	.Y(n_3044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441387 (
+	.A(n_2893),
+	.B(n_1068),
+	.Y(n_3043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441388 (
+	.A1(n_1737),
+	.A2(n_1940),
+	.B1(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
+	.Y(n_3042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441390 (
+	.A(n_2303),
+	.B(n_2540),
+	.Y(n_3041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441391 (
+	.A(FE_OFN1476_n_2542),
+	.B(n_13310),
+	.Y(n_3148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441392 (
+	.A(n_2893),
+	.B(n_1255),
+	.Y(n_3147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441393 (
+	.A1(n_1928),
+	.A2(u_soc_u_uart_u_uart_core_tx_fifo_re),
+	.B1(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(n_3040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441394 (
+	.A(n_2537),
+	.B(n_1757),
+	.Y(n_3146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g441395 (
+	.A1(n_1244),
+	.A2(n_1562),
+	.B1(n_1073),
+	.C1(n_1951),
+	.Y(n_3145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g441396 (
+	.A(FE_OFN1639_n_16011),
+	.B_N(n_2608),
+	.Y(n_3144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g441397 (
+	.A_N(n_13345),
+	.B(n_2893),
+	.Y(n_3143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441402 (
+	.A(FE_OFN1639_n_16011),
+	.B(n_2603),
+	.Y(n_3142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441403 (
+	.A(n_2901),
+	.B(n_1450),
+	.Y(n_3141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441404 (
+	.A1(n_1876),
+	.A2(FE_OFN18933_u_soc_u_iccm_rdata3_25),
+	.B1(n_1875),
+	.B2(FE_OFN19376_u_soc_u_iccm_rdata2_25),
+	.C1(n_2375),
+	.Y(n_3140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441405 (
+	.A(n_2897),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
+	.Y(n_3138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441406 (
+	.A(FE_OFN1476_n_2542),
+	.B(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_3137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441407 (
+	.A(n_2893),
+	.B(FE_OFN18249_n_183),
+	.Y(n_3136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g441408 (
+	.A1(n_1883),
+	.A2(FE_OFN19483_u_soc_u_iccm_rdata4_29),
+	.B1(n_1876),
+	.B2(FE_OFN19383_u_soc_u_iccm_rdata3_29),
+	.C1(n_2352),
+	.Y(n_3135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441410 (
+	.A1(n_1883),
+	.A2(FE_OFN19470_u_soc_u_iccm_rdata4_31),
+	.B1(n_1876),
+	.B2(FE_OFN18958_u_soc_u_iccm_rdata3_31),
+	.C1(n_2353),
+	.Y(n_3133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441411 (
+	.A1(n_1883),
+	.A2(FE_OFN19447_u_soc_u_iccm_rdata4_28),
+	.B1(n_1876),
+	.B2(FE_OFN19420_u_soc_u_iccm_rdata3_28),
+	.C1(n_2363),
+	.Y(n_3131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441412 (
+	.A(n_1929),
+	.B(n_2538),
+	.Y(n_3129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441413 (
+	.A1(n_1883),
+	.A2(FE_OFN19002_u_soc_u_iccm_rdata4_26),
+	.B1(n_1876),
+	.B2(FE_OFN19415_u_soc_u_iccm_rdata3_26),
+	.C1(n_2360),
+	.Y(n_3128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441416 (
+	.A(FE_COEN4282_n_13467),
+	.B(n_2894),
+	.Y(n_3125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441418 (
+	.A(FE_OFN876_n_471),
+	.B(n_2537),
+	.Y(n_3124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441419 (
+	.A(FE_OFN876_n_471),
+	.B(n_2539),
+	.Y(n_3123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441420 (
+	.A1(n_1880),
+	.A2(FE_OFN19424_u_soc_u_iccm_rdata4_8),
+	.B1(n_1882),
+	.B2(FE_OFN18966_u_soc_u_iccm_rdata3_8),
+	.C1(n_2347),
+	.Y(n_3122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441421 (
+	.A1(n_1880),
+	.A2(FE_OFN18886_u_soc_u_iccm_rdata4_12),
+	.B1(n_1882),
+	.B2(FE_OFN19402_u_soc_u_iccm_rdata3_12),
+	.C1(n_2349),
+	.Y(n_3120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441422 (
+	.A(n_1931),
+	.B(n_2540),
+	.Y(n_3118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441423 (
+	.A(n_2888),
+	.B(n_1068),
+	.Y(n_3117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441424 (
+	.A(n_13468),
+	.B(n_2894),
+	.Y(n_3116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441426 (
+	.A(FE_OFN876_n_471),
+	.B(n_2889),
+	.Y(n_3114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441427 (
+	.A(FE_OFN876_n_471),
+	.B(n_2536),
+	.Y(n_3113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441428 (
+	.A(FE_OFN876_n_471),
+	.B(n_2535),
+	.Y(n_3112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441430 (
+	.A(n_13468),
+	.B(n_2895),
+	.Y(n_3111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441432 (
+	.A1(n_1672),
+	.A2(n_1327),
+	.B1(n_2538),
+	.Y(n_3110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441433 (
+	.A1(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.A2(n_1072),
+	.B1(n_2537),
+	.Y(n_3109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441434 (
+	.A(n_13469),
+	.B(n_2895),
+	.Y(n_3108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441435 (
+	.A1(n_1075),
+	.A2(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.B1(n_2539),
+	.Y(n_3107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441437 (
+	.A(FE_COEN4282_n_13467),
+	.B(n_2895),
+	.Y(n_3106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441438 (
+	.A(n_13469),
+	.B(n_2894),
+	.Y(n_3105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441439 (
+	.A(n_2604),
+	.B(n_652),
+	.Y(n_3102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441440 (
+	.A(n_2604),
+	.B(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.Y(n_3101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441441 (
+	.A(FE_OFN1476_n_2542),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
+	.Y(n_3100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g441443 (
+	.A_N(n_2548),
+	.B(n_13325),
+	.Y(n_3098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441444 (
+	.A(n_13345),
+	.B(n_2887),
+	.Y(n_3096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441445 (
+	.A(n_479),
+	.B(n_2899),
+	.Y(n_3094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441446 (
+	.A(n_2605),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.Y(n_3093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441447 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_2899),
+	.Y(n_3091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441449 (
+	.A(n_2888),
+	.B(n_1255),
+	.Y(n_3088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441450 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_2898),
+	.Y(n_3087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441452 (
+	.A(n_479),
+	.B(n_2898),
+	.Y(n_3086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441454 (
+	.A(FE_OFN927_n_2421),
+	.B(n_1750),
+	.Y(n_3085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441456 (
+	.A(n_1260),
+	.B(n_2583),
+	.Y(n_3083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441457 (
+	.A(n_2414),
+	.B(FE_OFN19443_n_1431),
+	.Y(n_3082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g441458 (
+	.A(n_1768),
+	.B(n_13455),
+	.C(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.D(n_621),
+	.Y(n_3080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g441459 (
+	.A(FE_OFN1639_n_16011),
+	.B(n_2414),
+	.Y(n_3078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441460 (
+	.A(n_2001),
+	.B(n_2414),
+	.Y(n_3077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g441461 (
+	.A(n_2888),
+	.B(n_1051),
+	.Y(n_3076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g441462 (
+	.A(n_2676),
+	.B(n_2674),
+	.X(n_3075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g441463 (
+	.A(n_2678),
+	.B(n_2680),
+	.X(n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441464 (
+	.A(n_2953),
+	.Y(n_3039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441465 (
+	.A(n_2952),
+	.Y(n_3038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441466 (
+	.A(n_2951),
+	.Y(n_3037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441467 (
+	.A(n_2950),
+	.Y(n_3036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441468 (
+	.A(n_2949),
+	.Y(n_3035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441469 (
+	.A(n_2941),
+	.Y(n_3034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441470 (
+	.A(n_2940),
+	.Y(n_3033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441471 (
+	.A(n_3032),
+	.Y(n_3031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441472 (
+	.A(n_3030),
+	.Y(n_3029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441473 (
+	.A(n_3028),
+	.Y(n_3027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441474 (
+	.A(n_3026),
+	.Y(n_3025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441475 (
+	.A(n_3024),
+	.Y(n_3023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441476 (
+	.A(n_3022),
+	.Y(n_3021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441477 (
+	.A(n_3020),
+	.Y(n_3019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441478 (
+	.A(n_3018),
+	.Y(n_3017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441479 (
+	.A(n_3016),
+	.Y(n_3015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441480 (
+	.A(n_3013),
+	.Y(n_3012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441481 (
+	.A(FE_OFN1613_n_3011),
+	.Y(n_3010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441482 (
+	.A(n_3009),
+	.Y(n_3008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441483 (
+	.A(FE_OFN1612_n_3007),
+	.Y(n_3006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441484 (
+	.A(n_3004),
+	.Y(n_3005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441485 (
+	.A(n_3002),
+	.Y(n_3003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441486 (
+	.A(FE_OFN1611_n_3001),
+	.Y(n_3000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441487 (
+	.A(n_2999),
+	.Y(n_2998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441488 (
+	.A(n_2997),
+	.Y(n_2996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441489 (
+	.A(FE_OFN18968_n_2994),
+	.Y(n_2995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441490 (
+	.A(n_2992),
+	.Y(n_2993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441491 (
+	.A(FE_OFN1610_n_2991),
+	.Y(n_2990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441492 (
+	.A(FE_OFN1609_n_2989),
+	.Y(n_2988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441494 (
+	.A1(n_1070),
+	.A2(n_2290),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_2979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g441495 (
+	.A1(n_2152),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_2891),
+	.X(n_2978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g441496 (
+	.A1(n_2152),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_2891),
+	.X(n_2977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g441497 (
+	.A1(n_2152),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_2891),
+	.X(n_2976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g441498 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_2288),
+	.B1(n_499),
+	.B2(n_2289),
+	.Y(n_2975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g441499 (
+	.A1(n_13367),
+	.A2(n_164),
+	.B1(n_13375),
+	.C1(n_2196),
+	.D1(n_13369),
+	.Y(n_2974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441500 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.B1(FE_OFN1605_n_1513),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.Y(n_2973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441501 (
+	.A1(FE_OFN921_n_2243),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.Y(n_2972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441502 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.Y(n_2971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441503 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.Y(n_2970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441504 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.Y(n_2969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441505 (
+	.A1(n_1939),
+	.A2(n_1532),
+	.B1(u_soc_u_uart_u_uart_core_tx_fifo_init),
+	.Y(n_2968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441506 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.B1(FE_OFN1605_n_1513),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.Y(n_2967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441507 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.Y(n_2966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441508 (
+	.A1(FE_OFN921_n_2243),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.Y(n_2965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441509 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B1(n_2246),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.Y(n_2964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441510 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.B1(FE_OFN1605_n_1513),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.Y(n_2963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441511 (
+	.A1(n_2149),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [3]),
+	.Y(n_2962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441512 (
+	.A1(n_2149),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [2]),
+	.Y(n_2961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441513 (
+	.A1(n_2149),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [1]),
+	.Y(n_2960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g441514 (
+	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.B(n_1776),
+	.C(n_1166),
+	.D(n_13482),
+	.X(n_2959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441515 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.A2(FE_OFN1605_n_1513),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.Y(n_2958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441516 (
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]),
+	.A2(n_1735),
+	.B1(n_1341),
+	.B2(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
+	.C1(FE_OFN879_n_690),
+	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
+	.Y(n_2957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441517 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.A2(FE_OFN1605_n_1513),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
+	.C1(FE_OFN921_n_2243),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.Y(n_2956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441518 (
+	.A1(n_2150),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_2151),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.Y(n_2955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g441519 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_2294),
+	.B1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B2(n_1081),
+	.Y(n_2954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441520 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[2]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[2]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [2]),
+	.Y(n_2953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441521 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[4]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [4]),
+	.Y(n_2952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441522 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[5]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [5]),
+	.Y(n_2951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441523 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[6]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [6]),
+	.Y(n_2950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441524 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[8]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[8]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [8]),
+	.Y(n_2949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g441525 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.D(n_1692),
+	.X(n_2948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g441526 (
+	.A(FE_OFN18484_n_13416),
+	.B(n_13410),
+	.C(n_13411),
+	.D(n_1690),
+	.X(n_2947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441527 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.A2(FE_OFN1605_n_1513),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[12]),
+	.C1(FE_OFN920_n_2242),
+	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.Y(n_2946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g441528 (
+	.A1(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.A2(n_1445),
+	.B1(n_2886),
+	.Y(n_2945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g441529 (
+	.A_N(n_15923),
+	.B(n_1255),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_2944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441530 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.Y(n_2943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g441531 (
+	.A(n_2291),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.C(n_98),
+	.Y(n_2942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441532 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[1]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [1]),
+	.Y(n_2941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441533 (
+	.A1(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.A2(n_2147),
+	.B1(n_13303),
+	.B2(u_soc_u_uart_u_uart_core_rx_val[3]),
+	.C1(n_13558),
+	.C2(\u_soc_uart_to_xbar[d_data] [3]),
+	.Y(n_2940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g441534 (
+	.A1(n_1783),
+	.A2(n_1427),
+	.A3(u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.Y(n_2939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441535 (
+	.A1(n_497),
+	.A2(n_2148),
+	.B1(n_1314),
+	.Y(n_2938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g441536 (
+	.A1(n_1476),
+	.A2(n_1090),
+	.A3(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.B1(n_2304),
+	.B2(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.Y(n_2937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g441537 (
+	.A1(n_1531),
+	.A2(n_1805),
+	.B1(u_soc_u_uart_u_uart_core_rx_buffer_size[0]),
+	.B2(n_2148),
+	.Y(n_2936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441538 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.Y(n_2935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441539 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.Y(n_2934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441540 (
+	.A1(n_2149),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [5]),
+	.Y(n_2933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441541 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.Y(n_2932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441542 (
+	.A1(n_2149),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [4]),
+	.Y(n_2931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441543 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.Y(n_2930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441544 (
+	.A1(FE_OFN1608_n_2244),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.B1(n_1233),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.Y(n_2929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441545 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.Y(n_2928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441546 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.Y(n_2927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g441547 (
+	.A1_N(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2_N(n_2301),
+	.B1(n_13317),
+	.B2(n_1885),
+	.Y(n_2926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g441548 (
+	.A1(n_1741),
+	.A2(n_1052),
+	.A3(n_1116),
+	.B1(n_2211),
+	.Y(n_2925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441549 (
+	.A1(FE_OFN1608_n_2244),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.X(n_2924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441550 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B1(FE_OFN921_n_2243),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.Y(n_2923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441551 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B1(FE_OFN920_n_2242),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.Y(n_2922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g441552 (
+	.A1_N(n_1033),
+	.A2_N(n_2161),
+	.B1(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B2(n_2161),
+	.Y(n_2921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441553 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.Y(n_2920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441554 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.Y(n_2919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441555 (
+	.A1(FE_OFN1608_n_2244),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
+	.Y(n_2918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441556 (
+	.A1(FE_OFN921_n_2243),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.B1(n_1749),
+	.B2(u_soc_u_top_u_core_csr_mtvec[20]),
+	.Y(n_2917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441557 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.Y(n_2916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441558 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.Y(n_2915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441559 (
+	.A1(n_2246),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.Y(n_2914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441560 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.Y(n_2913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441561 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.Y(n_2912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441562 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.Y(n_2911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441563 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.Y(n_2910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441564 (
+	.A1(n_2009),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.B1(n_1411),
+	.B2(\u_soc_uart_to_xbar[d_data] [0]),
+	.Y(n_2909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441565 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.Y(n_2908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441566 (
+	.A1(n_2135),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.Y(n_2907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441567 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.Y(n_2906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441568 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.Y(n_2905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441569 (
+	.A1(FE_OFN1607_n_2241),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.Y(n_2904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441570 (
+	.A1(FE_OFN920_n_2242),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.B1(FE_OFN1608_n_2244),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.Y(n_2903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g441571 (
+	.A(n_1889),
+	.B(n_15936),
+	.C(FE_OFN31_io_out_37),
+	.D(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.Y(n_2902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441572 (
+	.A1(n_1880),
+	.A2(FE_OFN19129_u_soc_u_iccm_rdata4_11),
+	.B1(n_1882),
+	.B2(FE_OFN19011_u_soc_u_iccm_rdata3_11),
+	.C1(n_2395),
+	.Y(n_3032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441573 (
+	.A1(n_1880),
+	.A2(FE_OFN18900_u_soc_u_iccm_rdata4_15),
+	.B1(n_1882),
+	.B2(FE_OFN19371_u_soc_u_iccm_rdata3_15),
+	.C1(n_2354),
+	.Y(n_3030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441574 (
+	.A1(n_1876),
+	.A2(FE_OFN19018_u_soc_u_iccm_rdata3_27),
+	.B1(n_1875),
+	.B2(FE_OFN19368_u_soc_u_iccm_rdata2_27),
+	.C1(n_2362),
+	.Y(n_3028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441575 (
+	.A1(n_1880),
+	.A2(FE_OFN19121_u_soc_u_iccm_rdata4_9),
+	.B1(n_1882),
+	.B2(FE_OFN18867_u_soc_u_iccm_rdata3_9),
+	.C1(n_2409),
+	.Y(n_3026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441576 (
+	.A1(n_1883),
+	.A2(FE_OFN19462_u_soc_u_iccm_rdata4_30),
+	.B1(n_1876),
+	.B2(FE_OFN19416_u_soc_u_iccm_rdata3_30),
+	.C1(n_2348),
+	.Y(n_3024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441577 (
+	.A1(n_1880),
+	.A2(FE_OFN19394_u_soc_u_iccm_rdata4_13),
+	.B1(n_1882),
+	.B2(FE_OFN19359_u_soc_u_iccm_rdata3_13),
+	.C1(n_2388),
+	.Y(n_3022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441578 (
+	.A1(n_1880),
+	.A2(FE_OFN19160_u_soc_u_iccm_rdata4_10),
+	.B1(n_1882),
+	.B2(FE_OFN19362_u_soc_u_iccm_rdata3_10),
+	.C1(n_2374),
+	.Y(n_3020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441579 (
+	.A1(n_1880),
+	.A2(FE_OFN19431_u_soc_u_iccm_rdata4_14),
+	.B1(n_1882),
+	.B2(FE_OFN19356_u_soc_u_iccm_rdata3_14),
+	.C1(n_2345),
+	.Y(n_3018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441580 (
+	.A1(n_1883),
+	.A2(FE_OFN19481_u_soc_u_iccm_rdata4_24),
+	.B1(n_1876),
+	.B2(FE_OFN19411_u_soc_u_iccm_rdata3_24),
+	.C1(n_2381),
+	.Y(n_3016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441581 (
+	.A1(n_1670),
+	.A2(n_1335),
+	.B1(n_2540),
+	.Y(n_3014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441582 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.C1(n_2197),
+	.Y(n_3013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441583 (
+	.A1(n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.B1(n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.C1(n_2205),
+	.Y(n_3011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441584 (
+	.A1(n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.B1(n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.C1(n_2207),
+	.Y(n_3009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441585 (
+	.A1(n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.B1(n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.C1(n_2206),
+	.Y(n_3007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441586 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.C1(n_2204),
+	.Y(n_3004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g441587 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C(n_1681),
+	.D(n_1534),
+	.Y(n_3002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441588 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.C1(n_2203),
+	.Y(n_3001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441589 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.C1(n_2202),
+	.Y(n_2999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441590 (
+	.A1(n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.B1(n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.C1(n_2201),
+	.Y(n_2997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441591 (
+	.A1(n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.B1(n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.C1(n_2200),
+	.Y(n_2994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441592 (
+	.A1(n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.B1(n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.C1(n_2208),
+	.Y(n_2992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441593 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.C1(n_2199),
+	.Y(n_2991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g441594 (
+	.A1(n_13494),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.B1(n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.C1(n_2198),
+	.Y(n_2989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441595 (
+	.A(n_511),
+	.B(n_2378),
+	.Y(n_2987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g441596 (
+	.A_N(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.B(n_2292),
+	.C(n_621),
+	.X(n_2986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441597 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_2377),
+	.Y(n_2985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g441598 (
+	.A(n_2292),
+	.B(n_13343),
+	.C(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.X(n_2984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_2 g441599 (
+	.A(n_1068),
+	.B(n_1726),
+	.C(n_2887),
+	.X(n_2982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g441600 (
+	.A1(n_1926),
+	.A2(FE_OFN35_io_out_37),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_2981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441601 (
+	.A1(n_471),
+	.A2(n_2300),
+	.B1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441602 (
+	.A(n_1900),
+	.Y(n_2900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441603 (
+	.A(n_2888),
+	.Y(n_2887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441604 (
+	.A(n_2858),
+	.Y(n_2857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g441610 (
+	.A(n_1307),
+	.B(n_1260),
+	.COUT(n_2901),
+	.SUM(n_2672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441611 (
+	.A(n_2151),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.Y(n_2671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441612 (
+	.A1(n_1485),
+	.A2(n_1835),
+	.B1(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.Y(n_2670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441613 (
+	.A(n_2295),
+	.B(n_1754),
+	.Y(n_2669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441614 (
+	.A(n_2283),
+	.B(n_1050),
+	.Y(n_2668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441615 (
+	.A(n_689),
+	.B(n_1942),
+	.Y(n_2667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441616 (
+	.A(n_689),
+	.B(n_1943),
+	.Y(n_2666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441617 (
+	.A(n_2246),
+	.B(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.Y(n_2665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441618 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.Y(n_2664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441619 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.Y(n_2663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441620 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(n_2305),
+	.Y(n_2662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441621 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.Y(n_2661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441622 (
+	.A(n_2135),
+	.B(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.Y(n_2660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441623 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.Y(n_2659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441624 (
+	.A(FE_OFN1607_n_2241),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.Y(n_2658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441625 (
+	.A(n_2246),
+	.B(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.Y(n_2657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441626 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.Y(n_2656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441627 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.Y(n_2655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441628 (
+	.A(FE_OFN921_n_2243),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.Y(n_2654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441629 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.Y(n_2653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441630 (
+	.A(FE_OFN1607_n_2241),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.Y(n_2652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441631 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.Y(n_2651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441632 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.Y(n_2650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441633 (
+	.A(FE_OFN1607_n_2241),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.Y(n_2649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441634 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.Y(n_2648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441635 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.Y(n_2647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441636 (
+	.A(n_2150),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.Y(n_2646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441637 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.Y(n_2645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441638 (
+	.A(FE_OFN1607_n_2241),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.Y(n_2644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441639 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.Y(n_2643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441640 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.Y(n_2642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441641 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.Y(n_2641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441642 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.Y(n_2640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441643 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.Y(n_2639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441644 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.Y(n_2638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441645 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.Y(n_2637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441646 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.Y(n_2636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441647 (
+	.A(FE_OFN1607_n_2241),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.Y(n_2635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441648 (
+	.A(n_2150),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.Y(n_2634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441649 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.Y(n_2633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441650 (
+	.A(n_2153),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.Y(n_2632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441651 (
+	.A(FE_OFN921_n_2243),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.Y(n_2631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441652 (
+	.A(FE_OFN1608_n_2244),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.Y(n_2630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441653 (
+	.A(FE_OFN921_n_2243),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.Y(n_2629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441654 (
+	.A(n_2150),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.Y(n_2628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441655 (
+	.A(FE_OFN920_n_2242),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.Y(n_2627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441656 (
+	.A(n_2153),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.Y(n_2626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441657 (
+	.A(n_2151),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.Y(n_2625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441658 (
+	.A(FE_OFN1607_n_2241),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.Y(n_2624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441659 (
+	.A(FE_OFN921_n_2243),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.Y(n_2623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441660 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.B(n_2160),
+	.Y(n_2622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441661 (
+	.A(n_2153),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.Y(n_2621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441662 (
+	.A(FE_OFN921_n_2243),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.Y(n_2620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g441663 (
+	.A_N(n_2297),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
+	.Y(n_2619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441664 (
+	.A(n_2153),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_2618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441665 (
+	.A(n_2150),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.Y(n_2617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441666 (
+	.A(n_2151),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.Y(n_2616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441667 (
+	.A(n_2151),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.Y(n_2615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441668 (
+	.A(n_2151),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.Y(n_2614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441669 (
+	.A(n_2150),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.Y(n_2613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441671 (
+	.A(n_2162),
+	.B(n_533),
+	.Y(n_2899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441673 (
+	.A(n_2162),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.Y(n_2898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441675 (
+	.A(n_1235),
+	.B(n_2161),
+	.Y(n_2897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441676 (
+	.A(n_2300),
+	.B(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g441677 (
+	.A_N(n_2301),
+	.B(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_2611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g441680 (
+	.A(n_1448),
+	.B_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.Y(n_2896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441681 (
+	.A(n_2163),
+	.B(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.Y(n_2895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441686 (
+	.A(n_2163),
+	.B(n_652),
+	.Y(n_2894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441687 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_15923),
+	.Y(n_2893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441688 (
+	.A(FE_OFN18313_n_1223),
+	.B(n_2135),
+	.Y(n_2892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441690 (
+	.A(n_471),
+	.B(n_2152),
+	.Y(n_2891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441691 (
+	.A(n_2160),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.Y(n_2890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g441692 (
+	.A(n_1721),
+	.B(n_53),
+	.C(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_2889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441693 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_2282),
+	.Y(n_2888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441694 (
+	.A(n_1445),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.Y(n_2886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441696 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441697 (
+	.A(n_2272),
+	.B(n_2266),
+	.Y(n_2884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441698 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441699 (
+	.A(n_2272),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441700 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441701 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441702 (
+	.A(n_2272),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441703 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441704 (
+	.A(n_2272),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441705 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441706 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441707 (
+	.A(FE_OFN1465_n_2269),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441708 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441709 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1466_n_2270),
+	.Y(n_2872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441710 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441711 (
+	.A(FE_OFN1470_n_2276),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441712 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441713 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441714 (
+	.A(n_2272),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441715 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441716 (
+	.A(n_2272),
+	.B(n_2270),
+	.Y(n_2865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441717 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441718 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441719 (
+	.A(FE_OFN1469_n_2275),
+	.B(n_2266),
+	.Y(n_2862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441720 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441721 (
+	.A(n_2263),
+	.B(n_2266),
+	.Y(n_2860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441722 (
+	.A(n_2264),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441723 (
+	.A(FE_OFN1639_n_16011),
+	.B(FE_OFN19443_n_1431),
+	.Y(n_2858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441724 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441725 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441726 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441727 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441728 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441729 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441730 (
+	.A(FE_OFN924_n_2261),
+	.B(n_2266),
+	.Y(n_2850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441731 (
+	.A(FE_OFN1470_n_2276),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441732 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441733 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441734 (
+	.A(n_1857),
+	.B(n_2247),
+	.Y(n_2846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441735 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441736 (
+	.A(FE_OFN1470_n_2276),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441737 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441738 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441739 (
+	.A(n_1855),
+	.B(FE_OFN895_n_2012),
+	.Y(n_2841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441740 (
+	.A(n_2264),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441741 (
+	.A(n_1866),
+	.B(n_2239),
+	.Y(n_2839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441742 (
+	.A(n_1865),
+	.B(n_2247),
+	.Y(n_2838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441743 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441744 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441745 (
+	.A(FE_OFN926_n_2274),
+	.B(n_2266),
+	.Y(n_2835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441746 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441747 (
+	.A(n_2260),
+	.B(n_2266),
+	.Y(n_2833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441748 (
+	.A(FE_OFN925_n_2273),
+	.B(n_2266),
+	.Y(n_2832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441749 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441750 (
+	.A(FE_OFN1462_n_2266),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441751 (
+	.A(n_2264),
+	.B(n_2266),
+	.Y(n_2829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441752 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441753 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441754 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441755 (
+	.A(n_2264),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441756 (
+	.A(n_1855),
+	.B(n_2255),
+	.Y(n_2824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441757 (
+	.A(n_1855),
+	.B(n_2247),
+	.Y(n_2823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441758 (
+	.A(n_1855),
+	.B(n_2011),
+	.Y(n_2822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441759 (
+	.A(n_1855),
+	.B(n_2249),
+	.Y(n_2821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441760 (
+	.A(n_1855),
+	.B(n_2251),
+	.Y(n_2820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441761 (
+	.A(n_1855),
+	.B(n_2137),
+	.Y(n_2819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441762 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441763 (
+	.A(n_2263),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441764 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441765 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441766 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441767 (
+	.A(FE_OFN1470_n_2276),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441768 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441769 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441770 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441771 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441772 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441773 (
+	.A(FE_OFN1468_n_2272),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441774 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1470_n_2276),
+	.Y(n_2806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441775 (
+	.A(n_1870),
+	.B(FE_OFN895_n_2012),
+	.Y(n_2805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441776 (
+	.A(n_2263),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441777 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441778 (
+	.A(n_1866),
+	.B(n_2234),
+	.Y(n_2802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441779 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441780 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441781 (
+	.A(n_2264),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441782 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441783 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441784 (
+	.A(n_2263),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441785 (
+	.A(n_1870),
+	.B(n_2249),
+	.Y(n_2795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441786 (
+	.A(n_1870),
+	.B(n_2247),
+	.Y(n_2794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441787 (
+	.A(n_1870),
+	.B(n_2137),
+	.Y(n_2793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441788 (
+	.A(n_1870),
+	.B(FE_OFN894_n_2011),
+	.Y(n_2792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441789 (
+	.A(n_1870),
+	.B(n_2251),
+	.Y(n_2791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441790 (
+	.A(n_1870),
+	.B(n_2255),
+	.Y(n_2790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441791 (
+	.A(FE_OFN1465_n_2269),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441792 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441793 (
+	.A(n_1866),
+	.B(FE_OFN916_n_2237),
+	.Y(n_2787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441794 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441795 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441796 (
+	.A(n_2264),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441797 (
+	.A(n_2264),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441798 (
+	.A(n_2264),
+	.B(n_2141),
+	.Y(n_2782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441799 (
+	.A(n_2264),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441800 (
+	.A(n_2264),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441801 (
+	.A(n_2264),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441802 (
+	.A(n_2264),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441803 (
+	.A(n_2264),
+	.B(n_2145),
+	.Y(n_2777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441804 (
+	.A(n_2264),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441805 (
+	.A(n_2264),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441806 (
+	.A(n_2264),
+	.B(n_2270),
+	.Y(n_2774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441807 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441808 (
+	.A(n_1866),
+	.B(n_2006),
+	.Y(n_2772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441809 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441810 (
+	.A(n_1866),
+	.B(FE_OFN893_n_2007),
+	.Y(n_2770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441811 (
+	.A(n_1866),
+	.B(n_2238),
+	.Y(n_2769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441812 (
+	.A(n_1866),
+	.B(FE_OFN892_n_2004),
+	.Y(n_2768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441813 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441814 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441815 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441816 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441817 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441818 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441819 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441820 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441821 (
+	.A(n_2260),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441822 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1459_n_2262),
+	.Y(n_2758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441823 (
+	.A(FE_OFN1459_n_2262),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441824 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441825 (
+	.A(n_2260),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441826 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441827 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441828 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441829 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441830 (
+	.A(FE_OFN1474_n_2280),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441831 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1474_n_2280),
+	.Y(n_2749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441832 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441833 (
+	.A(FE_OFN1461_n_2265),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441834 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441835 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441836 (
+	.A(FE_OFN1467_n_2271),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441837 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441838 (
+	.A(FE_OFN1456_n_2258),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441839 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441840 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441841 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441842 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441843 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441844 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441845 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441846 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441847 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441848 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441849 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441850 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441851 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441852 (
+	.A(FE_OFN1471_n_2277),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441853 (
+	.A(n_2260),
+	.B(FE_OFN1471_n_2277),
+	.Y(n_2727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441854 (
+	.A(n_2263),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441855 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441856 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441857 (
+	.A(n_1861),
+	.B(n_2239),
+	.Y(n_2723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441858 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441859 (
+	.A(FE_OFN1465_n_2269),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441860 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441861 (
+	.A(FE_OFN1469_n_2275),
+	.B(n_2270),
+	.Y(n_2719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441862 (
+	.A(n_2263),
+	.B(n_2270),
+	.Y(n_2718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441863 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441864 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441865 (
+	.A(FE_OFN926_n_2274),
+	.B(n_2141),
+	.Y(n_2715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441866 (
+	.A(FE_OFN926_n_2274),
+	.B(n_2270),
+	.Y(n_2714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441867 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441868 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441869 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441870 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441871 (
+	.A(FE_OFN926_n_2274),
+	.B(n_2145),
+	.Y(n_2709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441872 (
+	.A(FE_OFN926_n_2274),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441873 (
+	.A(n_1861),
+	.B(n_2234),
+	.Y(n_2707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441874 (
+	.A(n_1862),
+	.B(n_2247),
+	.Y(n_2706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441875 (
+	.A(FE_OFN1465_n_2269),
+	.B(n_2145),
+	.Y(n_2705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441876 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1465_n_2269),
+	.Y(n_2704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441877 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441878 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441879 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441880 (
+	.A(FE_OFN925_n_2273),
+	.B(n_2145),
+	.Y(n_2700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441881 (
+	.A(FE_OFN925_n_2273),
+	.B(n_2141),
+	.Y(n_2699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441882 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441883 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1466_n_2270),
+	.Y(n_2697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441884 (
+	.A(FE_OFN925_n_2273),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441885 (
+	.A(FE_OFN924_n_2261),
+	.B(n_2141),
+	.Y(n_2695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441886 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441887 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441888 (
+	.A(n_1861),
+	.B(FE_OFN916_n_2237),
+	.Y(n_2692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441889 (
+	.A(n_1862),
+	.B(n_2249),
+	.Y(n_2691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441890 (
+	.A(FE_OFN1456_n_2258),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441891 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441892 (
+	.A(FE_OFN1456_n_2258),
+	.B(n_2141),
+	.Y(n_2688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441893 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441894 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441895 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1456_n_2258),
+	.Y(n_2685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441896 (
+	.A(FE_OFN1456_n_2258),
+	.B(n_2145),
+	.Y(n_2684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g441901 (
+	.A(n_1776),
+	.B(n_2284),
+	.Y(n_2683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441902 (
+	.A(n_2169),
+	.B(n_1553),
+	.Y(n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441903 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN921_n_2243),
+	.Y(n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441904 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN1608_n_2244),
+	.Y(n_2678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441905 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN1607_n_2241),
+	.Y(n_2676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441906 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN920_n_2242),
+	.Y(n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g441908 (
+	.A(n_2600),
+	.Y(n_2601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441909 (
+	.A(n_2563),
+	.Y(n_2564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441910 (
+	.A(FE_OFN1476_n_2542),
+	.Y(n_2541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g441911 (
+	.A(n_2540),
+	.Y(n_2539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441912 (
+	.A(n_2538),
+	.Y(n_2537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441915 (
+	.A(n_2414),
+	.Y(n_2413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441916 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[12]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[12]),
+	.Y(n_2410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441917 (
+	.A1(n_1881),
+	.A2(FE_OFN19417_u_soc_u_iccm_rdata2_9),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN608_u_soc_u_iccm_rdata1_9),
+	.X(n_2409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441918 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[20]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[20]),
+	.Y(n_2408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441919 (
+	.A(n_2289),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441920 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[27]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[27]),
+	.Y(n_2406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g441921 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_1510),
+	.C(n_2305),
+	.Y(n_2405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441922 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_2404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441923 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_2403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441924 (
+	.A1(n_1742),
+	.A2(n_1617),
+	.B1(n_1927),
+	.Y(n_2402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441925 (
+	.A1(n_1741),
+	.A2(n_1479),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1582),
+	.X(n_2401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441926 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[28]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[28]),
+	.Y(n_2400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441927 (
+	.A1(n_1742),
+	.A2(n_1602),
+	.B1(n_1930),
+	.Y(n_2399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441928 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[12]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[12]),
+	.Y(n_2398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441929 (
+	.A1(n_1759),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B1(n_522),
+	.Y(n_2397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g441930 (
+	.A1(n_1047),
+	.A2(n_1461),
+	.A3(n_1541),
+	.B1(n_1729),
+	.Y(n_2396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441931 (
+	.A1(n_1881),
+	.A2(FE_OFN19401_u_soc_u_iccm_rdata2_11),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN606_u_soc_u_iccm_rdata1_11),
+	.X(n_2395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441932 (
+	.A1(n_1696),
+	.A2(n_1541),
+	.B1(n_1713),
+	.Y(n_2394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441933 (
+	.A1(n_1700),
+	.A2(n_1541),
+	.B1(n_1725),
+	.Y(n_2393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441934 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[17]),
+	.B1(FE_OFN884_n_1413),
+	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.Y(n_2392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g441935 (
+	.A1(n_1068),
+	.A2(n_587),
+	.B1(n_2282),
+	.X(n_2391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g441936 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[11]),
+	.B1(n_1699),
+	.Y(n_2390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g441937 (
+	.A1(n_1096),
+	.A2(n_1424),
+	.B1(n_1064),
+	.C1(n_1830),
+	.Y(n_2389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441938 (
+	.A1(n_1881),
+	.A2(FE_OFN19341_u_soc_u_iccm_rdata2_13),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN604_u_soc_u_iccm_rdata1_13),
+	.X(n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441939 (
+	.A1(n_1730),
+	.A2(n_1541),
+	.B1(n_1723),
+	.Y(n_2387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g441940 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(n_1921),
+	.B1(n_2296),
+	.X(n_2386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g441941 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(n_1920),
+	.B1(n_2299),
+	.X(n_2385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441942 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[22]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[22]),
+	.Y(n_2384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441943 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[17]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[17]),
+	.Y(n_2383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g441944 (
+	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.C(n_1428),
+	.D(n_13473),
+	.X(n_2382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441945 (
+	.A1(n_1875),
+	.A2(FE_OFN19342_u_soc_u_iccm_rdata2_24),
+	.B1(n_1879),
+	.B2(FE_OFN593_u_soc_u_iccm_rdata1_24),
+	.X(n_2381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g441946 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(n_511),
+	.A3(n_1246),
+	.B1(n_1062),
+	.C1(n_1478),
+	.Y(n_2380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g441947 (
+	.A1(u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.A2(n_1527),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[4]),
+	.C1(FE_OFN1605_n_1513),
+	.C2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.Y(n_2379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441948 (
+	.A(n_2294),
+	.B(n_1474),
+	.Y(n_2378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441949 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_1082),
+	.B1(n_2294),
+	.Y(n_2377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g441950 (
+	.A1(n_534),
+	.A2(n_1908),
+	.B1(n_1054),
+	.Y(n_2376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441951 (
+	.A1(n_1883),
+	.A2(FE_OFN19128_u_soc_u_iccm_rdata4_25),
+	.B1(n_1879),
+	.B2(FE_OFN592_u_soc_u_iccm_rdata1_25),
+	.X(n_2375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g441952 (
+	.A1(n_1881),
+	.A2(FE_OFN19354_u_soc_u_iccm_rdata2_10),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN607_u_soc_u_iccm_rdata1_10),
+	.X(n_2374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g441953 (
+	.A(n_1434),
+	.B(n_1966),
+	.Y(n_2373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g441954 (
+	.A1(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.A2(n_1739),
+	.B1(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.B2(n_1685),
+	.Y(n_2372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441955 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[8]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[8]),
+	.Y(n_2371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441956 (
+	.A1(n_1741),
+	.A2(n_1582),
+	.B1(n_1742),
+	.B2(n_1608),
+	.Y(n_2370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441957 (
+	.A1(n_1741),
+	.A2(n_1616),
+	.B1(n_1742),
+	.B2(n_1615),
+	.Y(n_2369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441958 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_2368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441959 (
+	.A1(n_1741),
+	.A2(n_1602),
+	.B1(n_1742),
+	.B2(n_1580),
+	.X(n_2367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441960 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_2366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441961 (
+	.A1(n_1741),
+	.A2(n_1617),
+	.B1(n_1742),
+	.B2(n_1595),
+	.X(n_2365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g441962 (
+	.A1(n_494),
+	.A2(n_1427),
+	.B1(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B2(n_1426),
+	.C1(n_1385),
+	.Y(n_2364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441963 (
+	.A1(n_1875),
+	.A2(FE_OFN19301_u_soc_u_iccm_rdata2_28),
+	.B1(n_1879),
+	.B2(FE_OFN589_u_soc_u_iccm_rdata1_28),
+	.X(n_2363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441964 (
+	.A1(n_1883),
+	.A2(FE_OFN19458_u_soc_u_iccm_rdata4_27),
+	.B1(n_1879),
+	.B2(FE_OFN590_u_soc_u_iccm_rdata1_27),
+	.X(n_2362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441965 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.Y(n_2361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441966 (
+	.A1(n_1875),
+	.A2(FE_OFN19315_u_soc_u_iccm_rdata2_26),
+	.B1(n_1879),
+	.B2(FE_OFN591_u_soc_u_iccm_rdata1_26),
+	.X(n_2360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g441967 (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_1491),
+	.C(n_1445),
+	.Y(n_2359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g441968 (
+	.A1_N(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.A2_N(n_1089),
+	.B1(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.B2(n_1089),
+	.Y(n_2358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441969 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[13]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[13]),
+	.Y(n_2357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441970 (
+	.A1(n_1745),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.Y(n_2356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441971 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[21]),
+	.B1(FE_OFN884_n_1413),
+	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.Y(n_2355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441972 (
+	.A1(n_1881),
+	.A2(FE_OFN19311_u_soc_u_iccm_rdata2_15),
+	.B1(n_1877),
+	.B2(FE_OFN602_u_soc_u_iccm_rdata1_15),
+	.X(n_2354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441973 (
+	.A1(n_1875),
+	.A2(FE_OFN19343_u_soc_u_iccm_rdata2_31),
+	.B1(n_1879),
+	.B2(FE_OFN586_u_soc_u_iccm_rdata1_31),
+	.X(n_2353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441974 (
+	.A1(n_1875),
+	.A2(FE_OFN19338_u_soc_u_iccm_rdata2_29),
+	.B1(n_1879),
+	.B2(FE_OFN588_u_soc_u_iccm_rdata1_29),
+	.X(n_2352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441975 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_2351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441976 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_2350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g441977 (
+	.A1(n_1881),
+	.A2(FE_OFN19438_u_soc_u_iccm_rdata2_12),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN605_u_soc_u_iccm_rdata1_12),
+	.X(n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441978 (
+	.A1(n_1875),
+	.A2(FE_OFN19360_u_soc_u_iccm_rdata2_30),
+	.B1(n_1879),
+	.B2(FE_COEN4878_FE_OFN587_u_soc_u_iccm_rdata1_30),
+	.X(n_2348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441979 (
+	.A1(n_1881),
+	.A2(FE_OFN19339_u_soc_u_iccm_rdata2_8),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN609_u_soc_u_iccm_rdata1_8),
+	.X(n_2347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441980 (
+	.A1(n_1749),
+	.A2(u_soc_u_top_u_core_csr_mtvec[8]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[8]),
+	.Y(n_2346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g441981 (
+	.A1(n_1881),
+	.A2(FE_OFN19327_u_soc_u_iccm_rdata2_14),
+	.B1(FE_COEN4318_n_1877),
+	.B2(FE_OFN603_u_soc_u_iccm_rdata1_14),
+	.X(n_2345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441982 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.B1(n_1849),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.Y(n_2344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441983 (
+	.A1(n_1852),
+	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.B1(FE_OFN887_n_1753),
+	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.Y(n_2343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441984 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[5]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[5]),
+	.Y(n_2342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441985 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[6]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[6]),
+	.Y(n_2341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441986 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[9]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[9]),
+	.Y(n_2340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441987 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[10]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[10]),
+	.Y(n_2339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441988 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[11]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[11]),
+	.Y(n_2338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441989 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[13]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[13]),
+	.Y(n_2337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441990 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[14]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[14]),
+	.Y(n_2336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441991 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[15]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[15]),
+	.Y(n_2335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441992 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[16]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[16]),
+	.Y(n_2334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441993 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[18]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[18]),
+	.Y(n_2333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441994 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[19]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[19]),
+	.Y(n_2332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441995 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[21]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[21]),
+	.Y(n_2331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441996 (
+	.A1(n_1746),
+	.A2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_2330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441997 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[23]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[23]),
+	.Y(n_2329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441998 (
+	.A1(n_1746),
+	.A2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(n_2328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g441999 (
+	.A1(n_1746),
+	.A2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_2327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442000 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[24]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[24]),
+	.Y(n_2326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442001 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[25]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[25]),
+	.Y(n_2325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442002 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[26]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[26]),
+	.Y(n_2324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442003 (
+	.A1(n_1746),
+	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_2323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442004 (
+	.A1(n_1746),
+	.A2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.Y(n_2322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442005 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[29]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[29]),
+	.Y(n_2321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442006 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[30]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[30]),
+	.Y(n_2320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442007 (
+	.A1(n_1760),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_1757),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.X(n_2319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442008 (
+	.A1(n_1746),
+	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_2318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442009 (
+	.A1(n_1760),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_1757),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.X(n_2317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442010 (
+	.A1(n_1746),
+	.A2(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.Y(n_2316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442011 (
+	.A1(n_1745),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B1(FE_OFN18196_n_13380),
+	.B2(FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27),
+	.Y(n_2315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442012 (
+	.A1(n_1746),
+	.A2(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.Y(n_2314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442013 (
+	.A1(n_1746),
+	.A2(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.Y(n_2313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442014 (
+	.A1(n_1745),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B1(n_475),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_2312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442015 (
+	.A1(FE_OFN1405_n_1850),
+	.A2(u_soc_u_top_u_core_pc_id[7]),
+	.B1(n_1851),
+	.B2(u_soc_u_top_u_core_pc_if[7]),
+	.Y(n_2311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442016 (
+	.A1(n_1760),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_1757),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.X(n_2310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442017 (
+	.A1(n_1760),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_1757),
+	.B2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.X(n_2309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442018 (
+	.A1(n_1745),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B1(FE_OFN18196_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.Y(n_2308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442019 (
+	.A1(n_1741),
+	.A2(n_1570),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1568),
+	.Y(n_2610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442020 (
+	.A1(n_1741),
+	.A2(n_1587),
+	.B1(n_1742),
+	.B2(n_1623),
+	.Y(n_2609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442021 (
+	.A(n_1052),
+	.B(FE_OFN886_n_1742),
+	.C(n_1744),
+	.Y(n_2608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g442023 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.B(n_1446),
+	.C_N(n_2160),
+	.Y(n_2605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442024 (
+	.A1(n_13352),
+	.A2(n_1782),
+	.B1(n_13371),
+	.Y(n_2604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442025 (
+	.A(n_1743),
+	.B(n_1741),
+	.C(n_1548),
+	.Y(n_2603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442026 (
+	.A1(n_1741),
+	.A2(n_1572),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1570),
+	.Y(n_2602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442027 (
+	.A1(n_1457),
+	.A2(n_1742),
+	.B1(n_2167),
+	.Y(n_2600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442028 (
+	.A1(n_1741),
+	.A2(n_1584),
+	.B1(n_1742),
+	.B2(n_1586),
+	.Y(n_2599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442029 (
+	.A1(n_1741),
+	.A2(n_1620),
+	.B1(n_1742),
+	.B2(n_1574),
+	.Y(n_2598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442030 (
+	.A1(n_1741),
+	.A2(n_1615),
+	.B1(n_1742),
+	.B2(n_1622),
+	.Y(n_2597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442031 (
+	.A1(n_1741),
+	.A2(n_1566),
+	.B1(n_1742),
+	.B2(n_1583),
+	.Y(n_2596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442032 (
+	.A1(n_1741),
+	.A2(n_1613),
+	.B1(n_1742),
+	.B2(n_1591),
+	.Y(n_2595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442033 (
+	.A1(n_1741),
+	.A2(n_1606),
+	.B1(n_1742),
+	.B2(n_1611),
+	.Y(n_2594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442034 (
+	.A1(n_1741),
+	.A2(n_1623),
+	.B1(n_1742),
+	.B2(n_1601),
+	.Y(n_2593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442035 (
+	.A1(n_1741),
+	.A2(n_1589),
+	.B1(n_1742),
+	.B2(n_1610),
+	.Y(n_2592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442036 (
+	.A1(n_1742),
+	.A2(n_15925),
+	.B1(n_1741),
+	.B2(n_1609),
+	.Y(n_2591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442037 (
+	.A1(n_1741),
+	.A2(n_1588),
+	.B1(n_1742),
+	.B2(n_1587),
+	.Y(n_2590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442038 (
+	.A1(n_1741),
+	.A2(n_1604),
+	.B1(n_1742),
+	.B2(n_1607),
+	.Y(n_2589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442039 (
+	.A1(n_1741),
+	.A2(n_1614),
+	.B1(n_1742),
+	.B2(n_1579),
+	.Y(n_2588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442040 (
+	.A1(n_1741),
+	.A2(n_1583),
+	.B1(n_1742),
+	.B2(n_1618),
+	.Y(n_2587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442041 (
+	.A1(n_1741),
+	.A2(n_1591),
+	.B1(n_1742),
+	.B2(n_1566),
+	.Y(n_2586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442042 (
+	.A1(n_1741),
+	.A2(n_1579),
+	.B1(n_1742),
+	.B2(n_1456),
+	.Y(n_2585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442043 (
+	.A1(n_1741),
+	.A2(n_1622),
+	.B1(n_1742),
+	.B2(n_1620),
+	.Y(n_2584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442044 (
+	.A(n_1778),
+	.B(n_13325),
+	.C(FE_OFN878_n_641),
+	.Y(n_2583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442045 (
+	.A1(n_1741),
+	.A2(n_1574),
+	.B1(n_1742),
+	.B2(n_1584),
+	.Y(n_2582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442046 (
+	.A1(n_1741),
+	.A2(n_1595),
+	.B1(n_1742),
+	.B2(n_1600),
+	.X(n_2581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442047 (
+	.A1(n_1741),
+	.A2(n_1611),
+	.B1(n_1742),
+	.B2(n_1589),
+	.Y(n_2580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442048 (
+	.A1(n_1741),
+	.A2(n_1601),
+	.B1(n_1742),
+	.B2(n_1606),
+	.Y(n_2579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442049 (
+	.A1(n_1741),
+	.A2(n_1610),
+	.B1(n_1742),
+	.B2(n_1609),
+	.Y(n_2578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442050 (
+	.A1(n_1741),
+	.A2(n_1621),
+	.B1(n_1742),
+	.B2(n_1604),
+	.Y(n_2577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442051 (
+	.A1(n_1741),
+	.A2(n_1598),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1596),
+	.Y(n_2576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442052 (
+	.A1(n_1741),
+	.A2(n_1573),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1598),
+	.Y(n_2575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442053 (
+	.A(n_2169),
+	.B(n_1427),
+	.X(n_2307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442054 (
+	.A1(n_1741),
+	.A2(n_1569),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1573),
+	.Y(n_2574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442055 (
+	.A1(n_1741),
+	.A2(n_1571),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1569),
+	.Y(n_2573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442056 (
+	.A1(n_1741),
+	.A2(n_1576),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1575),
+	.Y(n_2572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442057 (
+	.A1(n_1741),
+	.A2(n_1593),
+	.B1(n_1742),
+	.B2(n_1605),
+	.Y(n_2571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442058 (
+	.A1(n_1741),
+	.A2(n_1590),
+	.B1(n_1742),
+	.B2(n_1592),
+	.Y(n_2570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442059 (
+	.A1(n_1741),
+	.A2(n_1577),
+	.B1(n_1742),
+	.B2(n_1593),
+	.Y(n_2569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442060 (
+	.A1(n_1741),
+	.A2(n_1625),
+	.B1(n_1742),
+	.B2(n_1578),
+	.Y(n_2568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442061 (
+	.A1(n_1741),
+	.A2(n_1603),
+	.B1(n_1742),
+	.B2(n_1581),
+	.X(n_2567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442062 (
+	.A1(n_1741),
+	.A2(n_1600),
+	.B1(n_1742),
+	.B2(n_1625),
+	.X(n_2566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442063 (
+	.A1(n_1741),
+	.A2(n_1580),
+	.B1(n_1742),
+	.B2(n_1603),
+	.X(n_2565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g442064 (
+	.A_N(n_1909),
+	.B(n_1432),
+	.C(FE_OFN31_io_out_37),
+	.Y(n_2306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442065 (
+	.A1(n_1754),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B1(n_1755),
+	.B2(FE_OFN18279_n_16012),
+	.Y(n_2563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442066 (
+	.A1(n_1741),
+	.A2(n_1599),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1619),
+	.Y(n_2562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442067 (
+	.A1(n_1741),
+	.A2(n_1608),
+	.B1(n_1742),
+	.B2(n_1621),
+	.X(n_2561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442068 (
+	.A1(n_1741),
+	.A2(n_1586),
+	.B1(n_1742),
+	.B2(n_1613),
+	.Y(n_2560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442069 (
+	.A1(n_1741),
+	.A2(n_1618),
+	.B1(n_1742),
+	.B2(n_1614),
+	.Y(n_2559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442070 (
+	.A1(n_1741),
+	.A2(n_1578),
+	.B1(n_1742),
+	.B2(n_1590),
+	.Y(n_2558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442071 (
+	.A1(n_1741),
+	.A2(n_1581),
+	.B1(n_1742),
+	.B2(n_1577),
+	.Y(n_2557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442072 (
+	.A1(n_1741),
+	.A2(n_1567),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1585),
+	.Y(n_2556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442073 (
+	.A1(n_1741),
+	.A2(n_1605),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1576),
+	.Y(n_2555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442074 (
+	.A1(n_1741),
+	.A2(n_1592),
+	.B1(n_1742),
+	.B2(n_1599),
+	.Y(n_2554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442075 (
+	.A1(n_1741),
+	.A2(n_1568),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1567),
+	.Y(n_2553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442076 (
+	.A1(n_1741),
+	.A2(n_1619),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1572),
+	.Y(n_2552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442077 (
+	.A1(n_1741),
+	.A2(n_1607),
+	.B1(n_1742),
+	.B2(n_1588),
+	.Y(n_2551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442078 (
+	.A1(n_1741),
+	.A2(n_1575),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1571),
+	.Y(n_2550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g442079 (
+	.A1(n_1597),
+	.A2(FE_OFN886_n_1742),
+	.B1(n_1052),
+	.B2(n_1741),
+	.Y(n_2549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442080 (
+	.A(FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30),
+	.B(n_1778),
+	.C(FE_OFN878_n_641),
+	.Y(n_2548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442081 (
+	.A1(n_1741),
+	.A2(n_1585),
+	.B1(FE_OFN886_n_1742),
+	.B2(n_1548),
+	.Y(n_2547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g442082 (
+	.A_N(n_13479),
+	.B(n_1736),
+	.C(n_1166),
+	.Y(n_2546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442083 (
+	.A(n_2154),
+	.B(FE_OFN35_io_out_37),
+	.Y(n_2545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g442084 (
+	.A(FE_OFN876_n_471),
+	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.C(n_1762),
+	.X(n_2544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g442085 (
+	.A(FE_OFN876_n_471),
+	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.C(n_1761),
+	.X(n_2543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g442086 (
+	.A1(n_1311),
+	.A2(n_1018),
+	.B1(FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
+	.C1(n_1464),
+	.Y(n_2542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442087 (
+	.A(n_1787),
+	.B(u_soc_u_tcam_rvalid_o),
+	.C(n_641),
+	.Y(n_2540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442088 (
+	.A(n_15884),
+	.B(u_soc_dccm_adapter_rvalid_o),
+	.C(n_641),
+	.Y(n_2538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442089 (
+	.A(n_1710),
+	.B(n_487),
+	.C(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442090 (
+	.A(n_1709),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g442091 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.C(n_1477),
+	.D(n_1429),
+	.Y(n_2534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442092 (
+	.A(n_1857),
+	.B(n_2255),
+	.Y(n_2533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442093 (
+	.A(n_1859),
+	.B(FE_OFN894_n_2011),
+	.Y(n_2532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442094 (
+	.A(n_1859),
+	.B(FE_OFN913_n_2233),
+	.Y(n_2531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442095 (
+	.A(n_1859),
+	.B(n_2137),
+	.Y(n_2530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442096 (
+	.A(n_1865),
+	.B(n_2006),
+	.Y(n_2529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442097 (
+	.A(n_1865),
+	.B(n_2239),
+	.Y(n_2528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442098 (
+	.A(n_1865),
+	.B(n_2137),
+	.Y(n_2527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442099 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442100 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442101 (
+	.A(FE_OFN1467_n_2271),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442102 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442103 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442104 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442105 (
+	.A(n_1857),
+	.B(FE_OFN895_n_2012),
+	.Y(n_2520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442106 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442107 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442108 (
+	.A(FE_OFN1451_n_2142),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442109 (
+	.A(FE_OFN1451_n_2142),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442110 (
+	.A(FE_OFN924_n_2261),
+	.B(n_2145),
+	.Y(n_2515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442111 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442112 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442113 (
+	.A(FE_OFN1447_n_2138),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442114 (
+	.A(FE_OFN1447_n_2138),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442115 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442116 (
+	.A(n_1862),
+	.B(FE_OFN894_n_2011),
+	.Y(n_2509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442117 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442118 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442119 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442120 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442121 (
+	.A(FE_OFN1457_n_2259),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442122 (
+	.A(n_1857),
+	.B(n_2233),
+	.Y(n_2503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442123 (
+	.A(n_1857),
+	.B(FE_OFN894_n_2011),
+	.Y(n_2502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442124 (
+	.A(n_1857),
+	.B(n_2137),
+	.Y(n_2501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442125 (
+	.A(n_1862),
+	.B(n_2137),
+	.Y(n_2500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442126 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442127 (
+	.A(FE_OFN1457_n_2259),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442128 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442129 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442130 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442131 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442132 (
+	.A(n_1861),
+	.B(n_2238),
+	.Y(n_2493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442133 (
+	.A(FE_OFN1457_n_2259),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442134 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442135 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442136 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442137 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442138 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442139 (
+	.A(n_1862),
+	.B(FE_OFN895_n_2012),
+	.Y(n_2486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442140 (
+	.A(n_1861),
+	.B(n_2004),
+	.Y(n_2485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442141 (
+	.A(n_1861),
+	.B(n_2007),
+	.Y(n_2484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442142 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442143 (
+	.A(FE_OFN1472_n_2278),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442144 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442145 (
+	.A(FE_OFN1461_n_2265),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442146 (
+	.A(n_1861),
+	.B(n_2006),
+	.Y(n_2479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442147 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442148 (
+	.A(FE_OFN1447_n_2138),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442149 (
+	.A(FE_OFN1451_n_2142),
+	.B(n_2141),
+	.Y(n_2476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442150 (
+	.A(FE_OFN1457_n_2259),
+	.B(FE_OFN1450_n_2141),
+	.Y(n_2475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442151 (
+	.A(FE_OFN1451_n_2142),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442152 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442153 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442154 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442155 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442156 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442157 (
+	.A(FE_OFN1458_n_2260),
+	.B(FE_OFN1463_n_2267),
+	.Y(n_2468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442158 (
+	.A(FE_OFN1463_n_2267),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442159 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442160 (
+	.A(FE_OFN1467_n_2271),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442161 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442162 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442163 (
+	.A(n_2260),
+	.B(FE_OFN1464_n_2268),
+	.Y(n_2462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442164 (
+	.A(FE_OFN1464_n_2268),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442165 (
+	.A(n_1862),
+	.B(n_2251),
+	.Y(n_2460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442166 (
+	.A(n_1859),
+	.B(n_2249),
+	.Y(n_2459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442167 (
+	.A(n_1857),
+	.B(n_2249),
+	.Y(n_2458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442168 (
+	.A(FE_OFN1447_n_2138),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442169 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442170 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442171 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442172 (
+	.A(FE_OFN1473_n_2279),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442173 (
+	.A(n_2260),
+	.B(FE_OFN1473_n_2279),
+	.Y(n_2452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442174 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442175 (
+	.A(n_1865),
+	.B(n_2007),
+	.Y(n_2450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442176 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442177 (
+	.A(FE_OFN1461_n_2265),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442178 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442179 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442180 (
+	.A(n_1862),
+	.B(n_2255),
+	.Y(n_2445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442181 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442182 (
+	.A(FE_OFN1467_n_2271),
+	.B(FE_OFN1454_n_2145),
+	.Y(n_2443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442183 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442184 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442185 (
+	.A(FE_OFN1466_n_2270),
+	.B(FE_OFN1457_n_2259),
+	.Y(n_2440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442186 (
+	.A(n_2260),
+	.B(n_2270),
+	.Y(n_2439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442187 (
+	.A(FE_OFN1460_n_2263),
+	.B(FE_OFN1449_n_2140),
+	.Y(n_2438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442188 (
+	.A(FE_OFN1449_n_2140),
+	.B(FE_OFN1467_n_2271),
+	.Y(n_2437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442189 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1451_n_2142),
+	.Y(n_2436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442190 (
+	.A(n_1859),
+	.B(n_2012),
+	.Y(n_2435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442191 (
+	.A(n_1859),
+	.B(n_2251),
+	.Y(n_2434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442192 (
+	.A(n_1865),
+	.B(n_2251),
+	.Y(n_2433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442193 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1472_n_2278),
+	.Y(n_2432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442194 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1447_n_2138),
+	.Y(n_2431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442195 (
+	.A(FE_OFN924_n_2261),
+	.B(FE_OFN1448_n_2139),
+	.Y(n_2430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442196 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1452_n_2143),
+	.Y(n_2429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442197 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442198 (
+	.A(FE_OFN1469_n_2275),
+	.B(FE_OFN1455_n_2257),
+	.Y(n_2427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442199 (
+	.A(FE_OFN1461_n_2265),
+	.B(FE_OFN1453_n_2144),
+	.Y(n_2426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442200 (
+	.A(FE_OFN1455_n_2257),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442201 (
+	.A(FE_OFN1452_n_2143),
+	.B(FE_OFN1461_n_2265),
+	.Y(n_2424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442202 (
+	.A(n_1859),
+	.B(n_2255),
+	.Y(n_2423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442203 (
+	.A(n_1865),
+	.B(n_2255),
+	.Y(n_2422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g442204 (
+	.A1(n_1494),
+	.A2(n_13342),
+	.B1(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.C1(n_164),
+	.Y(n_2421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442205 (
+	.A(n_1768),
+	.B(n_1373),
+	.C(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_2420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g442206 (
+	.A(n_2292),
+	.B(n_1381),
+	.C(n_13459),
+	.X(n_2418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g442207 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_data_we_q),
+	.B(n_2213),
+	.X(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_2 g442208 (
+	.A1(n_1748),
+	.A2(n_1222),
+	.B1(n_2253),
+	.X(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_2 g442209 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A2_N(n_1777),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B2(n_1777),
+	.X(n_2414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g442210 (
+	.A(n_1532),
+	.B(n_1432),
+	.C(FE_OFN31_io_out_37),
+	.D(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.Y(n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g442211 (
+	.A(n_1781),
+	.B(n_1972),
+	.X(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442212 (
+	.A(n_1448),
+	.Y(n_2305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442213 (
+	.A(n_2303),
+	.Y(n_2304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442214 (
+	.A(n_2291),
+	.Y(n_2290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442215 (
+	.A(n_2289),
+	.Y(n_2288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442216 (
+	.A(n_2287),
+	.Y(n_2286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442218 (
+	.A(n_2283),
+	.Y(n_2282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442219 (
+	.A(n_2256),
+	.Y(n_2255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442220 (
+	.A(n_2254),
+	.Y(n_2253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442221 (
+	.A(FE_OFN923_n_2252),
+	.Y(n_2251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442222 (
+	.A(FE_OFN922_n_2250),
+	.Y(n_2249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442223 (
+	.A(n_2248),
+	.Y(n_2247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442224 (
+	.A(FE_OFN919_n_2240),
+	.Y(n_2239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442225 (
+	.A(FE_OFN916_n_2237),
+	.Y(n_2236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442226 (
+	.A(FE_OFN914_n_2235),
+	.Y(n_2234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442227 (
+	.A(FE_OFN913_n_2233),
+	.Y(n_2232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442228 (
+	.A(FE_OFN909_n_2229),
+	.Y(n_2228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442230 (
+	.A(FE_OFN904_n_2222),
+	.Y(n_2221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442231 (
+	.A(FE_OFN896_n_2215),
+	.Y(n_2214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442232 (
+	.A(n_13491),
+	.B(n_1781),
+	.Y(n_2213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442260 (
+	.A(n_1744),
+	.B(n_1548),
+	.Y(n_2212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442265 (
+	.A(n_1616),
+	.B(n_1741),
+	.Y(n_2211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442266 (
+	.A(n_1746),
+	.B(\u_soc_lsu_to_xbar[a_address] [16]),
+	.Y(n_2210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442267 (
+	.A(n_1746),
+	.B(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.Y(n_2209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442268 (
+	.A(n_1773),
+	.B(n_1251),
+	.Y(n_2208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442269 (
+	.A(n_1764),
+	.B(n_1250),
+	.Y(n_2207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442270 (
+	.A(n_1765),
+	.B(n_1250),
+	.Y(n_2206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442271 (
+	.A(n_1770),
+	.B(n_1250),
+	.Y(n_2205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442272 (
+	.A(n_1774),
+	.B(n_1250),
+	.Y(n_2204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442273 (
+	.A(n_1772),
+	.B(n_1250),
+	.Y(n_2203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442274 (
+	.A(n_1763),
+	.B(n_1250),
+	.Y(n_2202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442275 (
+	.A(n_1766),
+	.B(n_1251),
+	.Y(n_2201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442276 (
+	.A(n_1769),
+	.B(n_1251),
+	.Y(n_2200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442277 (
+	.A(n_1775),
+	.B(n_1251),
+	.Y(n_2199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442278 (
+	.A(n_1767),
+	.B(n_1251),
+	.Y(n_2198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442279 (
+	.A(n_1771),
+	.B(n_1251),
+	.Y(n_2197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442280 (
+	.A1(n_1380),
+	.A2(n_164),
+	.B1(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_2196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442281 (
+	.A(n_1376),
+	.B(n_1751),
+	.Y(n_2195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442282 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.Y(n_2194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442283 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
+	.Y(n_2193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442284 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.Y(n_2192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442285 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
+	.Y(n_2191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442286 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_2190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442287 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.Y(n_2189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442288 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
+	.Y(n_2188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442289 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_2187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442291 (
+	.A_N(n_1272),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
+	.Y(n_2186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442292 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_2185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442293 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_2184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442294 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_2183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442295 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_2182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442296 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
+	.Y(n_2181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442297 (
+	.A(n_1745),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.Y(n_2180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442298 (
+	.A(n_1749),
+	.B(u_soc_u_top_u_core_csr_mtvec[15]),
+	.Y(n_2179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442299 (
+	.A(n_1749),
+	.B(u_soc_u_top_u_core_csr_mtvec[30]),
+	.Y(n_2178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442300 (
+	.A(n_1749),
+	.B(u_soc_u_top_u_core_csr_mtvec[31]),
+	.Y(n_2177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g442302 (
+	.A1(n_1047),
+	.A2(n_111),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.C1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_2303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442303 (
+	.A(FE_COEN4290_FE_OFN18250_n_183),
+	.B(n_1754),
+	.Y(n_2302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442304 (
+	.A(n_1886),
+	.B(n_13315),
+	.Y(n_2301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442305 (
+	.A(n_1292),
+	.B(n_1885),
+	.Y(n_2300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442317 (
+	.A(FE_COEN4270_n_13378),
+	.B(n_1909),
+	.Y(n_2176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442340 (
+	.A(n_1920),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_2299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442341 (
+	.A_N(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
+	.B(n_1272),
+	.Y(n_2298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442342 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
+	.B_N(n_1907),
+	.Y(n_2297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442344 (
+	.A(n_1921),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_2296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442345 (
+	.A(n_1910),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_2295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442346 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B_N(n_1759),
+	.Y(n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442349 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.B_N(n_1768),
+	.Y(n_2292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442350 (
+	.A(n_13321),
+	.B(n_1762),
+	.Y(n_2291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442351 (
+	.A(n_13344),
+	.B(n_1761),
+	.Y(n_2289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442352 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_1911),
+	.Y(n_2287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442353 (
+	.A(FE_OFN18313_n_1223),
+	.B(n_1884),
+	.Y(n_2285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442354 (
+	.A(n_13875),
+	.B(n_1779),
+	.Y(n_2283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442359 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.B(n_1752),
+	.Y(n_2281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442360 (
+	.A(n_1902),
+	.B(n_1442),
+	.Y(n_2280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442361 (
+	.A(n_1893),
+	.B(n_1447),
+	.Y(n_2279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442362 (
+	.A(n_1888),
+	.B(n_1442),
+	.Y(n_2278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442363 (
+	.A(n_1893),
+	.B(n_1443),
+	.Y(n_2277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442364 (
+	.A(n_1435),
+	.B(n_1906),
+	.Y(n_2276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442365 (
+	.A(n_1440),
+	.B(n_1901),
+	.Y(n_2275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442366 (
+	.A(n_15932),
+	.B(n_1892),
+	.Y(n_2274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442367 (
+	.A(n_1440),
+	.B(n_1892),
+	.Y(n_2273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442368 (
+	.A(n_1435),
+	.B(n_1901),
+	.Y(n_2272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442369 (
+	.A(n_15932),
+	.B(n_1906),
+	.Y(n_2271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442370 (
+	.A(n_1902),
+	.B(n_1443),
+	.Y(n_2270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442371 (
+	.A(n_1435),
+	.B(n_1903),
+	.Y(n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442372 (
+	.A(n_1902),
+	.B(n_1444),
+	.Y(n_2268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442373 (
+	.A(n_1888),
+	.B(n_1447),
+	.Y(n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442374 (
+	.A(n_1893),
+	.B(n_1442),
+	.Y(n_2266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442375 (
+	.A(n_1440),
+	.B(n_1906),
+	.Y(n_2265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g442376 (
+	.A(n_1891),
+	.B(n_1538),
+	.X(n_2264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442377 (
+	.A(n_15932),
+	.B(n_1901),
+	.Y(n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442378 (
+	.A(n_1893),
+	.B(n_1444),
+	.Y(n_2262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442379 (
+	.A(n_1435),
+	.B(n_1892),
+	.Y(n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442380 (
+	.A(n_1539),
+	.B(n_1901),
+	.Y(n_2260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442381 (
+	.A(n_1539),
+	.B(n_1906),
+	.Y(n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442382 (
+	.A(n_1539),
+	.B(n_1903),
+	.Y(n_2258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442383 (
+	.A(n_1888),
+	.B(n_1443),
+	.Y(n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442384 (
+	.A(n_1235),
+	.B(n_1899),
+	.Y(n_2256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g442385 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(n_1281),
+	.X(n_2254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442386 (
+	.A(n_1032),
+	.B(n_1905),
+	.Y(n_2252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442387 (
+	.A(n_1235),
+	.B(n_1895),
+	.Y(n_2250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442388 (
+	.A(n_1235),
+	.B(n_1905),
+	.Y(n_2248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g442389 (
+	.A(n_1783),
+	.B(n_1553),
+	.X(n_2246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g442390 (
+	.A(FE_COEN4270_n_13378),
+	.B_N(n_1889),
+	.Y(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442391 (
+	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(n_1916),
+	.Y(n_2244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442392 (
+	.A(n_494),
+	.B(n_1916),
+	.Y(n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442393 (
+	.A(n_494),
+	.B(n_1913),
+	.Y(n_2242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442394 (
+	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(n_1913),
+	.Y(n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442396 (
+	.A(n_1033),
+	.B(n_1905),
+	.Y(n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442397 (
+	.A(n_1887),
+	.B(n_1237),
+	.Y(n_2238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442398 (
+	.A(n_1904),
+	.B(n_1237),
+	.Y(n_2237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442399 (
+	.A(n_1033),
+	.B(n_1895),
+	.Y(n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442400 (
+	.A(n_1894),
+	.B(n_1031),
+	.Y(n_2233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442401 (
+	.A(n_1897),
+	.B(n_1031),
+	.Y(n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442402 (
+	.A(n_1898),
+	.B(n_1237),
+	.Y(n_2230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442403 (
+	.A(n_1896),
+	.B(n_1234),
+	.Y(n_2229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442404 (
+	.A(n_1896),
+	.B(n_1031),
+	.Y(n_2227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442405 (
+	.A(n_1894),
+	.B(n_1237),
+	.Y(n_2226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442406 (
+	.A(n_1896),
+	.B(n_1034),
+	.Y(n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442407 (
+	.A(n_1890),
+	.B(n_1031),
+	.Y(n_2223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442408 (
+	.A(n_1890),
+	.B(n_1234),
+	.Y(n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442409 (
+	.A(n_1897),
+	.B(n_1034),
+	.Y(n_2220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442410 (
+	.A(n_1890),
+	.B(n_1034),
+	.Y(n_2219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442411 (
+	.A(n_1896),
+	.B(n_1237),
+	.Y(n_2218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442412 (
+	.A(n_1890),
+	.B(n_1237),
+	.Y(n_2217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442413 (
+	.A(n_1897),
+	.B(n_1237),
+	.Y(n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442414 (
+	.A(n_1897),
+	.B(n_1234),
+	.Y(n_2215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442417 (
+	.A(n_2153),
+	.Y(n_2154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442418 (
+	.A(n_2148),
+	.Y(n_2147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442419 (
+	.A(n_2136),
+	.Y(n_2135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442420 (
+	.A(FE_OFN1446_n_2134),
+	.Y(n_2133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442421 (
+	.A(FE_OFN1445_n_2132),
+	.Y(n_2131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442422 (
+	.A(n_2130),
+	.Y(n_2129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442423 (
+	.A(n_2128),
+	.Y(n_2127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442424 (
+	.A(n_2126),
+	.Y(n_2125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442425 (
+	.A(FE_OFN1443_n_2124),
+	.Y(n_2123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442426 (
+	.A(FE_OFN1442_n_2122),
+	.Y(n_2121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442427 (
+	.A(FE_OFN1441_n_2120),
+	.Y(n_2119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442428 (
+	.A(n_2118),
+	.Y(n_2117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442429 (
+	.A(n_2116),
+	.Y(n_2115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442430 (
+	.A(n_2114),
+	.Y(n_2113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442431 (
+	.A(FE_OFN1440_n_2112),
+	.Y(n_2111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442432 (
+	.A(FE_OFN1439_n_2110),
+	.Y(n_2109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442433 (
+	.A(n_2106),
+	.Y(n_2105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442434 (
+	.A(FE_OFN1437_n_2104),
+	.Y(n_2103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442435 (
+	.A(n_2102),
+	.Y(n_2101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442436 (
+	.A(FE_OFN1434_n_2099),
+	.Y(n_2098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442437 (
+	.A(FE_OFN1433_n_2097),
+	.Y(n_2096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442438 (
+	.A(FE_OFN1432_n_2095),
+	.Y(n_2094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442439 (
+	.A(n_2093),
+	.Y(n_2092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442440 (
+	.A(n_2091),
+	.Y(n_2090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442441 (
+	.A(FE_OFN1430_n_2089),
+	.Y(n_2088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442442 (
+	.A(n_2087),
+	.Y(n_2086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442443 (
+	.A(n_2085),
+	.Y(n_2084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442444 (
+	.A(FE_OFN1428_n_2083),
+	.Y(n_2082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442445 (
+	.A(n_2081),
+	.Y(n_2080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442446 (
+	.A(FE_OFN1427_n_2079),
+	.Y(n_2078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442447 (
+	.A(FE_OFN1426_n_2077),
+	.Y(n_2076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442448 (
+	.A(n_2075),
+	.Y(n_2074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442449 (
+	.A(FE_OFN1424_n_2073),
+	.Y(n_2072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442450 (
+	.A(FE_OFN1421_n_2069),
+	.Y(n_2068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442451 (
+	.A(n_2067),
+	.Y(n_2066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442452 (
+	.A(FE_OFN1419_n_2065),
+	.Y(n_2064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442453 (
+	.A(FE_OFN1418_n_2063),
+	.Y(n_2062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442454 (
+	.A(FE_OFN1417_n_2061),
+	.Y(n_2060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442455 (
+	.A(n_2059),
+	.Y(n_2058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442456 (
+	.A(FE_OFN1416_n_2057),
+	.Y(n_2056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442457 (
+	.A(n_2055),
+	.Y(n_2054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442458 (
+	.A(FE_OFN19413_n_2053),
+	.Y(n_2052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442459 (
+	.A(n_2051),
+	.Y(n_2050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442460 (
+	.A(FE_OFN1413_n_2049),
+	.Y(n_2048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442461 (
+	.A(n_2047),
+	.Y(n_2046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442462 (
+	.A(FE_OFN1412_n_2045),
+	.Y(n_2044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442463 (
+	.A(FE_OFN19728_n_2043),
+	.Y(n_2042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442464 (
+	.A(FE_OFN18842_n_2041),
+	.Y(n_2040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442465 (
+	.A(FE_OFN1409_n_2039),
+	.Y(n_2038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442466 (
+	.A(n_2037),
+	.Y(n_2036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442467 (
+	.A(n_2035),
+	.Y(n_2034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442468 (
+	.A(n_2033),
+	.Y(n_2032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442469 (
+	.A(n_2031),
+	.Y(n_2030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442470 (
+	.A(n_2029),
+	.Y(n_2028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442471 (
+	.A(n_2027),
+	.Y(n_2026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442472 (
+	.A(n_2025),
+	.Y(n_2024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442473 (
+	.A(n_2023),
+	.Y(n_2022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442474 (
+	.A(n_2021),
+	.Y(n_2020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442475 (
+	.A(n_2019),
+	.Y(n_2018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442476 (
+	.A(FE_OFN1406_n_2016),
+	.Y(n_2015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442477 (
+	.A(n_2014),
+	.Y(n_2013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442478 (
+	.A(n_2011),
+	.Y(n_2010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442479 (
+	.A(n_2006),
+	.Y(n_2005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442480 (
+	.A(FE_OFN892_n_2004),
+	.Y(n_2003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442481 (
+	.A(FE_OFN1639_n_16011),
+	.Y(n_2001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442482 (
+	.A(FE_OFN891_n_1998),
+	.Y(n_1997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442483 (
+	.A(FE_OFN890_n_1996),
+	.Y(n_1995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442484 (
+	.A(n_1994),
+	.Y(n_1993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g442485 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_1470),
+	.X(n_1991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g442486 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_1552),
+	.X(n_1990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g442487 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_1469),
+	.X(n_1989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442488 (
+	.A1(n_1409),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.B1(n_1233),
+	.B2(u_soc_u_top_u_core_debug_ebreaku),
+	.Y(n_1988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442489 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
+	.X(n_1987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442490 (
+	.A1(n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[2]),
+	.Y(n_1986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442491 (
+	.A1(n_1527),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[2]),
+	.Y(n_1985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442492 (
+	.A1(n_1410),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.B1(n_1233),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.Y(n_1984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442493 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
+	.X(n_1983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442494 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.Y(n_1982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442495 (
+	.A1(FE_OFN884_n_1413),
+	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[3]),
+	.Y(n_1981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442496 (
+	.A1(n_1527),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.Y(n_1980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442497 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
+	.X(n_1979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442498 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[14]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[14]),
+	.Y(n_1978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442499 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[9]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[9]),
+	.Y(n_1977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442500 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[4]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.Y(n_1976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442501 (
+	.A1(n_1527),
+	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[1]),
+	.Y(n_1975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442502 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
+	.X(n_1974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442503 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.Y(n_1973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g442504 (
+	.A1(n_1358),
+	.A2(n_13377),
+	.B1(n_13880),
+	.B2(n_164),
+	.Y(n_1972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442505 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[14]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[14]),
+	.Y(n_1971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442506 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[13]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[13]),
+	.Y(n_1970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442507 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[12]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[12]),
+	.Y(n_1969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442508 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[10]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[10]),
+	.Y(n_1968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442509 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[9]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[9]),
+	.Y(n_1967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442510 (
+	.A(n_1054),
+	.B(n_1908),
+	.Y(n_1966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442511 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[10]),
+	.B1(FE_OFN885_n_1518),
+	.B2(u_soc_u_top_u_core_csr_mepc[10]),
+	.Y(n_1965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442512 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[6]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[6]),
+	.Y(n_1964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442513 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[5]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[5]),
+	.Y(n_1963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442514 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[4]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[4]),
+	.Y(n_1962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442515 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[6]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.Y(n_1961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442516 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[15]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
+	.Y(n_1960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442517 (
+	.A1(FE_OFN885_n_1518),
+	.A2(u_soc_u_top_u_core_csr_mepc[31]),
+	.B1(FE_OFN882_n_1214),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.Y(n_1959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442518 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[30]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.Y(n_1958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442519 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
+	.X(n_1957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442520 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
+	.X(n_1956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442521 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
+	.X(n_1955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442522 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
+	.X(n_1954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442523 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
+	.X(n_1953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442524 (
+	.A1(n_1439),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[1] [3]),
+	.B1(n_1533),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [5]),
+	.X(n_1952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442525 (
+	.A1(n_1562),
+	.A2(n_1244),
+	.B1(n_16),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.Y(n_1951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442526 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
+	.X(n_1950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442527 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.B1(FE_OFN1606_n_1516),
+	.B2(u_soc_u_top_u_core_csr_depc[5]),
+	.Y(n_1949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442528 (
+	.A1(FE_OFN1403_n_1412),
+	.A2(u_soc_u_top_u_core_pc_id[2]),
+	.B1(n_1519),
+	.B2(u_soc_u_top_u_core_pc_if[2]),
+	.Y(n_1948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442529 (
+	.A1(FE_OFN1605_n_1513),
+	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.B1(n_1409),
+	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
+	.X(n_1947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442530 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[7]),
+	.B1(n_1233),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.Y(n_1946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442531 (
+	.A1(FE_OFN1606_n_1516),
+	.A2(u_soc_u_top_u_core_csr_depc[6]),
+	.B1(n_1410),
+	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.Y(n_1945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442532 (
+	.A1(n_1460),
+	.A2(n_53),
+	.B1(n_1900),
+	.Y(n_1944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442533 (
+	.A1(u_soc_u_top_u_core_pc_mux_id[2]),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.B1(u_soc_u_top_u_core_csr_restore_mret_id),
+	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.C1(n_1360),
+	.Y(n_1943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442534 (
+	.A1(u_soc_u_top_u_core_pc_mux_id[2]),
+	.A2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.B1(u_soc_u_top_u_core_csr_restore_mret_id),
+	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.C1(n_1361),
+	.Y(n_1942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g442535 (
+	.A1(n_15927),
+	.A2(FE_OFN75_io_out_37),
+	.B1(n_1085),
+	.X(n_1941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g442536 (
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.A2(FE_OFN18250_n_183),
+	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.C1(n_132),
+	.D1(n_13381),
+	.Y(n_1940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g442537 (
+	.A1(n_480),
+	.A2(n_1531),
+	.B1(n_1054),
+	.X(n_1939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g442538 (
+	.A1(FE_OFN879_n_690),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
+	.B1(FE_OFN880_n_831),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
+	.C1(n_1347),
+	.X(n_1938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442539 (
+	.A(n_13885),
+	.B(n_1509),
+	.C(u_soc_u_top_u_core_illegal_csr_insn_id),
+	.Y(n_1937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g442540 (
+	.A1(n_1256),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B1(n_1063),
+	.C1(n_1480),
+	.X(n_1936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442541 (
+	.A1(FE_OFN876_n_471),
+	.A2(n_1564),
+	.B1(n_1077),
+	.Y(n_1935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g442542 (
+	.A1(n_1049),
+	.A2(n_13340),
+	.A3(FE_OFN1142_u_soc_u_top_data_we),
+	.B1(n_1048),
+	.B2(u_soc_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.X(n_1934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442543 (
+	.A1(n_1047),
+	.A2(n_1424),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_1933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g442544 (
+	.A1(u_soc_u_uart_u_uart_core_rx_sbit),
+	.A2(n_981),
+	.A3(u_soc_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.A4(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(n_1471),
+	.Y(n_1932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442545 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.B(n_1387),
+	.C(n_1369),
+	.Y(n_1931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442546 (
+	.A1(n_1128),
+	.A2(n_1106),
+	.B1(n_1742),
+	.Y(n_1930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442547 (
+	.A1(n_1086),
+	.A2(n_1062),
+	.B1(n_1211),
+	.B2(n_522),
+	.C1(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.Y(n_1929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g442548 (
+	.A1(n_15900),
+	.A2(u_soc_u_uart_u_uart_core_fifo_read_size[7]),
+	.A3(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
+	.B1(u_soc_u_uart_u_uart_core_fifo_read_size[8]),
+	.X(n_1928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442549 (
+	.A1(n_1122),
+	.A2(n_1105),
+	.B1(n_1742),
+	.Y(n_1927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442550 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.B1(n_1886),
+	.Y(n_1926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442551 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1343),
+	.Y(n_1925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g442552 (
+	.A1(n_1192),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B1(n_1093),
+	.B2(n_568),
+	.C1(n_1350),
+	.X(n_1924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442553 (
+	.A(n_1531),
+	.B_N(n_1889),
+	.Y(n_1923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442554 (
+	.A(n_1641),
+	.B(n_1688),
+	.Y(n_2175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442555 (
+	.A(n_1639),
+	.B(n_1715),
+	.Y(n_2174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442556 (
+	.A(n_1638),
+	.B(n_1642),
+	.Y(n_2173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442557 (
+	.A(n_1637),
+	.B(n_1635),
+	.Y(n_2172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442558 (
+	.A(n_1634),
+	.B(n_1704),
+	.Y(n_2171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442559 (
+	.A(n_1631),
+	.B(n_1658),
+	.Y(n_2170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g442560 (
+	.A_N(n_13475),
+	.B(n_1462),
+	.C(u_soc_u_top_u_core_debug_mode),
+	.X(n_2169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442561 (
+	.A(n_1742),
+	.B(FE_OFN19443_n_1431),
+	.Y(n_2167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442562 (
+	.A(n_1676),
+	.B(n_1628),
+	.Y(n_2166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442563 (
+	.A(n_1727),
+	.B(n_1722),
+	.Y(n_2165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442564 (
+	.A(n_1714),
+	.B(n_1677),
+	.Y(n_2164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442565 (
+	.A(n_1782),
+	.B(n_11130),
+	.Y(n_2163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442567 (
+	.A(n_1846),
+	.B(n_1754),
+	.Y(n_2162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442568 (
+	.A(n_1537),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.C(n_94),
+	.Y(n_2161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442569 (
+	.A(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.B(FE_COEN4270_n_13378),
+	.C(n_1434),
+	.Y(n_2160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442570 (
+	.A(FE_OFN876_n_471),
+	.B_N(n_1762),
+	.Y(n_2159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442571 (
+	.A(FE_OFN876_n_471),
+	.B_N(n_1761),
+	.Y(n_2158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442572 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.C(n_1475),
+	.Y(n_2157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442573 (
+	.A(n_1744),
+	.B(FE_OFN19443_n_1431),
+	.Y(n_2156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442574 (
+	.A1(n_471),
+	.A2(n_1565),
+	.B1(n_636),
+	.Y(n_2153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g442575 (
+	.A1(n_1449),
+	.A2(FE_OFN35_io_out_37),
+	.B1(n_636),
+	.X(n_2152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442576 (
+	.A(n_1694),
+	.B(n_1429),
+	.Y(n_2151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442577 (
+	.A(n_1679),
+	.B(n_1429),
+	.Y(n_2150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442578 (
+	.A(n_1075),
+	.B(n_15934),
+	.C(n_1429),
+	.Y(n_2149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g442579 (
+	.A(n_1463),
+	.B(n_15936),
+	.C(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.Y(n_2148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442580 (
+	.A1(FE_OFN18313_n_1223),
+	.A2(n_1527),
+	.B1(n_1406),
+	.Y(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442581 (
+	.A1(n_1033),
+	.A2(n_1529),
+	.B1(n_478),
+	.Y(n_2145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442582 (
+	.A1(n_1236),
+	.A2(n_1529),
+	.B1(n_478),
+	.Y(n_2144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442583 (
+	.A(n_1888),
+	.B(n_1444),
+	.Y(n_2143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442584 (
+	.A(n_15932),
+	.B(n_1903),
+	.Y(n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442585 (
+	.A1(n_1235),
+	.A2(n_1529),
+	.B1(n_478),
+	.Y(n_2141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442586 (
+	.A(n_1902),
+	.B(n_1447),
+	.Y(n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442587 (
+	.A1(n_1032),
+	.A2(n_1529),
+	.B1(n_478),
+	.Y(n_2139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442588 (
+	.A(n_1440),
+	.B(n_1903),
+	.Y(n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g442589 (
+	.A(n_1032),
+	.B(n_1899),
+	.X(n_2137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_2 g442590 (
+	.A_N(n_13479),
+	.B(n_1426),
+	.C(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.Y(n_2136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442591 (
+	.A(n_1864),
+	.B(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.Y(n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442592 (
+	.A(n_1867),
+	.B(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.Y(n_2132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442593 (
+	.A(n_1856),
+	.B(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442594 (
+	.A(n_1863),
+	.B(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.Y(n_2128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442595 (
+	.A(n_1),
+	.B(n_1859),
+	.Y(n_2126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442596 (
+	.A(n_1867),
+	.B(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_2124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442597 (
+	.A(n_1858),
+	.B(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442598 (
+	.A(n_1867),
+	.B(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.Y(n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442599 (
+	.A(n_473),
+	.B(n_1859),
+	.Y(n_2118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442600 (
+	.A(n_1858),
+	.B(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442601 (
+	.A(n_474),
+	.B(n_1859),
+	.Y(n_2114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442602 (
+	.A(n_1864),
+	.B(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.Y(n_2112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442603 (
+	.A(n_1863),
+	.B(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442604 (
+	.A(n_1864),
+	.B(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.Y(n_2108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442605 (
+	.A(n_1864),
+	.B(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.Y(n_2107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442606 (
+	.A(n_1864),
+	.B(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442607 (
+	.A(n_1863),
+	.B(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.Y(n_2104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442608 (
+	.A(n_1864),
+	.B(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.Y(n_2102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442609 (
+	.A(n_1863),
+	.B(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.Y(n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442610 (
+	.A(n_1863),
+	.B(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.Y(n_2099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442611 (
+	.A(n_1858),
+	.B(u_soc_u_uart_u_uart_core_rx[2]),
+	.Y(n_2097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442612 (
+	.A(n_1856),
+	.B(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_2095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442613 (
+	.A(n_1867),
+	.B(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.Y(n_2093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442614 (
+	.A(n_1856),
+	.B(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.Y(n_2091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442615 (
+	.A(n_1867),
+	.B(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.Y(n_2089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442616 (
+	.A(n_1867),
+	.B(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442617 (
+	.A(n_1863),
+	.B(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_2085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442618 (
+	.A(n_1863),
+	.B(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.Y(n_2083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442619 (
+	.A(n_1864),
+	.B(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_2081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442620 (
+	.A(n_1863),
+	.B(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_2079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442621 (
+	.A(n_1858),
+	.B(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_2077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442622 (
+	.A(n_1867),
+	.B(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_2075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442623 (
+	.A(n_1867),
+	.B(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.Y(n_2073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442624 (
+	.A(n_1856),
+	.B(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.Y(n_2071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442625 (
+	.A(n_1856),
+	.B(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442626 (
+	.A(n_1860),
+	.B(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_2069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442627 (
+	.A(n_0),
+	.B(n_1861),
+	.Y(n_2067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442628 (
+	.A(n_1860),
+	.B(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.Y(n_2065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442629 (
+	.A(n_1860),
+	.B(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_2063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442630 (
+	.A(n_1860),
+	.B(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.Y(n_2061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442631 (
+	.A(n_1860),
+	.B(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442632 (
+	.A(n_1860),
+	.B(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.Y(n_2057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442633 (
+	.A(n_5),
+	.B(n_1861),
+	.Y(n_2055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442634 (
+	.A(n_5),
+	.B(n_1870),
+	.Y(n_2053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442635 (
+	.A(n_1),
+	.B(n_1870),
+	.Y(n_2051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442636 (
+	.A(n_0),
+	.B(n_1870),
+	.Y(n_2049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442637 (
+	.A(n_4),
+	.B(n_1870),
+	.Y(n_2047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442638 (
+	.A(n_3),
+	.B(n_1870),
+	.Y(n_2045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442639 (
+	.A(n_2),
+	.B(n_1870),
+	.Y(n_2043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442640 (
+	.A(n_473),
+	.B(n_1870),
+	.Y(n_2041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442641 (
+	.A(n_474),
+	.B(n_1870),
+	.Y(n_2039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442642 (
+	.A(n_1856),
+	.B(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.Y(n_2037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442643 (
+	.A(n_1856),
+	.B(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.Y(n_2035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442644 (
+	.A(n_473),
+	.B(n_1855),
+	.Y(n_2033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442645 (
+	.A(n_1),
+	.B(n_1855),
+	.Y(n_2031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442646 (
+	.A(n_0),
+	.B(n_1855),
+	.Y(n_2029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442647 (
+	.A(n_4),
+	.B(n_1855),
+	.Y(n_2027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442648 (
+	.A(n_3),
+	.B(n_1855),
+	.Y(n_2025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442649 (
+	.A(n_5),
+	.B(n_1855),
+	.Y(n_2023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442650 (
+	.A(n_474),
+	.B(n_1855),
+	.Y(n_2021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442651 (
+	.A(n_2),
+	.B(n_1855),
+	.Y(n_2019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442652 (
+	.A(n_1856),
+	.B(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.Y(n_2017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442653 (
+	.A(n_1864),
+	.B(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_2016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442654 (
+	.A(n_0),
+	.B(n_1859),
+	.Y(n_2014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442655 (
+	.A(n_1887),
+	.B(n_1031),
+	.Y(n_2012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442656 (
+	.A(n_1887),
+	.B(n_1234),
+	.Y(n_2011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_2 g442657 (
+	.A1(n_615),
+	.A2(n_1097),
+	.B1(n_1071),
+	.C1(n_1759),
+	.X(n_2009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_2 g442658 (
+	.A1(n_522),
+	.A2(n_1082),
+	.B1(n_1061),
+	.C1(n_1759),
+	.X(n_2008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g442659 (
+	.A_N(n_1899),
+	.B(n_1237),
+	.Y(n_2007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g442660 (
+	.A(n_1033),
+	.B(n_1899),
+	.X(n_2006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442661 (
+	.A(n_1887),
+	.B(n_1034),
+	.Y(n_2004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g442663 (
+	.A1(n_1517),
+	.A2(n_1222),
+	.B1(n_1405),
+	.X(n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g442664 (
+	.A1(n_1515),
+	.A2(n_1222),
+	.B1(n_1213),
+	.X(n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442665 (
+	.A(n_1898),
+	.B(n_1234),
+	.Y(n_1998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442666 (
+	.A(n_1898),
+	.B(n_1031),
+	.Y(n_1996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442667 (
+	.A(n_1898),
+	.B(n_1034),
+	.Y(n_1994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g442668 (
+	.A1(n_1408),
+	.A2(n_1222),
+	.B1(n_1405),
+	.X(n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442669 (
+	.A(n_1914),
+	.Y(n_1915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442670 (
+	.A(n_1910),
+	.Y(n_1911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442671 (
+	.A(n_1905),
+	.Y(n_1904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442672 (
+	.A(n_1895),
+	.Y(n_1894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442673 (
+	.A(n_1891),
+	.Y(n_1892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442674 (
+	.A(n_1886),
+	.Y(n_1885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442675 (
+	.A(n_1868),
+	.Y(n_1869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442676 (
+	.A(n_1867),
+	.Y(n_1866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442677 (
+	.A(n_1865),
+	.Y(n_1864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442678 (
+	.A(n_1863),
+	.Y(n_1862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442679 (
+	.A(n_1861),
+	.Y(n_1860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442680 (
+	.A(n_1859),
+	.Y(n_1858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442681 (
+	.A(n_1857),
+	.Y(n_1856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442683 (
+	.A(FE_OFN1404_n_1848),
+	.Y(n_1847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442684 (
+	.A(n_1846),
+	.Y(n_1845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g442685 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\u_soc_tcam_to_xbar[d_valid] ),
+	.COUT(n_1921),
+	.SUM(n_1841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g442686 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\u_soc_dccm_to_xbar[d_valid] ),
+	.COUT(n_1920),
+	.SUM(n_1840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g442687 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[24]),
+	.B(n_13519),
+	.COUT(n_1838),
+	.SUM(n_1839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442688 (
+	.A_N(u_soc_u_uart_u_uart_core_rx_timeout[0]),
+	.B(n_1514),
+	.Y(n_1837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442689 (
+	.A(FE_OFN876_n_471),
+	.B_N(n_1624),
+	.Y(n_1836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442690 (
+	.A1(n_670),
+	.A2(n_1067),
+	.B1(u_soc_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_1835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442691 (
+	.A(FE_OFN876_n_471),
+	.B(n_1561),
+	.Y(n_1834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442692 (
+	.A(FE_OFN876_n_471),
+	.B(n_1558),
+	.Y(n_1833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442693 (
+	.A(FE_OFN876_n_471),
+	.B(n_1557),
+	.Y(n_1832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442694 (
+	.A(n_1564),
+	.B(n_1077),
+	.Y(n_1831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442695 (
+	.A(n_1424),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442696 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[21]),
+	.X(n_1829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442697 (
+	.A(n_1410),
+	.B(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.Y(n_1828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442698 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[24]),
+	.X(n_1827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442699 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[14]),
+	.X(n_1826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442700 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[27]),
+	.X(n_1825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442701 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[19]),
+	.X(n_1824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442702 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[17]),
+	.X(n_1823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442703 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[11]),
+	.X(n_1822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442704 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[13]),
+	.X(n_1821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442706 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[22]),
+	.X(n_1820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442707 (
+	.A_N(u_soc_u_uart_u_uart_core_rx_timeout[1]),
+	.B(n_1514),
+	.Y(n_1819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442708 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[5]),
+	.X(n_1818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442709 (
+	.A_N(u_soc_u_uart_u_uart_core_rx_timeout[3]),
+	.B(n_1514),
+	.Y(n_1817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442710 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[7]),
+	.X(n_1816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442711 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[12]),
+	.X(n_1815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442712 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[23]),
+	.X(n_1814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442713 (
+	.A(n_1513),
+	.B(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.Y(n_1813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442714 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[8]),
+	.X(n_1812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442715 (
+	.A(n_1370),
+	.B(n_1022),
+	.Y(n_1811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442716 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[20]),
+	.X(n_1810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442717 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[25]),
+	.X(n_1809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442718 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[15]),
+	.X(n_1808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442719 (
+	.A(FE_OFN1606_n_1516),
+	.B(u_soc_u_top_u_core_csr_depc[13]),
+	.Y(n_1807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442720 (
+	.A(FE_OFN1606_n_1516),
+	.B(u_soc_u_top_u_core_csr_depc[1]),
+	.Y(n_1806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442721 (
+	.A(n_1463),
+	.B(u_soc_u_uart_u_uart_core_rx_status),
+	.Y(n_1805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442723 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[16]),
+	.X(n_1804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442724 (
+	.A(n_1409),
+	.B(u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
+	.Y(n_1803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442725 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[9]),
+	.X(n_1802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442726 (
+	.A(n_1410),
+	.B(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.Y(n_1801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442727 (
+	.A(FE_OFN1606_n_1516),
+	.B(u_soc_u_top_u_core_csr_depc[17]),
+	.Y(n_1800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442728 (
+	.A(FE_OFN1606_n_1516),
+	.B(u_soc_u_top_u_core_csr_depc[8]),
+	.Y(n_1799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442729 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[10]),
+	.X(n_1798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442730 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[30]),
+	.X(n_1797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442731 (
+	.A(FE_OFN1606_n_1516),
+	.B(u_soc_u_top_u_core_csr_depc[21]),
+	.Y(n_1796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442732 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[4]),
+	.X(n_1795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442733 (
+	.A(n_1527),
+	.B(u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.Y(n_1794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442734 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[6]),
+	.X(n_1793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442735 (
+	.A_N(u_soc_u_uart_u_uart_core_rx_timeout[2]),
+	.B(n_1514),
+	.Y(n_1792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442736 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[28]),
+	.X(n_1791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442737 (
+	.A(n_1409),
+	.B(u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
+	.Y(n_1790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442738 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[31]),
+	.X(n_1789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442739 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[18]),
+	.X(n_1788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442740 (
+	.A(n_13462),
+	.B(n_1337),
+	.Y(n_1787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442741 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[29]),
+	.X(n_1786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442743 (
+	.A(n_1514),
+	.B(u_soc_u_uart_u_uart_core_rx_timeout[26]),
+	.X(n_1784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442753 (
+	.A_N(n_1070),
+	.B(n_1557),
+	.Y(n_1918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442754 (
+	.A_N(n_1078),
+	.B(n_1558),
+	.Y(n_1917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442763 (
+	.A(n_1459),
+	.B(n_1553),
+	.Y(n_1916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442774 (
+	.A(n_1565),
+	.B(n_636),
+	.Y(n_1914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442776 (
+	.A(n_1459),
+	.B(n_1426),
+	.Y(n_1913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442778 (
+	.A(n_1551),
+	.B(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.Y(n_1912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442779 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(n_1526),
+	.Y(n_1910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g442780 (
+	.A_N(n_1434),
+	.B(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.Y(n_1909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442781 (
+	.A(n_1432),
+	.B(n_480),
+	.Y(n_1908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442782 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
+	.B_N(n_1550),
+	.Y(n_1907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442783 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1535),
+	.Y(n_1906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442784 (
+	.A(n_1545),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_1905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442785 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1537),
+	.Y(n_1903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442786 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1547),
+	.Y(n_1902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442787 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_15928),
+	.Y(n_1901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442788 (
+	.A(n_53),
+	.B(n_1460),
+	.Y(n_1900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442789 (
+	.A(n_1528),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_1899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442790 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_1544),
+	.Y(n_1898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442791 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_1549),
+	.Y(n_1897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442792 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_1546),
+	.Y(n_1896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442793 (
+	.A(n_1547),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_1895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442795 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1545),
+	.Y(n_1893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442797 (
+	.A(n_1542),
+	.B(n_478),
+	.Y(n_1891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442798 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_1529),
+	.Y(n_1890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g442799 (
+	.A(n_1532),
+	.B(n_480),
+	.X(n_1889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442800 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B_N(n_1549),
+	.Y(n_1888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442801 (
+	.A(n_497),
+	.B(n_1549),
+	.Y(n_1887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442805 (
+	.A(n_13458),
+	.B(n_1464),
+	.Y(n_1886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442806 (
+	.A(n_1425),
+	.B(n_13478),
+	.Y(n_1884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442807 (
+	.A(n_13358),
+	.B(n_1438),
+	.Y(n_1883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442808 (
+	.A(n_13359),
+	.B(n_1433),
+	.Y(n_1882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442809 (
+	.A(n_13360),
+	.B(n_1433),
+	.Y(n_1881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442810 (
+	.A(n_13358),
+	.B(n_1433),
+	.Y(n_1880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442811 (
+	.A(n_13361),
+	.B(n_1438),
+	.Y(n_1879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442812 (
+	.A(n_1280),
+	.B(n_1556),
+	.Y(n_1878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442813 (
+	.A(n_13361),
+	.B(n_1433),
+	.Y(n_1877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442814 (
+	.A(n_13359),
+	.B(n_1438),
+	.Y(n_1876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g442815 (
+	.A(n_13360),
+	.B(n_1438),
+	.Y(n_1875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442816 (
+	.A(n_1280),
+	.B(n_1543),
+	.Y(n_1874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442817 (
+	.A(n_1241),
+	.B(n_1543),
+	.Y(n_1873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442818 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(n_1418),
+	.Y(n_1872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442819 (
+	.A(n_1556),
+	.B(n_1241),
+	.Y(n_1871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442820 (
+	.A(n_15928),
+	.B(n_1040),
+	.Y(n_1870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442821 (
+	.A(n_1419),
+	.B(n_1241),
+	.Y(n_1868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442822 (
+	.A(n_1044),
+	.B(n_1542),
+	.Y(n_1867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442823 (
+	.A(n_1535),
+	.B(n_1043),
+	.Y(n_1865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442824 (
+	.A(n_1039),
+	.B(n_1542),
+	.Y(n_1863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442825 (
+	.A(n_1537),
+	.B(n_1043),
+	.Y(n_1861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442826 (
+	.A(n_1537),
+	.B(n_1040),
+	.Y(n_1859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442827 (
+	.A(n_15928),
+	.B(n_1043),
+	.Y(n_1857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442828 (
+	.A(n_1040),
+	.B(n_1535),
+	.Y(n_1855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442829 (
+	.A(n_1415),
+	.B(n_1213),
+	.Y(n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442830 (
+	.A(n_1240),
+	.B(n_1543),
+	.Y(n_1852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g442831 (
+	.A(n_1406),
+	.B(u_soc_u_top_u_core_csr_save_if),
+	.X(n_1851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442832 (
+	.A(n_1282),
+	.B(n_1405),
+	.Y(n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g442833 (
+	.A(n_1555),
+	.B(n_1241),
+	.X(n_1849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442834 (
+	.A(u_soc_u_top_u_core_csr_restore_mret_id),
+	.B(n_1405),
+	.Y(n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442835 (
+	.A(n_1551),
+	.B(n_16),
+	.Y(n_1846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g442836 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN884_n_1413),
+	.Y(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442837 (
+	.A(FE_OFN18313_n_1223),
+	.B(n_1410),
+	.Y(n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442838 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN1605_n_1513),
+	.Y(n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442840 (
+	.A(n_1755),
+	.Y(n_1754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442841 (
+	.A(FE_OFN887_n_1753),
+	.Y(n_1752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442842 (
+	.A(n_1751),
+	.Y(n_1750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442843 (
+	.A(n_1749),
+	.Y(n_1748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442844 (
+	.A(n_1744),
+	.Y(n_1743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g442845 (
+	.A(n_1742),
+	.Y(n_1741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442846 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[13]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[13]),
+	.Y(n_1740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g442847 (
+	.A1(n_13455),
+	.A2(n_1208),
+	.B1(n_13872),
+	.C1(n_13341),
+	.Y(n_1739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442848 (
+	.A(n_1552),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_1738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442849 (
+	.A(n_1354),
+	.B(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_1737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g442850 (
+	.A1(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A2(FE_OFN18488_n_15943),
+	.A3(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B1(n_1425),
+	.Y(n_1736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g442851 (
+	.A1(n_1186),
+	.A2(n_13329),
+	.B1(n_13326),
+	.Y(n_1735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442852 (
+	.A(n_1514),
+	.B(n_1196),
+	.Y(n_1734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g442853 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(n_1489),
+	.Y(n_1733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442854 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_600),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.X(n_1732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442855 (
+	.A1(n_1023),
+	.A2(u_soc_u_uart_u_uart_core_rx_time_n_606),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.X(n_1731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g442856 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A3(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.B1(n_1163),
+	.Y(n_1730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442857 (
+	.A1(FE_OFN31_io_out_37),
+	.A2(n_1047),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.Y(n_1729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442858 (
+	.A1(n_1227),
+	.A2(FE_OFN302_u_soc_u_dccm_rdata1_18),
+	.B1(n_1224),
+	.B2(FE_OFN18871_u_soc_u_dccm_rdata4_18),
+	.Y(n_1728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442859 (
+	.A1(n_1230),
+	.A2(FE_OFN18897_u_soc_u_dccm_rdata2_19),
+	.B1(n_1224),
+	.B2(FE_OFN19122_u_soc_u_dccm_rdata4_19),
+	.Y(n_1727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g442860 (
+	.A1(n_43),
+	.A2(n_1055),
+	.B1(n_13334),
+	.Y(n_1726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442861 (
+	.A1(FE_OFN31_io_out_37),
+	.A2(n_1096),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_1725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442862 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_1069),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_1724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442863 (
+	.A1(FE_OFN31_io_out_37),
+	.A2(n_1164),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_1723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442864 (
+	.A1(n_1227),
+	.A2(FE_OFN301_u_soc_u_dccm_rdata1_19),
+	.B1(n_1228),
+	.B2(FE_OFN18052_u_soc_u_dccm_rdata3_19),
+	.Y(n_1722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442865 (
+	.A1(n_1261),
+	.A2(n_1087),
+	.B1(n_1534),
+	.Y(n_1721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442866 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_579),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.X(n_1720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442867 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_581),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.X(n_1719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442868 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_591),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.X(n_1718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442869 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_603),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.X(n_1717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442870 (
+	.A1(n_1023),
+	.A2(u_soc_u_uart_u_uart_core_rx_time_n_605),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.X(n_1716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442871 (
+	.A1(n_1227),
+	.A2(FE_OFN308_u_soc_u_dccm_rdata1_12),
+	.B1(n_1224),
+	.B2(FE_OFN19124_u_soc_u_dccm_rdata4_12),
+	.Y(n_1715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442872 (
+	.A1(n_1227),
+	.A2(FE_OFN300_u_soc_u_dccm_rdata1_20),
+	.B1(n_1228),
+	.B2(FE_OFN18051_u_soc_u_dccm_rdata3_20),
+	.Y(n_1714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442873 (
+	.A1(FE_OFN31_io_out_37),
+	.A2(n_1064),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.Y(n_1713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442874 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_601),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.X(n_1712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442875 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_602),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.X(n_1711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442876 (
+	.A1(n_1261),
+	.A2(n_1083),
+	.B1(n_1534),
+	.Y(n_1710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442877 (
+	.A1(n_1261),
+	.A2(n_1079),
+	.B1(n_1534),
+	.Y(n_1709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442878 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_585),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.X(n_1708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442879 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_584),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.X(n_1707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442880 (
+	.A1(n_1023),
+	.A2(u_soc_u_uart_u_uart_core_rx_time_n_604),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.X(n_1706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442881 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_577),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.X(n_1705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442882 (
+	.A1(n_1227),
+	.A2(FE_OFN297_u_soc_u_dccm_rdata1_23),
+	.B1(n_1228),
+	.B2(FE_OFN355_u_soc_u_dccm_rdata3_23),
+	.Y(n_1704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442883 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_586),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.X(n_1703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g442884 (
+	.A1(n_1283),
+	.A2(n_589),
+	.B1_N(n_1612),
+	.Y(n_1702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442885 (
+	.A1(n_1227),
+	.A2(FE_OFN303_u_soc_u_dccm_rdata1_17),
+	.B1(n_1224),
+	.B2(FE_OFN19445_u_soc_u_dccm_rdata4_17),
+	.Y(n_1701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g442886 (
+	.A1(n_111),
+	.A2(n_1094),
+	.B1(n_1096),
+	.X(n_1700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g442887 (
+	.A(n_12),
+	.B(n_13484),
+	.C(n_13474),
+	.D_N(u_soc_n_289),
+	.Y(n_1699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442888 (
+	.A1(n_1227),
+	.A2(FE_OFN293_u_soc_u_dccm_rdata1_27),
+	.B1(n_1224),
+	.B2(FE_OFN18866_u_soc_u_dccm_rdata4_27),
+	.Y(n_1698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442889 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_582),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.X(n_1697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g442890 (
+	.A1(n_1090),
+	.A2(n_111),
+	.B1(n_1064),
+	.X(n_1696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442891 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_597),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.X(n_1695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442892 (
+	.A(n_1473),
+	.B(n_1063),
+	.Y(n_1694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442893 (
+	.A(FE_OFN876_n_471),
+	.B(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.C(n_1062),
+	.Y(n_1693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g442894 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.D(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.X(n_1692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442895 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_587),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.X(n_1691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g442896 (
+	.A(FE_OFN18487_n_13386),
+	.B(FE_OFN18594_n),
+	.C(FE_OFN19555_n_13387),
+	.D(FE_PSN4341_FE_OFN18495_n_13389),
+	.X(n_1690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442897 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
+	.C1(n_1404),
+	.Y(n_1689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442898 (
+	.A1(n_1230),
+	.A2(FE_OFN19329_u_soc_u_dccm_rdata2_9),
+	.B1(n_1224),
+	.B2(FE_OFN19440_u_soc_u_dccm_rdata4_9),
+	.Y(n_1688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442899 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_588),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.X(n_1687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g442900 (
+	.A(u_soc_u_top_u_core_id_stage_i_ebrk_insn),
+	.B(u_soc_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.C(u_soc_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.D(u_soc_u_top_u_core_illegal_csr_insn_id),
+	.Y(n_1686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g442901 (
+	.A(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.B(n_720),
+	.C(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.D(u_soc_u_top_u_core_alu_operator_ex[5]),
+	.X(n_1685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442902 (
+	.A1(n_1230),
+	.A2(FE_OFN18903_u_soc_u_dccm_rdata2_27),
+	.B1(n_1228),
+	.B2(FE_OFN19331_u_soc_u_dccm_rdata3_27),
+	.Y(n_1684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442903 (
+	.A1(FE_OFN880_n_831),
+	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
+	.B1(FE_OFN879_n_690),
+	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
+	.C1(n_1348),
+	.Y(n_1683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442904 (
+	.A1(n_1230),
+	.A2(FE_OFN18857_u_soc_u_dccm_rdata2_18),
+	.B1(n_1228),
+	.B2(FE_OFN19382_u_soc_u_dccm_rdata3_18),
+	.Y(n_1682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g442905 (
+	.A(n_1261),
+	.B(n_610),
+	.C(n_62),
+	.X(n_1681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g442906 (
+	.A(n_1092),
+	.B(n_568),
+	.C(n_579),
+	.X(n_1680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442907 (
+	.A1(n_32),
+	.A2(n_1093),
+	.B1(n_1091),
+	.Y(n_1679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442908 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_580),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.X(n_1678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442909 (
+	.A1(n_1230),
+	.A2(FE_OFN18836_u_soc_u_dccm_rdata2_20),
+	.B1(n_1224),
+	.B2(FE_OFN19113_u_soc_u_dccm_rdata4_20),
+	.Y(n_1677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442910 (
+	.A1(n_1230),
+	.A2(FE_OFN18816_u_soc_u_dccm_rdata2_21),
+	.B1(n_1228),
+	.B2(FE_OFN18050_u_soc_u_dccm_rdata3_21),
+	.Y(n_1676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442911 (
+	.A1(n_1212),
+	.A2(u_soc_u_top_u_core_debug_cause[1]),
+	.B1(n_1213),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.X(n_1675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442912 (
+	.A1(n_1212),
+	.A2(u_soc_u_top_u_core_debug_cause[2]),
+	.B1(n_1213),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.X(n_1674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442913 (
+	.A1(n_1212),
+	.A2(u_soc_u_top_u_core_debug_cause[0]),
+	.B1(n_1213),
+	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.X(n_1673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g442914 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_1274),
+	.B1(n_62),
+	.B2(n_1278),
+	.Y(n_1672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g442915 (
+	.A1(FE_OFN1105_n_13380),
+	.A2(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.A3(FE_OFN1142_u_soc_u_top_data_we),
+	.B1(FE_OFN19534_n_13380),
+	.B2(FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0),
+	.X(n_1671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442916 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(n_1069),
+	.B1(n_1352),
+	.Y(n_1670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442917 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[21]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[21]),
+	.Y(n_1669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442918 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[14]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[14]),
+	.Y(n_1668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442919 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[17]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[17]),
+	.Y(n_1667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442920 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_593),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.X(n_1666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g442921 (
+	.A(FE_OFN876_n_471),
+	.B_N(n_1594),
+	.Y(n_1665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442922 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_594),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.X(n_1664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442923 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_598),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.X(n_1663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442924 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[15]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[15]),
+	.Y(n_1662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442925 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_595),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.X(n_1661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442926 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_596),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.X(n_1660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442927 (
+	.A1(n_1059),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[1] [2]),
+	.B1(n_1060),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [4]),
+	.Y(n_1659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442928 (
+	.A1(n_1227),
+	.A2(FE_OFN298_u_soc_u_dccm_rdata1_22),
+	.B1(n_1224),
+	.B2(FE_OFN19435_u_soc_u_dccm_rdata4_22),
+	.Y(n_1658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442929 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_576),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.X(n_1657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442930 (
+	.A1(n_1218),
+	.A2(n_13743),
+	.B1(n_1219),
+	.B2(u_soc_u_top_u_core_csr_mepc[1]),
+	.Y(n_1656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442931 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[12]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[12]),
+	.Y(n_1655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442932 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[16]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[16]),
+	.Y(n_1654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442933 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[18]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[18]),
+	.Y(n_1653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442934 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[19]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[19]),
+	.Y(n_1652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442935 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[20]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[20]),
+	.Y(n_1651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442936 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[22]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[22]),
+	.Y(n_1650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442937 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[24]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[24]),
+	.Y(n_1649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442938 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[26]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[26]),
+	.Y(n_1648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442939 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[28]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[28]),
+	.Y(n_1647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442940 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_583),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.X(n_1646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442941 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[30]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[30]),
+	.Y(n_1645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442942 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[25]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[25]),
+	.Y(n_1644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442943 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_599),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.X(n_1643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442944 (
+	.A1(n_1227),
+	.A2(FE_OFN306_u_soc_u_dccm_rdata1_14),
+	.B1(n_1230),
+	.B2(FE_OFN19385_u_soc_u_dccm_rdata2_14),
+	.Y(n_1642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442945 (
+	.A1(n_1227),
+	.A2(FE_OFN311_u_soc_u_dccm_rdata1_9),
+	.B1(n_1228),
+	.B2(FE_OFN18062_u_soc_u_dccm_rdata3_9),
+	.Y(n_1641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442946 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[23]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[23]),
+	.Y(n_1640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442947 (
+	.A1(n_1230),
+	.A2(FE_OFN19366_u_soc_u_dccm_rdata2_12),
+	.B1(n_1228),
+	.B2(FE_OFN19380_u_soc_u_dccm_rdata3_12),
+	.Y(n_1639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442948 (
+	.A1(n_1228),
+	.A2(FE_OFN19348_u_soc_u_dccm_rdata3_14),
+	.B1(n_1224),
+	.B2(FE_OFN19565_u_soc_u_dccm_rdata4_14),
+	.Y(n_1638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442949 (
+	.A1(n_1230),
+	.A2(FE_OFN19337_u_soc_u_dccm_rdata2_16),
+	.B1(n_1228),
+	.B2(FE_OFN18055_u_soc_u_dccm_rdata3_16),
+	.Y(n_1637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442950 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[27]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[27]),
+	.Y(n_1636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442951 (
+	.A1(n_1227),
+	.A2(FE_OFN304_u_soc_u_dccm_rdata1_16),
+	.B1(n_1224),
+	.B2(FE_OFN19422_u_soc_u_dccm_rdata4_16),
+	.Y(n_1635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442952 (
+	.A1(n_1230),
+	.A2(FE_OFN19295_u_soc_u_dccm_rdata2_23),
+	.B1(n_1224),
+	.B2(FE_OFN19115_u_soc_u_dccm_rdata4_23),
+	.Y(n_1634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442953 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_592),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.X(n_1633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442954 (
+	.A1(n_1230),
+	.A2(FE_OFN19423_u_soc_u_dccm_rdata2_17),
+	.B1(n_1228),
+	.B2(FE_OFN18054_u_soc_u_dccm_rdata3_17),
+	.Y(n_1632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442955 (
+	.A1(n_1230),
+	.A2(FE_OFN330_u_soc_u_dccm_rdata2_22),
+	.B1(n_1228),
+	.B2(FE_OFN18049_u_soc_u_dccm_rdata3_22),
+	.Y(n_1631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442956 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_578),
+	.A2(n_1023),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.X(n_1630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442957 (
+	.A1(FE_OFN883_n_1219),
+	.A2(u_soc_u_top_u_core_csr_mepc[31]),
+	.B1(n_1221),
+	.B2(u_soc_u_top_u_core_csr_mtvec[31]),
+	.Y(n_1629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442958 (
+	.A1(n_1227),
+	.A2(FE_OFN299_u_soc_u_dccm_rdata1_21),
+	.B1(n_1224),
+	.B2(FE_OFN18879_u_soc_u_dccm_rdata4_21),
+	.Y(n_1628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442959 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_589),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.X(n_1627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g442960 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_n_590),
+	.A2(n_1023),
+	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.X(n_1626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g442961 (
+	.A(FE_OFN19533_n_577),
+	.B(n_30),
+	.C(n_13476),
+	.D(n_13480),
+	.Y(n_1783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g442962 (
+	.A(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.B(n_1349),
+	.Y(n_1782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442963 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.C(n_1301),
+	.Y(n_1781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g442964 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(n_587),
+	.C(u_soc_u_top_u_core_ready_wb),
+	.D_N(n_13879),
+	.Y(n_1779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g442965 (
+	.A1(n_1297),
+	.A2(n_636),
+	.B1(n_1304),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442966 (
+	.A1(u_soc_u_top_u_core_instr_first_cycle_id),
+	.A2(FE_PSN4381_FE_OFN1399_n_142),
+	.B1(n_1441),
+	.Y(n_1777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g442967 (
+	.A1(n_115),
+	.A2(u_soc_u_top_u_core_csr_save_cause),
+	.B1(n_1405),
+	.Y(n_1776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442968 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN18893_u_soc_u_iccm_rdata4_4),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN613_u_soc_u_iccm_rdata1_4),
+	.C1(n_1323),
+	.Y(n_1775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442969 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN19448_u_soc_u_iccm_rdata4_20),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN597_u_soc_u_iccm_rdata1_20),
+	.C1(n_1329),
+	.Y(n_1774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442970 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN18967_u_soc_u_iccm_rdata4_5),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19306_u_soc_u_iccm_rdata2_5),
+	.C1(n_1340),
+	.Y(n_1773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442971 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN19454_u_soc_u_iccm_rdata4_19),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19379_u_soc_u_iccm_rdata2_19),
+	.C1(n_1315),
+	.Y(n_1772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442972 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN18963_u_soc_u_iccm_rdata4_2),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN616_u_soc_u_iccm_rdata1_2),
+	.C1(n_1325),
+	.Y(n_1771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442973 (
+	.A1(n_495),
+	.A2(FE_OFN19457_u_soc_u_iccm_rdata4_21),
+	.B1(FE_PSN4140_n_14),
+	.B2(FE_OFN19563_u_soc_u_iccm_rdata3_21),
+	.C1(n_1320),
+	.Y(n_1770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442974 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN19130_u_soc_u_iccm_rdata4_6),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN611_u_soc_u_iccm_rdata1_6),
+	.C1(n_1330),
+	.Y(n_1769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442975 (
+	.A(n_13452),
+	.B(FE_PSN4482_n_13477),
+	.C(n_1258),
+	.Y(n_1768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442976 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN18884_u_soc_u_iccm_rdata4_3),
+	.B1(FE_PSN4140_n_14),
+	.B2(FE_OFN18891_u_soc_u_iccm_rdata3_3),
+	.C1(n_1324),
+	.Y(n_1767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442977 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN19117_u_soc_u_iccm_rdata4_7),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19353_u_soc_u_iccm_rdata2_7),
+	.C1(n_1333),
+	.Y(n_1766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442978 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN19165_u_soc_u_iccm_rdata4_22),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19334_u_soc_u_iccm_rdata2_22),
+	.C1(n_1326),
+	.Y(n_1765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442979 (
+	.A1(FE_OFN18627_n_495),
+	.A2(FE_OFN19471_u_soc_u_iccm_rdata4_23),
+	.B1(FE_PSN4140_n_14),
+	.B2(FE_OFN18860_u_soc_u_iccm_rdata3_23),
+	.C1(n_1336),
+	.Y(n_1764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g442980 (
+	.A1(n_495),
+	.A2(FE_OFN19455_u_soc_u_iccm_rdata4_18),
+	.B1(FE_PSN4140_n_14),
+	.B2(FE_OFN19397_u_soc_u_iccm_rdata3_18),
+	.C1(n_1342),
+	.Y(n_1763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g442981 (
+	.A_N(n_10345),
+	.B(n_15902),
+	.C(n_13882),
+	.D(n_641),
+	.Y(n_1762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g442982 (
+	.A_N(FE_COEN4566_FE_OFN18445_n_15897),
+	.B(n_15901),
+	.C(n_13884),
+	.D(n_641),
+	.Y(n_1761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g442983 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_1278),
+	.B1(n_1083),
+	.X(n_1760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442984 (
+	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.C(n_1246),
+	.Y(n_1759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442985 (
+	.A1(n_1273),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B1(n_1088),
+	.Y(n_1758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g442986 (
+	.A1(n_1277),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B1(n_1080),
+	.Y(n_1757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442987 (
+	.A(n_642),
+	.B(n_1249),
+	.C(n_28),
+	.Y(n_1756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g442988 (
+	.A(n_153),
+	.B(n_597),
+	.C(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
+	.X(n_1755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g442989 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(n_1249),
+	.C(n_28),
+	.Y(n_1753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g442990 (
+	.A1(n_15899),
+	.A2(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.B1_N(n_1301),
+	.Y(n_1751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g442991 (
+	.A_N(n_13478),
+	.B(n_1065),
+	.C(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.X(n_1749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g442992 (
+	.A(n_657),
+	.B(u_soc_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.C(n_646),
+	.D_N(u_soc_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.X(n_1747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g442993 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_div_en_dec),
+	.B(n_1259),
+	.C(n_13452),
+	.X(n_1746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g442994 (
+	.A(n_1259),
+	.B(u_soc_u_top_u_core_id_stage_i_div_en_dec),
+	.C(n_13452),
+	.X(n_1745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g442995 (
+	.A0(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A1(n_12),
+	.S(n_15935),
+	.X(n_1744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g442996 (
+	.A0(FE_PSN4402_n_15943),
+	.A1(n_17),
+	.S(n_1169),
+	.X(n_1742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442997 (
+	.A(n_1596),
+	.Y(n_1597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g442998 (
+	.A(n_1555),
+	.Y(n_1556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g442999 (
+	.A(n_1546),
+	.Y(n_1547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443000 (
+	.A(n_1544),
+	.Y(n_1545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443001 (
+	.A(n_1538),
+	.Y(n_1539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443003 (
+	.A(n_1529),
+	.Y(n_1528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443004 (
+	.A(n_1526),
+	.Y(n_1525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443005 (
+	.A(n_1521),
+	.Y(n_1522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443006 (
+	.A(FE_OFN885_n_1518),
+	.Y(n_1517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443007 (
+	.A(FE_OFN1606_n_1516),
+	.Y(n_1515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443010 (
+	.A(FE_OFN882_n_1214),
+	.B(u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.Y(n_1512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443011 (
+	.A(n_1233),
+	.B(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.Y(n_1511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443012 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_1300),
+	.Y(n_1510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g443013 (
+	.A1(n_1019),
+	.A2(n_13874),
+	.B1(u_soc_u_top_u_core_id_stage_i_rf_we_dec),
+	.Y(n_1509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443014 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13755),
+	.Y(n_1508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443015 (
+	.A(FE_OFN882_n_1214),
+	.B(u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.Y(n_1507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443016 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13769),
+	.Y(n_1506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443017 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13764),
+	.Y(n_1505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443018 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13763),
+	.Y(n_1504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443019 (
+	.A(n_1233),
+	.B(u_soc_u_top_u_core_debug_single_step),
+	.Y(n_1503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443020 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13756),
+	.Y(n_1502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443021 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13761),
+	.Y(n_1501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443022 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13765),
+	.Y(n_1500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443023 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13766),
+	.Y(n_1499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443024 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13767),
+	.Y(n_1498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443025 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13770),
+	.Y(n_1497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443026 (
+	.A(n_1220),
+	.B(u_soc_u_top_u_core_csr_depc[29]),
+	.Y(n_1496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443027 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13773),
+	.Y(n_1495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g443028 (
+	.A1(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.A2(n_1020),
+	.B1_N(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_1494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443029 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13760),
+	.Y(n_1493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443030 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13757),
+	.Y(n_1492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443031 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.B(n_1299),
+	.Y(n_1491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443032 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13759),
+	.Y(n_1490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443033 (
+	.A(n_1080),
+	.B(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.Y(n_1489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443034 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13772),
+	.Y(n_1488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443035 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13762),
+	.Y(n_1487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443036 (
+	.A_N(n_1292),
+	.B(n_13320),
+	.Y(n_1486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443037 (
+	.A(n_1276),
+	.B(n_1066),
+	.Y(n_1485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443038 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13758),
+	.Y(n_1484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443039 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13754),
+	.Y(n_1483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443040 (
+	.A(FE_OFN1402_n_1218),
+	.B(n_13768),
+	.Y(n_1482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443041 (
+	.A(u_soc_iccm_ctrl_we),
+	.B(n_1269),
+	.Y(n_1481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443042 (
+	.A(n_1256),
+	.B_N(n_1091),
+	.Y(n_1480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443044 (
+	.A(n_1099),
+	.B(n_1121),
+	.Y(n_1479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443045 (
+	.A(n_1246),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_1478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443046 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_1093),
+	.Y(n_1477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443047 (
+	.A_N(n_1064),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.Y(n_1476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443048 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_1274),
+	.Y(n_1475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443049 (
+	.A(n_1057),
+	.B(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.Y(n_1474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443050 (
+	.A(n_1058),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.Y(n_1473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443052 (
+	.A(n_1284),
+	.B(n_1268),
+	.Y(n_1471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443053 (
+	.A(n_1130),
+	.B(n_1098),
+	.Y(n_1625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443055 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(n_1287),
+	.Y(n_1624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443056 (
+	.A(n_1138),
+	.B(n_1124),
+	.Y(n_1623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443057 (
+	.A(n_1142),
+	.B(n_1114),
+	.Y(n_1622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443058 (
+	.A(n_1151),
+	.B(n_1135),
+	.Y(n_1621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443059 (
+	.A(n_1154),
+	.B(n_1134),
+	.Y(n_1620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443060 (
+	.A(n_1165),
+	.B(n_1119),
+	.Y(n_1619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443061 (
+	.A(n_1133),
+	.B(n_1158),
+	.Y(n_1618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443062 (
+	.A(n_1115),
+	.B(n_1143),
+	.Y(n_1617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443063 (
+	.A(n_1144),
+	.B(n_1126),
+	.Y(n_1616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443064 (
+	.A(n_1101),
+	.B(n_1108),
+	.Y(n_1615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443065 (
+	.A(n_1137),
+	.B(n_1147),
+	.Y(n_1614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443066 (
+	.A(n_1149),
+	.B(n_1127),
+	.Y(n_1613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443067 (
+	.A_N(n_1283),
+	.B(n_1036),
+	.Y(n_1612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443068 (
+	.A(n_1110),
+	.B(n_1098),
+	.Y(n_1611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443069 (
+	.A(n_1115),
+	.B(n_1145),
+	.Y(n_1610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443070 (
+	.A(n_1122),
+	.B(n_1143),
+	.Y(n_1609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443071 (
+	.A(n_1159),
+	.B(n_1113),
+	.Y(n_1608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443072 (
+	.A(n_1100),
+	.B(n_1119),
+	.Y(n_1607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443073 (
+	.A(n_1130),
+	.B(n_1107),
+	.Y(n_1606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443074 (
+	.A(n_1131),
+	.B(n_1149),
+	.Y(n_1605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443075 (
+	.A(n_1140),
+	.B(n_1123),
+	.Y(n_1604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443076 (
+	.A(n_1111),
+	.B(n_1158),
+	.Y(n_1603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443077 (
+	.A(n_1137),
+	.B(n_1102),
+	.Y(n_1602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443078 (
+	.A(n_1125),
+	.B(n_1139),
+	.Y(n_1601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443079 (
+	.A(n_1150),
+	.B(n_1110),
+	.Y(n_1600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443080 (
+	.A(n_1155),
+	.B(n_1118),
+	.Y(n_1599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443081 (
+	.A(n_1101),
+	.B(n_1126),
+	.Y(n_1598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443082 (
+	.A(n_1144),
+	.B(n_1116),
+	.Y(n_1596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443083 (
+	.A(n_1120),
+	.B(n_1145),
+	.Y(n_1595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443084 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(n_1293),
+	.Y(n_1594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443085 (
+	.A(n_1148),
+	.B(n_1127),
+	.Y(n_1593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443086 (
+	.A(n_1138),
+	.B(n_1117),
+	.Y(n_1592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443087 (
+	.A(n_1136),
+	.B(n_1148),
+	.Y(n_1591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443088 (
+	.A(n_1139),
+	.B(n_1124),
+	.Y(n_1590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443089 (
+	.A(n_1120),
+	.B(n_1150),
+	.Y(n_1589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443090 (
+	.A(n_1165),
+	.B(n_1118),
+	.Y(n_1588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443091 (
+	.A(n_1155),
+	.B(n_1117),
+	.Y(n_1587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443092 (
+	.A(n_1157),
+	.B(n_1131),
+	.Y(n_1586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443093 (
+	.A(n_1162),
+	.B(n_1121),
+	.Y(n_1585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443094 (
+	.A(n_1152),
+	.B(n_1129),
+	.Y(n_1584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443095 (
+	.A(n_1161),
+	.B(n_1111),
+	.Y(n_1583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443096 (
+	.A(n_1162),
+	.B(n_1109),
+	.Y(n_1582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443097 (
+	.A(n_1161),
+	.B(n_1132),
+	.Y(n_1581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443098 (
+	.A(n_1147),
+	.B(n_1133),
+	.Y(n_1580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443099 (
+	.A(n_1128),
+	.B(n_1102),
+	.Y(n_1579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443100 (
+	.A(n_1125),
+	.B(n_1107),
+	.Y(n_1578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443101 (
+	.A(n_1136),
+	.B(n_1156),
+	.Y(n_1577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443102 (
+	.A(n_1157),
+	.B(n_1129),
+	.Y(n_1576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443103 (
+	.A(n_1152),
+	.B(n_1112),
+	.Y(n_1575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443104 (
+	.A(n_1160),
+	.B(n_1112),
+	.Y(n_1574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443105 (
+	.A(n_1142),
+	.B(n_1108),
+	.Y(n_1573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443106 (
+	.A(n_1100),
+	.B(n_1123),
+	.Y(n_1572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443107 (
+	.A(n_1160),
+	.B(n_1134),
+	.Y(n_1571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443108 (
+	.A(n_1140),
+	.B(n_1135),
+	.Y(n_1570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443109 (
+	.A(n_1154),
+	.B(n_1114),
+	.Y(n_1569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443110 (
+	.A(n_1151),
+	.B(n_1113),
+	.Y(n_1568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443111 (
+	.A(n_1159),
+	.B(n_1109),
+	.Y(n_1567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443113 (
+	.A(n_1132),
+	.B(n_1156),
+	.Y(n_1566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443114 (
+	.A(n_1072),
+	.B(n_1246),
+	.Y(n_1470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443115 (
+	.A(n_1297),
+	.B(n_1269),
+	.Y(n_1565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443116 (
+	.A(n_1287),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443117 (
+	.A(n_1244),
+	.B(FE_OFN18318_n_15946),
+	.Y(n_1563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443118 (
+	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(n_1263),
+	.Y(n_1562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443119 (
+	.A(n_1075),
+	.B(n_1256),
+	.Y(n_1469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443120 (
+	.A(n_1293),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443122 (
+	.A(n_1288),
+	.B(u_soc_u_uart_u_uart_core_rx_clr),
+	.Y(n_1468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443124 (
+	.A(n_1302),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443125 (
+	.A(n_1290),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443126 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B(n_1249),
+	.Y(n_1555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443128 (
+	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B(n_1095),
+	.Y(n_1553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443129 (
+	.A(n_1271),
+	.B(n_1067),
+	.Y(n_1552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443130 (
+	.A(n_1254),
+	.B(n_1074),
+	.Y(n_1551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443131 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
+	.B_N(n_1279),
+	.Y(n_1550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443132 (
+	.A(n_1286),
+	.B(n_94),
+	.Y(n_1549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443133 (
+	.A(n_1099),
+	.B(n_1141),
+	.Y(n_1548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443134 (
+	.A(n_1286),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[0]),
+	.Y(n_1546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443135 (
+	.A(n_1146),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[0]),
+	.Y(n_1544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443136 (
+	.A(n_1253),
+	.B(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_1543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443137 (
+	.A(n_1276),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
+	.Y(n_1542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443138 (
+	.A(n_1267),
+	.B(FE_OFN31_io_out_37),
+	.Y(n_1541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443140 (
+	.A(n_1040),
+	.B(n_1103),
+	.Y(n_1538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443141 (
+	.A(n_653),
+	.B(n_1271),
+	.Y(n_1537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443143 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B(n_1271),
+	.Y(n_1535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443144 (
+	.A(FE_OFN876_n_471),
+	.B(n_1270),
+	.Y(n_1534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443145 (
+	.A(n_479),
+	.B(n_1257),
+	.Y(n_1533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443146 (
+	.A(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.B(n_1053),
+	.Y(n_1532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443147 (
+	.A(n_15936),
+	.B(n_555),
+	.Y(n_1531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443148 (
+	.A(n_1146),
+	.B(n_94),
+	.Y(n_1529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443149 (
+	.A(n_1095),
+	.B(n_13483),
+	.Y(n_1527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443150 (
+	.A(n_1264),
+	.B(n_11),
+	.Y(n_1526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443151 (
+	.A(n_1257),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_1524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443152 (
+	.A(n_11),
+	.B(n_1257),
+	.Y(n_1523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443153 (
+	.A(n_1264),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_1521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443154 (
+	.A(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B(n_1260),
+	.Y(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g443155 (
+	.A(n_1212),
+	.B(u_soc_u_top_u_core_csr_save_if),
+	.X(n_1519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443156 (
+	.A(n_13483),
+	.B_N(n_1065),
+	.Y(n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443157 (
+	.A(n_13485),
+	.B_N(n_1065),
+	.Y(n_1516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443158 (
+	.A(n_1285),
+	.B(n_1268),
+	.Y(n_1514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443159 (
+	.A(n_1095),
+	.B(n_13485),
+	.Y(n_1513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443160 (
+	.A(n_1456),
+	.Y(n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443161 (
+	.A(n_1454),
+	.Y(n_1455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443163 (
+	.A(n_1428),
+	.Y(n_1427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443164 (
+	.A(n_1426),
+	.Y(n_1425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443165 (
+	.A(n_1420),
+	.Y(n_1421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443166 (
+	.A(n_1418),
+	.Y(n_1419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443167 (
+	.A(n_1416),
+	.Y(n_1417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443168 (
+	.A(n_1409),
+	.Y(n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443169 (
+	.A(n_1406),
+	.Y(n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443170 (
+	.A1(n_1015),
+	.A2(n_13449),
+	.B1(n_1017),
+	.B2(n_13327),
+	.Y(n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443171 (
+	.A1_N(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A2_N(n_118),
+	.B1(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.B2(n_118),
+	.Y(n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g443172 (
+	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.B(n_13506),
+	.X(n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443173 (
+	.A_N(n_1069),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443174 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[17]),
+	.A2_N(n_131),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[17]),
+	.B2(n_131),
+	.Y(n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443175 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[18]),
+	.A2_N(n_637),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[18]),
+	.B2(n_637),
+	.Y(n_1399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443176 (
+	.A1_N(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.A2_N(FE_OFN18257_n_619),
+	.B1(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B2(FE_OFN18257_n_619),
+	.Y(n_1398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443177 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[21]),
+	.A2_N(n_623),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[21]),
+	.B2(n_623),
+	.Y(n_1397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443178 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[19]),
+	.A2_N(FE_OFN18206_n_638),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[19]),
+	.B2(FE_OFN18206_n_638),
+	.Y(n_1396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443179 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[27]),
+	.A2_N(n_614),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[27]),
+	.B2(n_614),
+	.Y(n_1395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443180 (
+	.A1_N(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.A2_N(FE_OFN18235_n_130),
+	.B1(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.B2(FE_OFN18235_n_130),
+	.Y(n_1394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443181 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[29]),
+	.A2_N(FE_OFN18226_n_639),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[29]),
+	.B2(FE_OFN18226_n_639),
+	.Y(n_1393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443182 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[25]),
+	.A2_N(n_128),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[25]),
+	.B2(n_128),
+	.Y(n_1392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443183 (
+	.A1(FE_OFN18223_n_109),
+	.A2(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B1(FE_PSN4142_n_13502),
+	.B2(n_494),
+	.Y(n_1391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443184 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[23]),
+	.A2_N(FE_OFN18211_n_620),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[23]),
+	.B2(FE_OFN18211_n_620),
+	.Y(n_1390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443185 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[15]),
+	.A2_N(FE_OFN18252_n_625),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[15]),
+	.B2(FE_OFN18252_n_625),
+	.Y(n_1389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443186 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[14]),
+	.A2_N(FE_OFN18225_n_616),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[14]),
+	.B2(FE_OFN18225_n_616),
+	.Y(n_1388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443187 (
+	.A(n_1063),
+	.B_N(n_1058),
+	.Y(n_1387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g443188 (
+	.A(n_1094),
+	.B(n_1090),
+	.X(n_1386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g443189 (
+	.A1_N(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A2_N(n_494),
+	.B1(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B2(n_494),
+	.Y(n_1385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443190 (
+	.A1(n_110),
+	.A2(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B1(FE_PSN4416_n_13497),
+	.B2(n_12),
+	.Y(n_1384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443191 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[27]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [27]),
+	.X(n_1383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443192 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.A2_N(FE_OFN18214_n_135),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.B2(FE_OFN18214_n_135),
+	.Y(n_1382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443193 (
+	.A(n_1188),
+	.B(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.Y(n_1381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443194 (
+	.A(n_13491),
+	.B(n_1184),
+	.Y(n_1380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443195 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[17]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [17]),
+	.X(n_1379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443196 (
+	.A1_N(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
+	.A2_N(FE_OFN18234_n_113),
+	.B1(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
+	.B2(FE_OFN18234_n_113),
+	.Y(n_1378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443197 (
+	.A1(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_511),
+	.Y(n_1377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443198 (
+	.A1(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
+	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[0]),
+	.B1(n_1272),
+	.Y(n_1376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g443199 (
+	.A1(u_soc_u_top_u_core_core_busy_q),
+	.A2(\u_soc_u_top_u_core_irqs[irq_external] ),
+	.B1(u_soc_u_top_u_core_fetch_enable_q),
+	.X(n_1375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443200 (
+	.A1(n_13472),
+	.A2(u_soc_u_top_u_core_pc_mux_id[2]),
+	.B1(n_1281),
+	.Y(n_1374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443201 (
+	.A1(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.A2(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B1(n_13455),
+	.Y(n_1373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443202 (
+	.A1(n_617),
+	.A2(FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.B1(n_13498),
+	.B2(FE_PSN4381_FE_OFN1399_n_142),
+	.Y(n_1372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443203 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[16]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [16]),
+	.X(n_1371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g443204 (
+	.A1(u_soc_u_uart_u_uart_core_rx_sbit),
+	.A2(u_soc_u_uart_u_uart_core_rx_time_n_217),
+	.B1(n_1268),
+	.Y(n_1370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443205 (
+	.A1(n_13457),
+	.A2(n_568),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443206 (
+	.A1_N(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.A2_N(n_13380),
+	.B1(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B2(n_13380),
+	.Y(n_1368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443207 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[28]),
+	.A2_N(n_134),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[28]),
+	.B2(n_134),
+	.Y(n_1367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g443208 (
+	.A1(n_13495),
+	.A2(n_472),
+	.B1(n_1052),
+	.Y(n_1366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443209 (
+	.A1(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A2(n_133),
+	.B1(n_13505),
+	.B2(n_114),
+	.Y(n_1365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443210 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[10]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [10]),
+	.X(n_1364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443211 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[26]),
+	.A2_N(n_622),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[26]),
+	.B2(n_622),
+	.Y(n_1363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443212 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[30]),
+	.A2_N(FE_OFN18220_n_119),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[30]),
+	.B2(FE_OFN18220_n_119),
+	.Y(n_1362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g443213 (
+	.A(u_soc_u_top_u_core_csr_restore_mret_id),
+	.B(u_soc_u_top_u_core_pc_mux_id[2]),
+	.C_N(u_soc_u_top_u_core_priv_mode_id[0]),
+	.Y(n_1361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g443214 (
+	.A(u_soc_u_top_u_core_csr_restore_mret_id),
+	.B(u_soc_u_top_u_core_pc_mux_id[2]),
+	.C_N(u_soc_u_top_u_core_priv_mode_id[1]),
+	.Y(n_1360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443215 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[23]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [23]),
+	.X(n_1359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g443216 (
+	.A(n_13383),
+	.B(n_13491),
+	.C_N(n_13466),
+	.Y(n_1358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443217 (
+	.A1_N(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.A2_N(n_127),
+	.B1(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.B2(n_127),
+	.Y(n_1357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443218 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_71),
+	.B2(n_19),
+	.Y(n_1356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443219 (
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[22]),
+	.A2_N(n_624),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[22]),
+	.B2(n_624),
+	.Y(n_1355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443220 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_n_937),
+	.B(u_soc_u_top_u_core_load_store_unit_i_n_939),
+	.C(u_soc_u_top_u_core_load_store_unit_i_n_938),
+	.Y(n_1354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443221 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[18]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [18]),
+	.X(n_1353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g443222 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_1352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443223 (
+	.A1(FE_OFN19533_n_577),
+	.A2(n_117),
+	.B1(FE_COEN4300_n_13499),
+	.B2(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.Y(n_1351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443224 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_579),
+	.B1(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B2(n_32),
+	.Y(n_1350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443225 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(n_13461),
+	.C(u_soc_u_top_u_core_load_store_unit_i_data_we_q),
+	.Y(n_1349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443226 (
+	.A1(n_1012),
+	.A2(n_13449),
+	.B1(n_1016),
+	.B2(n_13327),
+	.Y(n_1348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443227 (
+	.A1(n_467),
+	.A2(n_13449),
+	.B1(n_1010),
+	.B2(n_13327),
+	.Y(n_1347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g443228 (
+	.A1_N(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.A2_N(FE_OFN18215_n_116),
+	.B1(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.B2(FE_OFN18215_n_116),
+	.Y(n_1346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443229 (
+	.A1(FE_OFN18442_n_112),
+	.A2(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B1(FE_PSN4352_n_13500),
+	.B2(n_30),
+	.Y(n_1345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443230 (
+	.A1(n_634),
+	.A2(FE_PSBN19826_n_15943),
+	.B1(FE_COEN4313_n_13496),
+	.B2(n_17),
+	.Y(n_1344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g443231 (
+	.A1(u_soc_u_uart_u_uart_core_rx_done),
+	.A2(n_94),
+	.B1(n_1089),
+	.Y(n_1343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443232 (
+	.A1(FE_PSN4125_n_15),
+	.A2(FE_OFN19403_u_soc_u_iccm_rdata2_18),
+	.B1(FE_COEN4331_n_496),
+	.B2(FE_OFN599_u_soc_u_iccm_rdata1_18),
+	.X(n_1342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g443233 (
+	.A0(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
+	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
+	.S(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
+	.X(n_1341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443234 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN18150_u_soc_u_iccm_rdata3_5),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN612_u_soc_u_iccm_rdata1_5),
+	.X(n_1340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443235 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[15]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [15]),
+	.X(n_1339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443236 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[13]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [13]),
+	.X(n_1338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443237 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_599),
+	.B2(n_499),
+	.Y(n_1337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443238 (
+	.A1(FE_PSN4125_n_15),
+	.A2(FE_OFN19426_u_soc_u_iccm_rdata2_23),
+	.B1(FE_COEN4331_n_496),
+	.B2(FE_OFN594_u_soc_u_iccm_rdata1_23),
+	.X(n_1336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443239 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_536),
+	.B2(n_547),
+	.Y(n_1335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443240 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[26]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [26]),
+	.X(n_1334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443241 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN18148_u_soc_u_iccm_rdata3_7),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN610_u_soc_u_iccm_rdata1_7),
+	.X(n_1333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443242 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[20]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [20]),
+	.X(n_1332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443243 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[11]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [11]),
+	.X(n_1331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443244 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN18939_u_soc_u_iccm_rdata3_6),
+	.B1(FE_COEN4872_n_15),
+	.B2(FE_OFN19400_u_soc_u_iccm_rdata2_6),
+	.X(n_1330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443245 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN19010_u_soc_u_iccm_rdata3_20),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19355_u_soc_u_iccm_rdata2_20),
+	.X(n_1329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443246 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[31]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [31]),
+	.X(n_1328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443247 (
+	.A1(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B1(n_610),
+	.B2(n_487),
+	.Y(n_1327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443248 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN18133_u_soc_u_iccm_rdata3_22),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN595_u_soc_u_iccm_rdata1_22),
+	.X(n_1326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443249 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN667_u_soc_u_iccm_rdata3_2),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19284_u_soc_u_iccm_rdata2_2),
+	.X(n_1325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443250 (
+	.A1(FE_PSN4125_n_15),
+	.A2(FE_OFN19330_u_soc_u_iccm_rdata2_3),
+	.B1(FE_COEN4874_n_496),
+	.B2(FE_OFN614_u_soc_u_iccm_rdata1_3),
+	.X(n_1324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443251 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN18898_u_soc_u_iccm_rdata3_4),
+	.B1(FE_OFN18637_n_15),
+	.B2(FE_OFN19321_u_soc_u_iccm_rdata2_4),
+	.X(n_1323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443252 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[28]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [28]),
+	.X(n_1322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443253 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[14]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [14]),
+	.X(n_1321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443254 (
+	.A1(FE_PSN4125_n_15),
+	.A2(FE_OFN19322_u_soc_u_iccm_rdata2_21),
+	.B1(FE_COEN4874_n_496),
+	.B2(FE_OFN596_u_soc_u_iccm_rdata1_21),
+	.X(n_1320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443255 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[12]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [12]),
+	.X(n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443256 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[19]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [19]),
+	.X(n_1318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443257 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[21]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [21]),
+	.X(n_1317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443258 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[25]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [25]),
+	.X(n_1316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443259 (
+	.A1(FE_PSN4140_n_14),
+	.A2(FE_OFN19015_u_soc_u_iccm_rdata3_19),
+	.B1(FE_OFN877_n_496),
+	.B2(FE_OFN598_u_soc_u_iccm_rdata1_19),
+	.X(n_1315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g443260 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[7]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [7]),
+	.Y(n_1314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443261 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[9]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [9]),
+	.X(n_1313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443262 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[30]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [30]),
+	.X(n_1312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443263 (
+	.A1(n_13312),
+	.A2(n_13309),
+	.B1(n_13320),
+	.B2(n_13308),
+	.Y(n_1311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443264 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[24]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [24]),
+	.X(n_1310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443265 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[29]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [29]),
+	.X(n_1309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g443266 (
+	.A1(n_13303),
+	.A2(u_soc_u_uart_u_uart_core_rx_val[22]),
+	.B1(n_13558),
+	.B2(\u_soc_uart_to_xbar[d_data] [22]),
+	.X(n_1308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g443267 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
+	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B1(n_13531),
+	.B2(n_6),
+	.X(n_1307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443268 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
+	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B1(n_13532),
+	.B2(n_6),
+	.Y(n_1467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443269 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
+	.A2(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B1(n_13529),
+	.B2(n_6),
+	.Y(n_1306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443270 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
+	.A2(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B1(n_13527),
+	.B2(n_6),
+	.Y(n_1466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443271 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
+	.A2(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B1(n_13533),
+	.B2(n_6),
+	.Y(n_1465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g443272 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_13313),
+	.B1(n_136),
+	.B2(n_13311),
+	.Y(n_1464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443273 (
+	.A(n_534),
+	.B(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.C(n_13558),
+	.Y(n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443274 (
+	.A(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.B(n_114),
+	.C(n_1222),
+	.Y(n_1462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443275 (
+	.A(n_536),
+	.B(n_559),
+	.C(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.Y(n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g443276 (
+	.A(n_1270),
+	.B(n_15902),
+	.C(n_13882),
+	.Y(n_1460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443277 (
+	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B(n_633),
+	.C(n_13481),
+	.Y(n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443278 (
+	.A(FE_OFN876_n_471),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.C(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.Y(n_1458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g443279 (
+	.A1(n_472),
+	.A2(FE_OFN1398_n_141),
+	.B1(n_1106),
+	.Y(n_1456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443280 (
+	.A1(n_1011),
+	.A2(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B1(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.Y(n_1305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g443281 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ),
+	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.Y(n_1454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443282 (
+	.A(n_1298),
+	.B(n_1199),
+	.Y(n_1453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443283 (
+	.A(n_1187),
+	.B(n_1294),
+	.Y(n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443284 (
+	.A(n_1183),
+	.B(n_1295),
+	.Y(n_1451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443285 (
+	.A(n_1198),
+	.B(n_1296),
+	.Y(n_1450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g443286 (
+	.A(n_1304),
+	.B(n_1269),
+	.X(n_1449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443287 (
+	.A(n_1300),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.Y(n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443288 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1234),
+	.Y(n_1447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g443289 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.C(u_soc_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.X(n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g443290 (
+	.A(n_1299),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.X(n_1445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443291 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1034),
+	.Y(n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443292 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1031),
+	.Y(n_1443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443293 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1237),
+	.Y(n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g443294 (
+	.A1(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A2(n_13484),
+	.B1_N(u_soc_u_top_u_core_instr_first_cycle_id),
+	.Y(n_1441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443295 (
+	.A(n_1153),
+	.B(n_1039),
+	.Y(n_1440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443296 (
+	.A(n_479),
+	.B_N(n_1060),
+	.Y(n_1439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g443297 (
+	.A1(n_469),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_955),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.Y(n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443299 (
+	.A(n_1045),
+	.B(n_476),
+	.Y(n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443300 (
+	.A(n_1153),
+	.B(n_1044),
+	.Y(n_1435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443301 (
+	.A(n_1054),
+	.B(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.Y(n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g443302 (
+	.A1(n_469),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_955),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.Y(n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443303 (
+	.A(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B(n_555),
+	.C(n_13373),
+	.Y(n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443304 (
+	.A(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.C(FE_PSN4149_FE_OFN1398_n_141),
+	.Y(n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g443305 (
+	.A(n_13878),
+	.B(n_657),
+	.C(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_1429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443306 (
+	.A(n_1065),
+	.B(n_12),
+	.Y(n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443307 (
+	.A(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18488_n_15943),
+	.C(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.Y(n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g443308 (
+	.A(n_1267),
+	.B(n_15901),
+	.C(n_13884),
+	.Y(n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443309 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B_N(n_1060),
+	.Y(n_1423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443310 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B_N(n_1059),
+	.Y(n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443311 (
+	.A(n_1060),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443312 (
+	.A(n_1253),
+	.B(n_28),
+	.Y(n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443313 (
+	.A(n_1059),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443314 (
+	.A(FE_OFN18313_n_1223),
+	.B(n_1233),
+	.Y(n_1415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g443315 (
+	.A1(n_13466),
+	.A2(n_13464),
+	.B1(n_13377),
+	.Y(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g443316 (
+	.A(n_12),
+	.B(n_13484),
+	.C(n_13478),
+	.Y(n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443317 (
+	.A(n_1282),
+	.B(n_1213),
+	.Y(n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g443318 (
+	.A(n_646),
+	.B(\u_soc_uart_to_xbar[d_opcode] [0]),
+	.C(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.X(n_1411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g443319 (
+	.A_N(n_13485),
+	.B(FE_OFN18488_n_15943),
+	.C(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.X(n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g443320 (
+	.A_N(n_13483),
+	.B(FE_OFN18488_n_15943),
+	.C(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.X(n_1409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443321 (
+	.A(FE_OFN18313_n_1223),
+	.B(FE_OFN882_n_1214),
+	.Y(n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g443322 (
+	.A(u_soc_u_top_u_core_csr_save_cause),
+	.B(n_1210),
+	.X(n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443325 (
+	.A(n_1288),
+	.Y(n_1289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443326 (
+	.A(n_1284),
+	.Y(n_1285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443327 (
+	.A(n_1278),
+	.Y(n_1277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443329 (
+	.A(n_1274),
+	.Y(n_1273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443330 (
+	.A(n_1267),
+	.Y(n_1266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443331 (
+	.A(n_1258),
+	.Y(n_1259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443332 (
+	.A(n_1254),
+	.Y(n_1255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443333 (
+	.A(n_1253),
+	.Y(n_1252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443335 (
+	.A(n_1245),
+	.Y(n_1244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443337 (
+	.A(n_1241),
+	.Y(n_1240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443338 (
+	.A(n_1239),
+	.Y(n_1238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443339 (
+	.A(n_1237),
+	.Y(n_1236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443340 (
+	.A(n_1235),
+	.Y(n_1234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443342 (
+	.A(n_1231),
+	.Y(n_1230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443343 (
+	.A(n_1229),
+	.Y(n_1228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443344 (
+	.A(n_1227),
+	.Y(n_1226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443345 (
+	.A(n_1225),
+	.Y(n_1224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443346 (
+	.A(FE_OFN18313_n_1223),
+	.Y(n_1222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443348 (
+	.A(n_1213),
+	.Y(n_1212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443350 (
+	.A(n_13456),
+	.B(n_82),
+	.Y(n_1211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443351 (
+	.A(u_soc_u_top_u_core_debug_mode),
+	.B(u_soc_u_top_u_core_debug_csr_save),
+	.Y(n_1210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443353 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[31]),
+	.B(n_13526),
+	.Y(n_1209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443354 (
+	.A(n_13343),
+	.B(FE_PSN4666_u_soc_u_top_u_core_alu_operator_ex_5),
+	.Y(n_1208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443355 (
+	.A(n_475),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.Y(n_1207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443356 (
+	.A(n_136),
+	.B(u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.Y(n_1206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443357 (
+	.A(n_475),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.Y(n_1205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443358 (
+	.A(u_soc_u_tcam_n_26),
+	.B_N(u_soc_u_tcam_n_27),
+	.Y(n_1204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443359 (
+	.A(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.B(n_13511),
+	.Y(n_1203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443360 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[19]),
+	.B(n_13514),
+	.Y(n_1202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443361 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.Y(n_1201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443362 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[29]),
+	.B(n_13524),
+	.Y(n_1200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443363 (
+	.A(n_6),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
+	.Y(n_1199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443364 (
+	.A(n_6),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
+	.Y(n_1198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443365 (
+	.A(\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
+	.B_N(u_soc_u_uart_u_uart_core_tx_done),
+	.Y(n_1197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443366 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B(u_soc_intr_u_rx),
+	.Y(n_1196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443367 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[21]),
+	.B(n_13516),
+	.Y(n_1195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443368 (
+	.A(n_475),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_1194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443370 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443371 (
+	.A(FE_OFN19534_n_13380),
+	.B(u_soc_u_top_u_core_ready_wb),
+	.Y(n_1191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443373 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]),
+	.Y(n_1189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443374 (
+	.A(n_13873),
+	.B(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.Y(n_1188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443375 (
+	.A(n_6),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
+	.Y(n_1187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443376 (
+	.A(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
+	.Y(n_1186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443377 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[30]),
+	.B(n_13525),
+	.Y(n_1185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443378 (
+	.A(n_13466),
+	.B(n_13342),
+	.Y(n_1184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443379 (
+	.A(n_6),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
+	.Y(n_1183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443380 (
+	.A(n_13498),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.Y(n_1182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443381 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_1181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443382 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[18]),
+	.B(FE_PSN4151_n_13513),
+	.Y(n_1180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443383 (
+	.A(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B(n_13515),
+	.Y(n_1179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443384 (
+	.A(FE_COEN4300_n_13499),
+	.B(FE_OFN19533_n_577),
+	.Y(n_1178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443385 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[26]),
+	.B(n_13521),
+	.Y(n_1177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443386 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[27]),
+	.B(n_13522),
+	.Y(n_1176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443387 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[28]),
+	.B(n_13523),
+	.Y(n_1175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443388 (
+	.A(u_soc_dccm_adapter_data_csbD),
+	.B_N(FE_OFN18232_u_soc_dccm_adapter_data_weD),
+	.Y(n_1174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443389 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[25]),
+	.B(n_13520),
+	.Y(n_1173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443391 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[14]),
+	.B(n_13509),
+	.Y(n_1172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443392 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[15]),
+	.B(FE_COEN4683_n_13510),
+	.Y(n_1171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443393 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[17]),
+	.B(n_13512),
+	.Y(n_1170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443394 (
+	.A(n_728),
+	.B(u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.Y(n_1304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443395 (
+	.A(n_730),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85),
+	.Y(n_1302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443396 (
+	.A(u_soc_u_top_u_core_instr_first_cycle_id),
+	.B(n_472),
+	.Y(n_1169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443397 (
+	.A(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_1301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443398 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.B(n_13324),
+	.Y(n_1300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443399 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_buffer_empty),
+	.B_N(u_soc_u_uart_u_uart_core_tx_fifo_re),
+	.Y(n_1299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443400 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(n_13528),
+	.Y(n_1298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443401 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.Y(n_1297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443402 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(n_13348),
+	.Y(n_1296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443403 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(n_13349),
+	.Y(n_1295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443404 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(n_13347),
+	.Y(n_1294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443405 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(u_soc_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.Y(n_1293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443406 (
+	.A(n_188),
+	.B(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.Y(n_1292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443407 (
+	.A(n_198),
+	.B(u_soc_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.Y(n_1290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443408 (
+	.A(u_soc_intr_u_rx),
+	.B(FE_PHN4711_io_in_33),
+	.Y(n_1288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443409 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85),
+	.Y(n_1287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443410 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[8]),
+	.Y(n_1286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443411 (
+	.A(\u_soc_uart_to_xbar[d_valid] ),
+	.B(n_7),
+	.Y(n_1168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443412 (
+	.A_N(u_soc_u_uart_u_uart_core_rx_sbit),
+	.B(u_soc_u_uart_u_uart_core_rx_time_n_217),
+	.Y(n_1284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443413 (
+	.A(n_13609),
+	.B(n_13608),
+	.Y(n_1283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g443414 (
+	.A(u_soc_u_top_u_core_csr_save_id),
+	.B_N(u_soc_u_top_u_core_csr_save_if),
+	.Y(n_1282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443415 (
+	.A(u_soc_u_top_u_core_pc_mux_id[2]),
+	.B(n_13472),
+	.Y(n_1281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443417 (
+	.A(n_642),
+	.B(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Y(n_1280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443418 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
+	.Y(n_1279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443421 (
+	.A(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443422 (
+	.A(n_670),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_1276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443424 (
+	.A(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(n_53),
+	.Y(n_1274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443425 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
+	.Y(n_1272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443426 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[2]),
+	.B(u_soc_u_uart_u_uart_core_rx_done),
+	.Y(n_1271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443427 (
+	.A(n_13316),
+	.B(n_13322),
+	.Y(n_1270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443428 (
+	.A_N(n_13318),
+	.B(FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30),
+	.Y(n_1269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443429 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.B(n_477),
+	.Y(n_1268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443430 (
+	.A(n_13319),
+	.B(n_13323),
+	.Y(n_1267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443432 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Y(n_1264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443435 (
+	.A(n_13415),
+	.B(u_soc_u_top_u_core_multdiv_signed_mode_ex[1]),
+	.Y(n_1263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443436 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Y(n_1262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443437 (
+	.A(FE_OFN876_n_471),
+	.B(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.Y(n_1261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443438 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(FE_PSN4122_n_13362),
+	.Y(n_1260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443439 (
+	.A(FE_OFN1105_n_13380),
+	.B(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.Y(n_1258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443440 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Y(n_1257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443441 (
+	.A(\u_soc_tcam_to_xbar[d_valid] ),
+	.B(n_13451),
+	.Y(n_1256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443442 (
+	.A(n_43),
+	.B(n_132),
+	.Y(n_1254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443443 (
+	.A(n_8),
+	.B(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_1253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443444 (
+	.A(n_13492),
+	.B(n_13384),
+	.Y(n_1251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443445 (
+	.A(n_13492),
+	.B(n_13385),
+	.Y(n_1250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443446 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(FE_OFN18485_n_11130),
+	.Y(n_1249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443447 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.Y(n_1248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443448 (
+	.A(\u_soc_dccm_to_xbar[d_valid] ),
+	.B(n_13454),
+	.Y(n_1246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443449 (
+	.A(n_13448),
+	.B(u_soc_u_top_u_core_multdiv_signed_mode_ex[0]),
+	.Y(n_1245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443450 (
+	.A(FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4),
+	.B(FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.Y(n_1243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443451 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.B(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_1241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443452 (
+	.A(FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.B(FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4),
+	.Y(n_1239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443453 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.Y(n_1237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443454 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.Y(n_1235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g443455 (
+	.A(n_13484),
+	.B(n_13485),
+	.Y(n_1233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443456 (
+	.A_N(u_soc_u_dccm_bank_sel[1]),
+	.B(u_soc_u_dccm_bank_sel[0]),
+	.Y(n_1231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g443457 (
+	.A_N(u_soc_u_dccm_bank_sel[0]),
+	.B(u_soc_u_dccm_bank_sel[1]),
+	.Y(n_1229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g443458 (
+	.A(u_soc_u_dccm_bank_sel[1]),
+	.B(u_soc_u_dccm_bank_sel[0]),
+	.Y(n_1227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443459 (
+	.A(u_soc_u_dccm_bank_sel[0]),
+	.B(u_soc_u_dccm_bank_sel[1]),
+	.Y(n_1225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443460 (
+	.A(n_13379),
+	.B(u_soc_u_top_u_core_illegal_csr_insn_id),
+	.Y(n_1223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g443461 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(FE_OFN1106_n_13489),
+	.X(n_1221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g443462 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.B(n_13534),
+	.X(n_1220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443463 (
+	.A(n_13471),
+	.B(n_6),
+	.Y(n_1219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443464 (
+	.A(n_13470),
+	.B(n_6),
+	.Y(n_1218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443465 (
+	.A(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(n_475),
+	.Y(n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g443466 (
+	.A(u_soc_u_top_u_core_csr_op[0]),
+	.B(u_soc_u_top_u_core_csr_op[1]),
+	.Y(n_1215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443467 (
+	.A(n_13484),
+	.B(n_13483),
+	.Y(n_1214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g443468 (
+	.A(u_soc_u_top_u_core_csr_save_cause),
+	.B(u_soc_u_top_u_core_debug_csr_save),
+	.Y(n_1213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443469 (
+	.A(n_1163),
+	.Y(n_1164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443471 (
+	.A(n_1088),
+	.Y(n_1087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443472 (
+	.A(n_1081),
+	.Y(n_1082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443473 (
+	.A(n_1080),
+	.Y(n_1079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443474 (
+	.A(n_1074),
+	.Y(n_1073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443475 (
+	.A(n_1071),
+	.Y(n_1072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443476 (
+	.A(n_1067),
+	.Y(n_1066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443477 (
+	.A(n_1061),
+	.Y(n_1062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443478 (
+	.A(n_1055),
+	.Y(n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443479 (
+	.A(n_1053),
+	.Y(n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443480 (
+	.A(n_1051),
+	.Y(n_1050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443481 (
+	.A(n_1049),
+	.Y(n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443482 (
+	.A(n_1045),
+	.Y(n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443483 (
+	.A(n_1044),
+	.Y(n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443485 (
+	.A(n_1040),
+	.Y(n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443486 (
+	.A(n_1038),
+	.Y(n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443487 (
+	.A(n_1036),
+	.Y(n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443488 (
+	.A(n_1034),
+	.Y(n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443489 (
+	.A(n_1032),
+	.Y(n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443490 (
+	.A(n_1028),
+	.Y(n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443491 (
+	.A(n_1027),
+	.Y(n_1026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443492 (
+	.A(n_1025),
+	.Y(n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443493 (
+	.A(n_1022),
+	.Y(n_1023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443494 (
+	.A(FE_PSN4142_n_13502),
+	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.Y(n_1021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443495 (
+	.A(n_472),
+	.B(n_30),
+	.Y(n_1166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443496 (
+	.A(n_472),
+	.B(n_13508),
+	.Y(n_1165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443497 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443498 (
+	.A(n_472),
+	.B(FE_PSN4792_n_13498),
+	.Y(n_1162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443499 (
+	.A(n_13517),
+	.B(n_472),
+	.Y(n_1161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443500 (
+	.A(n_472),
+	.B(n_13505),
+	.Y(n_1160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443501 (
+	.A(n_472),
+	.B(n_13500),
+	.Y(n_1159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443502 (
+	.A(n_13519),
+	.B(n_472),
+	.Y(n_1158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443503 (
+	.A(n_472),
+	.B(n_13509),
+	.Y(n_1157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443504 (
+	.A(n_13515),
+	.B(n_472),
+	.Y(n_1156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443505 (
+	.A(n_472),
+	.B(n_13510),
+	.Y(n_1155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443506 (
+	.A(n_472),
+	.B(n_13503),
+	.Y(n_1154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443507 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_478),
+	.Y(n_1153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443508 (
+	.A(n_472),
+	.B(FE_PSN4399_n_13507),
+	.Y(n_1152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443509 (
+	.A(n_472),
+	.B(n_13502),
+	.Y(n_1151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443510 (
+	.A(n_13520),
+	.B(n_472),
+	.Y(n_1150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443511 (
+	.A(n_472),
+	.B(n_13511),
+	.Y(n_1149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443512 (
+	.A(FE_PSN4151_n_13513),
+	.B(n_472),
+	.Y(n_1148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443513 (
+	.A(n_13521),
+	.B(n_472),
+	.Y(n_1147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443514 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[8]),
+	.B(n_129),
+	.Y(n_1146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443515 (
+	.A(n_13522),
+	.B(n_472),
+	.Y(n_1145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443516 (
+	.A(n_472),
+	.B(FE_PSN4470_n_13497),
+	.Y(n_1144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443517 (
+	.A(n_13524),
+	.B(n_472),
+	.Y(n_1143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443518 (
+	.A(n_472),
+	.B(FE_COEN4276_n_13501),
+	.Y(n_1142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443519 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13495),
+	.Y(n_1141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443520 (
+	.A(n_472),
+	.B(FE_PSN4132_n_13504),
+	.Y(n_1140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443521 (
+	.A(n_13514),
+	.B(n_472),
+	.Y(n_1139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443522 (
+	.A(n_472),
+	.B(n_13512),
+	.Y(n_1138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443523 (
+	.A(n_13522),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443524 (
+	.A(n_13514),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443525 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13503),
+	.Y(n_1135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443526 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4132_n_13504),
+	.Y(n_1134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443527 (
+	.A(n_13520),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443528 (
+	.A(n_13516),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443529 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13510),
+	.Y(n_1131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443530 (
+	.A(n_13517),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443531 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13508),
+	.Y(n_1129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443532 (
+	.A(n_13524),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443533 (
+	.A(n_13512),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443534 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4792_n_13498),
+	.Y(n_1126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443535 (
+	.A(n_13515),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443536 (
+	.A(FE_PSN4151_n_13513),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443537 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13505),
+	.Y(n_1123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443538 (
+	.A(n_13525),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443539 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4470_n_13497),
+	.Y(n_1121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443540 (
+	.A(n_13521),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443541 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4769_n_13507),
+	.Y(n_1119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443542 (
+	.A(n_13509),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443543 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13511),
+	.Y(n_1117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443544 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4383_n_13496),
+	.Y(n_1116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443545 (
+	.A(n_13523),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443546 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13502),
+	.Y(n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443547 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_COEN4276_n_13501),
+	.Y(n_1113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443548 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4464_n_13506),
+	.Y(n_1112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443549 (
+	.A(n_13518),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443550 (
+	.A(n_13519),
+	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_1110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443551 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_PSN4790_n_13499),
+	.Y(n_1109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443552 (
+	.A(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13500),
+	.Y(n_1108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443553 (
+	.A(n_13516),
+	.B(n_472),
+	.Y(n_1107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443554 (
+	.A(n_13525),
+	.B(n_472),
+	.Y(n_1106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443555 (
+	.A(n_13526),
+	.B(n_472),
+	.Y(n_1105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443556 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_1103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443557 (
+	.A(n_13523),
+	.B(n_472),
+	.Y(n_1102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443558 (
+	.A(n_472),
+	.B(FE_PSN4790_n_13499),
+	.Y(n_1101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443559 (
+	.A(n_472),
+	.B(FE_PSN4464_n_13506),
+	.Y(n_1100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443560 (
+	.A(n_472),
+	.B(FE_PSN4383_n_13496),
+	.Y(n_1099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443561 (
+	.A(n_13518),
+	.B(n_472),
+	.Y(n_1098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443562 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443563 (
+	.A(n_121),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443564 (
+	.A(FE_OFN18488_n_15943),
+	.B(n_472),
+	.Y(n_1095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443565 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_536),
+	.Y(n_1094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443566 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.B(n_104),
+	.Y(n_1093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443567 (
+	.A(FE_OFN876_n_471),
+	.B(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.Y(n_1092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443568 (
+	.A(n_579),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_1091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443569 (
+	.A(n_559),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443570 (
+	.A(n_94),
+	.B(u_soc_u_uart_u_uart_core_rx_done),
+	.Y(n_1089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443571 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_62),
+	.Y(n_1088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443572 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_522),
+	.Y(n_1086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443573 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_19),
+	.Y(n_1085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443574 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_32),
+	.Y(n_1084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443575 (
+	.A(n_62),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_1083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443576 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_615),
+	.Y(n_1081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443577 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443578 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443579 (
+	.A(n_499),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443580 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443581 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443582 (
+	.A(FE_OFN18249_n_183),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_1074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443583 (
+	.A(n_82),
+	.B(n_511),
+	.Y(n_1071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443584 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443585 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.B(n_121),
+	.Y(n_1069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443586 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(n_43),
+	.Y(n_1068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443587 (
+	.A(n_94),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.Y(n_1067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443588 (
+	.A(n_472),
+	.B(FE_OFN18488_n_15943),
+	.Y(n_1065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443589 (
+	.A(n_547),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443590 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_568),
+	.Y(n_1063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443591 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_82),
+	.Y(n_1061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443592 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.B(n_195),
+	.Y(n_1060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443593 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.B(n_654),
+	.Y(n_1059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443594 (
+	.A(n_104),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443595 (
+	.A(n_89),
+	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443596 (
+	.A(u_soc_u_top_u_core_multdiv_operator_ex[0]),
+	.B(FE_OFN18249_n_183),
+	.Y(n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443597 (
+	.A(n_7),
+	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.Y(n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443598 (
+	.A(n_472),
+	.B(n_13495),
+	.Y(n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443599 (
+	.A(n_132),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443600 (
+	.A(FE_OFN19541_n),
+	.B(n_15896),
+	.Y(n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443601 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443602 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.B(n_665),
+	.Y(n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443603 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B(n_478),
+	.Y(n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443604 (
+	.A(n_665),
+	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.Y(n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443605 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
+	.Y(n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443606 (
+	.A(n_47),
+	.B(u_soc_u_top_u_core_pc_if[1]),
+	.Y(n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443607 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.B(u_soc_u_top_u_core_pc_if[1]),
+	.Y(n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443608 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B(n_618),
+	.Y(n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443609 (
+	.A(n_618),
+	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
+	.Y(n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g443610 (
+	.A(n_13),
+	.B(n_589),
+	.Y(n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443611 (
+	.A(n_589),
+	.B(u_soc_u_top_u_core_pc_if[1]),
+	.Y(n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g443612 (
+	.A(n_589),
+	.B(n_13),
+	.X(n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443613 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.B(n_13),
+	.Y(n_1025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g443614 (
+	.A(n_477),
+	.B(u_soc_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.Y(n_1022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443615 (
+	.A(n_13877),
+	.Y(n_1020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443616 (
+	.A(n_13486),
+	.Y(n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443624 (
+	.A(n_13324),
+	.Y(n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443654 (
+	.A(u_soc_u_uart_u_uart_core_rx_en),
+	.Y(n_981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443680 (
+	.A(n_13317),
+	.Y(n_955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443946 (
+	.A(u_soc_u_top_u_core_csr_save_cause),
+	.Y(n_689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g443965 (
+	.A(u_soc_u_uart_u_uart_core_rx_done),
+	.Y(n_670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443978 (
+	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(n_657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443989 (
+	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g443993 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443998 (
+	.A(FE_PSN4151_n_13513),
+	.Y(n_637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444000 (
+	.A(n_13519),
+	.Y(n_635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444001 (
+	.A(FE_COEN4313_n_13496),
+	.Y(n_634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444011 (
+	.A(n_13517),
+	.Y(n_624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444012 (
+	.A(n_13516),
+	.Y(n_623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444013 (
+	.A(n_13521),
+	.Y(n_622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444018 (
+	.A(n_13498),
+	.Y(n_617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444020 (
+	.A(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.Y(n_615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444021 (
+	.A(n_13522),
+	.Y(n_614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444038 (
+	.A(FE_PSN4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31),
+	.Y(n_597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444056 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444076 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444078 (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_rst),
+	.Y(n_557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444080 (
+	.A(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.Y(n_555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444088 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444096 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444101 (
+	.A(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.Y(n_534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444124 (
+	.A(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444140 (
+	.A(n_13358),
+	.Y(n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444141 (
+	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.Y(n_494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444142 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444155 (
+	.A(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.Y(n_480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444157 (
+	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.Y(n_478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444161 (
+	.A(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.Y(n_474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444162 (
+	.A(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.Y(n_473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444163 (
+	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.Y(n_472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444166 (
+	.A(n_13314),
+	.Y(n_469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444198 (
+	.A(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444471 (
+	.A(n_13382),
+	.Y(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444478 (
+	.A(FE_OFN18326_n_13495),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444501 (
+	.A(n_13523),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444502 (
+	.A(n_13505),
+	.Y(n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444504 (
+	.A(n_13512),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444507 (
+	.A(n_13520),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444508 (
+	.A(FE_PSN4132_n_13504),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444517 (
+	.A(FE_COEN4276_n_13501),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444518 (
+	.A(FE_COEN4300_n_13499),
+	.Y(n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444520 (
+	.A(u_soc_u_top_u_core_csr_restore_mret_id),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444521 (
+	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444524 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.Y(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444525 (
+	.A(FE_PSN4416_n_13497),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444573 (
+	.A(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g444605 (
+	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.Y(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444607 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444616 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444618 (
+	.A(FE_PSN4402_n_15943),
+	.Y(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444619 (
+	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.Y(n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444623 (
+	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g444625 (
+	.A(\u_soc_xbar_to_dccm[a_data] [0]),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444627 (
+	.A(FE_OFN18485_n_11130),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444628 (
+	.A(n_13558),
+	.Y(n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444629 (
+	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444630 (
+	.A(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.Y(n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444631 (
+	.A(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.Y(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444632 (
+	.A(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.Y(n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444633 (
+	.A(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.Y(n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444634 (
+	.A(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.Y(n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g444635 (
+	.A(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.Y(n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf444649 (
+	.A(n_8844),
+	.Y(n_8847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf444659 (
+	.A(FE_OFN1022_n_8855),
+	.Y(n_8854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 hi_fo_buf444838 (
+	.A(FE_OFN907_n_2226),
+	.Y(n_2225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_1368),
+	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.Q_N(n_8816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_n_938),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.Q_N(n_11046),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_1841),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_599),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[15]  (
+	.CLK(CTS_81),
+	.D(n_8721),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.Q_N(n_11040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[18]  (
+	.CLK(CTS_81),
+	.D(n_8677),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.Q_N(n_11038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[23]  (
+	.CLK(CTS_81),
+	.D(n_8699),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.Q_N(n_11037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[17]  (
+	.CLK(CTS_81),
+	.D(n_8702),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.Q_N(n_11036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[19]  (
+	.CLK(CTS_81),
+	.D(n_8717),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.Q_N(n_11034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[16]  (
+	.CLK(CTS_81),
+	.D(n_8771),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.Q_N(n_11032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg (
+	.CLK(CTS_85),
+	.D(n_2974),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Q_N(n_11031),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_5933),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q_N(n_104),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_1840),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_71),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[20]  (
+	.CLK(CTS_81),
+	.D(n_8761),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[20]),
+	.Q_N(n_11022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[24]  (
+	.CLK(CTS_81),
+	.D(n_8716),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.Q_N(n_11021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[22]  (
+	.CLK(CTS_81),
+	.D(n_8762),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.Q_N(n_11020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[21]  (
+	.CLK(CTS_81),
+	.D(n_8778),
+	.Q(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.Q_N(n_11018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[8]  (
+	.CLK(CTS_71),
+	.D(n_8662),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[8]),
+	.Q_N(n_8824),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0]  (
+	.CLK(CTS_85),
+	.D(u_soc_u_top_u_core_load_store_unit_i_n_939),
+	.Q(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Q_N(n_8822),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q_reg  (
+	.CLK(CTS_81),
+	.D(n_3061),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.Q_N(n_8819),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 u_soc_iccm_adapter_rvalid_reg (
+	.CLK(CTS_21),
+	.D(n_1481),
+	.Q(u_soc_iccm_adapter_rvalid),
+	.Q_N(n_1018),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][0]  (
+	.CLK(CTS_51),
+	.D(n_5877),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]),
+	.Q_N(n_1017),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][0]  (
+	.CLK(CTS_51),
+	.D(n_5887),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]),
+	.Q_N(n_1016),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][0]  (
+	.CLK(CTS_51),
+	.D(n_7334),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]),
+	.Q_N(n_1015),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_2 u_soc_u_top_u_core_if_stage_i_instr_valid_id_q_reg (
+	.CLK(CTS_81),
+	.D(n_15913),
+	.Q(u_soc_u_top_u_core_instr_valid_id),
+	.Q_N(n_1014),
+	.RESET_B(FE_OFN11_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][0]  (
+	.CLK(CTS_71),
+	.D(n_5891),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]),
+	.Q_N(n_1013),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][0]  (
+	.CLK(CTS_51),
+	.D(n_7333),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]),
+	.Q_N(n_1012),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][0]  (
+	.CLK(CTS_51),
+	.D(n_5881),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]),
+	.Q_N(n_1010),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_2386),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q_N(n_730),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_21),
+	.D(n_2926),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_728),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_21),
+	.D(n_5544),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_666),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[4]  (
+	.CLK(CTS_50),
+	.D(n_7158),
+	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Q_N(n_665),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[2]  (
+	.CLK(CTS_94),
+	.D(n_7345),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
+	.Q_N(n_661),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_6361),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Q_N(n_654),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[4]  (
+	.CLK(CTS_42),
+	.D(n_5587),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
+	.Q_N(n_653),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfsbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg  (
+	.CLK(CTS_31),
+	.D(logic_0_1_net),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.Q_N(n_641),
+	.SET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_21),
+	.D(n_5532),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_636),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_5924),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q_N(n_626),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[1]  (
+	.CLK(CTS_42),
+	.D(n_3069),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
+	.Q_N(n_618),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_5930),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q_N(n_610),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[0]  (
+	.CLK(CTS_94),
+	.D(n_7821),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
+	.Q_N(n_589),
+	.RESET_B(FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]  (
+	.CLK(CTS_85),
+	.D(n_8789),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Q_N(n_587),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_1936),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q_N(n_568),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]  (
+	.CLK(CTS_79),
+	.D(n_6906),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.Q_N(n_533),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_5900),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q_N(n_522),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_2975),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_499),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[7]  (
+	.CLK(CTS_42),
+	.D(n_6838),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Q_N(n_497),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_1811),
+	.Q(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.Q_N(n_477),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 u_soc_u_uart_u_uart_core_tx_fifo_clear_reg (
+	.CLK(CTS_71),
+	.D(n_5005),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.Q_N(n_476),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 u_soc_reset_manager_rst_fq_reg (
+	.CLK(CTS_21),
+	.D(u_soc_reset_manager_rst_q),
+	.Q(FE_OFN0_io_out_37),
+	.Q_N(n_471),
+	.RESET_B(FE_OFN874_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][0]  (
+	.CLK(CTS_71),
+	.D(n_6841),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
+	.Q_N(n_468),
+	.RESET_B(FE_OFN151_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][0]  (
+	.CLK(CTS_51),
+	.D(n_7335),
+	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]),
+	.Q_N(n_467),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_2385),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q_N(n_198),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]  (
+	.CLK(CTS_79),
+	.D(n_6659),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Q_N(n_195),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_21),
+	.D(n_5525),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_188),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]  (
+	.CLK(CTS_82),
+	.D(n_8739),
+	.Q(u_soc_u_top_u_core_csr_mstatus_mie),
+	.Q_N(n_175),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]  (
+	.CLK(CTS_85),
+	.D(n_7239),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.Q_N(n_153),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[4]  (
+	.CLK(CTS_71),
+	.D(n_6903),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
+	.Q_N(n_152),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[5]  (
+	.CLK(CTS_71),
+	.D(n_7569),
+	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
+	.Q_N(n_143),
+	.RESET_B(FE_OFN32_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_21),
+	.D(n_5141),
+	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_136),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]  (
+	.CLK(CTS_85),
+	.D(n_8790),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Q_N(n_132),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[3]  (
+	.CLK(CTS_42),
+	.D(n_3054),
+	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Q_N(n_129),
+	.RESET_B(FE_OFN18_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_2389),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q_N(n_121),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_5527),
+	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q_N(n_98),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_6303),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_89),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_31),
+	.D(n_2380),
+	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q_N(n_82),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_1944),
+	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_53),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[1]  (
+	.CLK(CTS_94),
+	.D(n_7652),
+	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
+	.Q_N(n_47),
+	.RESET_B(FE_OFN7_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]  (
+	.CLK(CTS_85),
+	.D(n_8788),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Q_N(n_43),
+	.RESET_B(FE_OFN136_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_31),
+	.D(n_6307),
+	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_32),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 u_soc_u_uart_u_uart_core_tx_fifo_reset_reg (
+	.CLK(CTS_71),
+	.D(n_5080),
+	.Q(u_soc_u_uart_u_uart_core_tx_fifo_reset),
+	.Q_N(n_29),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_7736),
+	.Q(u_soc_u_top_u_core_pc_if[1]),
+	.Q_N(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]  (
+	.CLK(CTS_79),
+	.D(n_7343),
+	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Q_N(n_11),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445254 (
+	.A(n_11631),
+	.B(n_11255),
+	.X(n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445255 (
+	.A(n_11635),
+	.B(n_11281),
+	.X(n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445257 (
+	.A(n_11632),
+	.B(n_11243),
+	.X(n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g445258 (
+	.A(n_11307),
+	.B(n_11467),
+	.C(n_11261),
+	.X(n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445259 (
+	.A(n_11633),
+	.B(n_11249),
+	.X(n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445260 (
+	.A(n_11458),
+	.B(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.X(n_15862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_4 g445261 (
+	.A(FE_PSN4104_n_11294),
+	.B(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.C(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.D(n_11043),
+	.X(n_15863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_4 g445262 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_652),
+	.C(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.D(n_11043),
+	.X(n_15864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445263 (
+	.A(n_11240),
+	.B(n_11399),
+	.X(n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445266 (
+	.A(n_11266),
+	.B(n_11395),
+	.X(n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445268 (
+	.A(n_11399),
+	.B(n_11235),
+	.X(n_15870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445270 (
+	.A(n_11278),
+	.B(n_11396),
+	.X(n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g445271 (
+	.A(FE_PSN4104_n_11294),
+	.B(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.C(n_11094),
+	.D(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.X(n_15873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g445272 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11098),
+	.C(n_652),
+	.X(n_15874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445273 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_11170),
+	.X(n_15875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445274 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.B(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.X(n_15876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g445275 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.B(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.X(n_15877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g445277 (
+	.A(n_11036),
+	.B(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.X(n_15879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g445278 (
+	.A(n_11020),
+	.B(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.X(n_15880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 g445279 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
+	.B(FE_OFN18451_n_11355),
+	.Y(n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 g445280 (
+	.A(FE_COEN4312_n_11091),
+	.B(n_11212),
+	.C_N(n_16002),
+	.Y(n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g445281 (
+	.A_N(n_11446),
+	.B(FE_PSN4443_n_15881),
+	.C(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.D(FE_COEN4680_n_11447),
+	.Y(n_15883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445282 (
+	.A_N(n_11356),
+	.B(n_1356),
+	.Y(n_15884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445283 (
+	.A(n_11143),
+	.B_N(n_11296),
+	.Y(n_15885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445284 (
+	.A(n_13468),
+	.B_N(FE_PSN4263_n_11294),
+	.Y(n_15886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g445285 (
+	.A_N(n_11212),
+	.B(n_11463),
+	.C(n_11111),
+	.X(n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g445287 (
+	.A(n_13339),
+	.B(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.C(FE_OFN18414_n),
+	.D_N(n_11135),
+	.Y(n_15889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g445288 (
+	.A_N(n_13459),
+	.B(FE_COEN4565_n_11122),
+	.C(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.D(n_621),
+	.Y(n_15890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445289 (
+	.A_N(n_10956),
+	.B(n_5704),
+	.Y(n_15891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445294 (
+	.A_N(n_15898),
+	.B(n_9349),
+	.Y(n_15896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_4 g445295 (
+	.A_N(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.B(n_10330),
+	.C(n_9349),
+	.Y(n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445296 (
+	.A_N(n_10148),
+	.B(FE_OFN18361_n),
+	.Y(n_15898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445297 (
+	.A_N(n_9014),
+	.B(n_13382),
+	.Y(n_15899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445298 (
+	.A(n_13346),
+	.B_N(FE_OFN1563_n_9004),
+	.Y(n_15900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g445299 (
+	.A(u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
+	.B(n_8994),
+	.C_N(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.D_N(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_15901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g445300 (
+	.A(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(n_8989),
+	.C_N(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.D_N(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_15902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g445301 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.C_N(FE_OFN1550_n_8970),
+	.X(n_15903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g445302 (
+	.A(n_8866),
+	.B(n_13326),
+	.C(u_soc_u_uart_u_uart_core_fifo_read_size[7]),
+	.D_N(n_8870),
+	.X(n_15904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g445303 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.B(n_8802),
+	.C(FE_OFN18534_n),
+	.X(n_15905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g445304 (
+	.A_N(n_7966),
+	.B(n_8533),
+	.C(n_7382),
+	.Y(n_15906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445305 (
+	.A(FE_OFN18299_n_15911),
+	.B_N(n_7624),
+	.Y(n_15907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445306 (
+	.A(n_7814),
+	.B_N(n_7622),
+	.Y(n_15908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g445307 (
+	.A(n_6927),
+	.B(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
+	.X(n_15909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g445308 (
+	.A(n_7916),
+	.B(n_7396),
+	.C_N(n_7386),
+	.Y(n_15910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445309 (
+	.A(n_6923),
+	.B_N(n_7295),
+	.Y(n_15911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445310 (
+	.A(n_7256),
+	.B_N(n_7279),
+	.Y(n_15912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g445311 (
+	.A1(n_1014),
+	.A2(u_soc_u_top_u_core_instr_valid_clear),
+	.B1_N(FE_OFN1503_n_6915),
+	.Y(n_15913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g445312 (
+	.A1(n_1035),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.B1_N(n_6848),
+	.Y(n_15914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445313 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B_N(n_5696),
+	.Y(n_15915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445314 (
+	.A_N(n_5408),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
+	.Y(n_15916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g445315 (
+	.A_N(n_5699),
+	.B(n_7368),
+	.C(n_5652),
+	.Y(n_15917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g445316 (
+	.A(FE_OFN977_n_5366),
+	.B(FE_OFN974_n_5360),
+	.C_N(n_5361),
+	.X(n_15918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445317 (
+	.A_N(n_3093),
+	.B(n_1262),
+	.Y(n_15919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445318 (
+	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.B_N(n_2605),
+	.Y(n_15920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g445319 (
+	.A1(n_1741),
+	.A2(n_15925),
+	.B1_N(n_2167),
+	.Y(n_15921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g445320 (
+	.A1(n_2600),
+	.A2(n_1743),
+	.B1_N(n_2156),
+	.Y(n_15922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445321 (
+	.A_N(n_1779),
+	.B(n_13452),
+	.Y(n_15923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g445322 (
+	.A_N(n_1531),
+	.B(n_1532),
+	.C(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.Y(n_15924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445323 (
+	.A_N(FE_OFN19443_n_1431),
+	.B(n_1105),
+	.Y(n_15925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445324 (
+	.A(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(n_1302),
+	.Y(n_15926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445325 (
+	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(n_1290),
+	.Y(n_15927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445326 (
+	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B_N(n_1276),
+	.Y(n_15928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445327 (
+	.A_N(n_1248),
+	.B(n_476),
+	.Y(n_15929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g445328 (
+	.A_N(n_3101),
+	.B(n_1243),
+	.X(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g445329 (
+	.A_N(n_1233),
+	.B(n_2956),
+	.C(n_2178),
+	.D(n_1958),
+	.Y(n_15931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445330 (
+	.A_N(n_1103),
+	.B(n_1044),
+	.Y(n_15932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g445331 (
+	.A_N(n_1042),
+	.B(n_476),
+	.Y(n_15933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445332 (
+	.A(n_1076),
+	.B_N(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
+	.Y(n_15934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445333 (
+	.A(u_soc_u_top_u_core_instr_first_cycle_id),
+	.B_N(n_13484),
+	.Y(n_15935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g445334 (
+	.A(n_13373),
+	.B_N(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.Y(n_15936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g445335 (
+	.A(n_13506),
+	.B(n_7920),
+	.C_N(u_soc_u_top_u_core_csr_op[1]),
+	.Y(n_15937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_4 g445337 (
+	.A(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.B(\u_soc_xbar_to_dccm[a_address] [13]),
+	.C(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.D_N(n_13277),
+	.X(n_15939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_4 g445338 (
+	.A(\u_soc_lsu_to_xbar[a_address] [23]),
+	.B(\u_soc_lsu_to_xbar[a_address] [24]),
+	.C(\u_soc_lsu_to_xbar[a_address] [22]),
+	.D_N(n_13272),
+	.Y(n_15940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g445339 (
+	.A1(n_11207),
+	.A2(n_13410),
+	.B1(n_13160),
+	.C1(n_13242),
+	.D1(n_13267),
+	.Y(n_15941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g445340 (
+	.A1(n_11207),
+	.A2(FE_PSN4168_n_13409),
+	.B1(n_13157),
+	.C1(n_13241),
+	.D1(n_13265),
+	.Y(n_15942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g445345 (
+	.A_N(n_12981),
+	.B(n_12322),
+	.C(n_12323),
+	.X(n_15947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445346 (
+	.A1(n_11754),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.B1(FE_OFN18440_n_11765),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.C1(n_11934),
+	.X(n_15948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g445347 (
+	.A(n_11730),
+	.B(n_11797),
+	.C(n_11580),
+	.D(n_12673),
+	.Y(n_15949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445348 (
+	.A1(FE_PSBN19876_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.B1(n_11757),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.C1(n_15987),
+	.X(n_15950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445349 (
+	.A1(n_15861),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.B1(n_11553),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.C1(n_15990),
+	.X(n_15951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445350 (
+	.A1(FE_OFN18440_n_11765),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.B1(FE_PSN4374_n_15860),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.C1(n_11515),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.Y(n_15952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445351 (
+	.A1(FE_COEN4298_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.B1(FE_PSN4423_n_15859),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.C1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.Y(n_15953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445352 (
+	.A1(FE_COEN4298_n_11756),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.B1(FE_PSN4164_n_15857),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.C1(FE_PSN4477_FE_COEN4317_n_11475),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.Y(n_15954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445353 (
+	.A1(n_11472),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.B1(FE_PSBN19897_n_16001),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.C1(n_11652),
+	.X(n_15955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445354 (
+	.A1(n_11563),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.B1(n_11476),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.C1(n_11653),
+	.X(n_15956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445355 (
+	.A1(n_11554),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.B1(FE_OFN18385_n_11514),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.C1(n_15991),
+	.X(n_15957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_2 g445356 (
+	.A1(n_11564),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.B1(n_11579),
+	.C1(n_15992),
+	.Y(n_15958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g445357 (
+	.A1(n_11563),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.B1(n_11558),
+	.B2(FE_COEN4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0),
+	.C1(n_15989),
+	.Y(n_15959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445358 (
+	.A1(FE_PSN4451_n_11751),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.B1(FE_PSN4355_n_11478),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.C1(n_11564),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.Y(n_15960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445359 (
+	.A1(n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.B1(n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.C1(n_15999),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Y(n_15961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445360 (
+	.A1(n_15860),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.B1(n_11513),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.C1(n_11559),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.Y(n_15962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445361 (
+	.A1(FE_PSN4164_n_15857),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.B1(FE_COEN4317_n_11475),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.C1(FE_PSN4179_n_11516),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Y(n_15963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445362 (
+	.A1(FE_PSBN19876_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.B1(FE_PSN4667_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.C1(FE_PSN4831_n_11517),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.Y(n_15964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445363 (
+	.A1(n_15860),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.C1(n_11513),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.Y(n_15965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445364 (
+	.A1(n_11557),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.B1(n_11425),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.C1(FE_COEN4311_n_11474),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.Y(n_15966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445365 (
+	.A1(n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.B1(n_11558),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.C1(n_15999),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.Y(n_15967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445366 (
+	.A1(n_11751),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.B1(n_11564),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.C1(FE_PSN4355_n_11478),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.Y(n_15968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445367 (
+	.A1(FE_PSBN19873_n_11550),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.B1(FE_PSN4796_n_11473),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.C1(FE_OFN18425_n_15868),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.Y(n_15969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445368 (
+	.A1(FE_PSN4174_n_11555),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.B1(FE_PSN4179_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.C1(FE_OFN1118_n_15887),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.Y(n_15970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445369 (
+	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.A2(n_11473),
+	.B1(FE_OFN18385_n_11514),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.C1(FE_PSBN19835_n_15865),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.Y(n_15971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445370 (
+	.A1(n_15856),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.B1(FE_PSN4179_n_11516),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.C1(FE_OFN1118_n_15887),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.Y(n_15972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445371 (
+	.A1(n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.B1(FE_COEN4317_n_11475),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.C1(FE_PSN4174_n_11555),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.Y(n_15973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g445373 (
+	.A1(n_11746),
+	.A2(n_11175),
+	.B1(n_11393),
+	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.C1(n_11360),
+	.X(n_15975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445374 (
+	.A1(n_11761),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.B1(n_11559),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.X(n_15976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g445375 (
+	.A1(FE_PSN4375_n_11428),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.B1(FE_PSN4812_n_11425),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.C1(n_11647),
+	.Y(n_15977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445376 (
+	.A1(n_11471),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.B1(n_15869),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.C1(FE_PSBN19833_n_15866),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.Y(n_15978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445377 (
+	.A1(FE_OFN18385_n_11514),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.B1(FE_OFN18397_n_15865),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.C1(FE_OFN18407_n_15871),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.Y(n_15979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445378 (
+	.A1(n_11480),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.B1(n_15870),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.C1(n_11415),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.Y(n_15980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445379 (
+	.A1(n_16000),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.B1(n_11418),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.C1(n_11428),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.Y(n_15981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445380 (
+	.A1(FE_PSBN19897_n_16001),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.B1(FE_PSN4362_n_15872),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.C1(n_15867),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.Y(n_15982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445381 (
+	.A1(FE_OFN18385_n_11514),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.B1(FE_PSBN19835_n_15865),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.C1(n_15871),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.Y(n_15983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445382 (
+	.A1(n_11471),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.B1(n_15869),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.C1(FE_PSBN19833_n_15866),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.Y(n_15984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g445383 (
+	.A1(n_11550),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.B1(n_15868),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.C1(n_15871),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.Y(n_15985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445385 (
+	.A1(FE_PSN4831_n_11517),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.B1(FE_PSN4667_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.X(n_15987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445386 (
+	.A1(n_11474),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.B1(n_11480),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.X(n_15988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445387 (
+	.A1(n_11556),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.B1(n_16000),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.X(n_15989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445388 (
+	.A1(n_15882),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.B1(n_15869),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.X(n_15990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445389 (
+	.A1(n_15871),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.B1(FE_PSBN19835_n_15865),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.X(n_15991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445390 (
+	.A1(n_11418),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.B1(n_11423),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.X(n_15992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g445391 (
+	.A1(FE_PSBN19833_n_15866),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.B1(n_15869),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.X(n_15993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_4 g445392 (
+	.A_N(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B(n_15881),
+	.C(FE_COEN4680_n_11447),
+	.Y(n_15994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g445393 (
+	.A(n_11636),
+	.B(n_11154),
+	.C(n_11092),
+	.X(n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_4 g445395 (
+	.A(n_11114),
+	.B(n_11153),
+	.C_N(n_11629),
+	.X(n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_4 g445396 (
+	.A(n_11114),
+	.B(n_11219),
+	.C_N(n_11633),
+	.X(n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_4 g445397 (
+	.A_N(n_11093),
+	.B(n_11434),
+	.C(n_11217),
+	.X(n_15999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_4 g445398 (
+	.A_N(FE_PSN4166_n_13338),
+	.B(n_11450),
+	.C(n_11221),
+	.X(n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g445400 (
+	.A(FE_PSN4104_n_11294),
+	.B(n_652),
+	.C(n_11094),
+	.D(FE_COEN4315_n_15877),
+	.Y(n_16002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_4 g445401 (
+	.A1(n_11028),
+	.A2(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.B1_N(FE_OFN18451_n_11355),
+	.X(n_16003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_4 g445403 (
+	.A_N(n_13879),
+	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_16005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g445404 (
+	.A1(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_626),
+	.A3(n_15926),
+	.A4(n_2543),
+	.B1(n_5610),
+	.Y(n_16006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_2 g445405 (
+	.A1(n_1458),
+	.A2(n_511),
+	.B1(n_3124),
+	.C1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.D1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_4 g445406 (
+	.A1(n_12263),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[7]),
+	.B1(n_12261),
+	.B2(FE_OFN18534_n),
+	.Y(n_16008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g2 (
+	.A1(n_12668),
+	.A2(n_11413),
+	.B1(n_16004),
+	.X(n_16009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP_g850 (
+	.A1_N(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.A2_N(inc_ADD_UNS_OP_n_124),
+	.B1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.B2(inc_ADD_UNS_OP_n_124),
+	.Y(n_13740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP_g851 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.A2(inc_ADD_UNS_OP_n_123),
+	.B1(inc_ADD_UNS_OP_n_124),
+	.X(n_13739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP_g852 (
+	.A(inc_ADD_UNS_OP_n_123),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.Y(inc_ADD_UNS_OP_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g853 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.B(inc_ADD_UNS_OP_n_120),
+	.COUT(inc_ADD_UNS_OP_n_123),
+	.SUM(n_13738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g854 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.B(inc_ADD_UNS_OP_n_118),
+	.COUT(inc_ADD_UNS_OP_n_120),
+	.SUM(n_13737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g855 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.B(inc_ADD_UNS_OP_n_116),
+	.COUT(inc_ADD_UNS_OP_n_118),
+	.SUM(n_13736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g856 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.B(inc_ADD_UNS_OP_n_114),
+	.COUT(inc_ADD_UNS_OP_n_116),
+	.SUM(n_13735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g857 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.B(inc_ADD_UNS_OP_n_112),
+	.COUT(inc_ADD_UNS_OP_n_114),
+	.SUM(n_13734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g858 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.B(inc_ADD_UNS_OP_n_110),
+	.COUT(inc_ADD_UNS_OP_n_112),
+	.SUM(n_13733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g859 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.B(inc_ADD_UNS_OP_n_108),
+	.COUT(inc_ADD_UNS_OP_n_110),
+	.SUM(n_13732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g860 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.B(inc_ADD_UNS_OP_n_106),
+	.COUT(inc_ADD_UNS_OP_n_108),
+	.SUM(n_13731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g861 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.B(inc_ADD_UNS_OP_n_104),
+	.COUT(inc_ADD_UNS_OP_n_106),
+	.SUM(n_13730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g862 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.B(inc_ADD_UNS_OP_n_102),
+	.COUT(inc_ADD_UNS_OP_n_104),
+	.SUM(n_13729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g863 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.B(inc_ADD_UNS_OP_n_100),
+	.COUT(inc_ADD_UNS_OP_n_102),
+	.SUM(n_13728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g864 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.B(inc_ADD_UNS_OP_n_98),
+	.COUT(inc_ADD_UNS_OP_n_100),
+	.SUM(n_13727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g865 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.B(inc_ADD_UNS_OP_n_96),
+	.COUT(inc_ADD_UNS_OP_n_98),
+	.SUM(n_13726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g866 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B(inc_ADD_UNS_OP_n_94),
+	.COUT(inc_ADD_UNS_OP_n_96),
+	.SUM(n_13725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g867 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.B(inc_ADD_UNS_OP_n_92),
+	.COUT(inc_ADD_UNS_OP_n_94),
+	.SUM(n_13724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g868 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B(inc_ADD_UNS_OP_n_90),
+	.COUT(inc_ADD_UNS_OP_n_92),
+	.SUM(n_13723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g869 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.B(inc_ADD_UNS_OP_n_88),
+	.COUT(inc_ADD_UNS_OP_n_90),
+	.SUM(n_13722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g870 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.B(inc_ADD_UNS_OP_n_86),
+	.COUT(inc_ADD_UNS_OP_n_88),
+	.SUM(n_13721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g871 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.B(inc_ADD_UNS_OP_n_84),
+	.COUT(inc_ADD_UNS_OP_n_86),
+	.SUM(n_13720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g872 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.B(inc_ADD_UNS_OP_n_82),
+	.COUT(inc_ADD_UNS_OP_n_84),
+	.SUM(n_13719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g873 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.B(inc_ADD_UNS_OP_n_80),
+	.COUT(inc_ADD_UNS_OP_n_82),
+	.SUM(n_13718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g874 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.B(inc_ADD_UNS_OP_n_78),
+	.COUT(inc_ADD_UNS_OP_n_80),
+	.SUM(n_13717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g875 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.B(inc_ADD_UNS_OP_n_76),
+	.COUT(inc_ADD_UNS_OP_n_78),
+	.SUM(n_13716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g876 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.B(inc_ADD_UNS_OP_n_74),
+	.COUT(inc_ADD_UNS_OP_n_76),
+	.SUM(n_13715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g877 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.B(inc_ADD_UNS_OP_n_72),
+	.COUT(inc_ADD_UNS_OP_n_74),
+	.SUM(n_13714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g878 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.B(inc_ADD_UNS_OP_n_70),
+	.COUT(inc_ADD_UNS_OP_n_72),
+	.SUM(n_13713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g879 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.B(inc_ADD_UNS_OP_n_68),
+	.COUT(inc_ADD_UNS_OP_n_70),
+	.SUM(n_13712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g880 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.B(inc_ADD_UNS_OP_n_66),
+	.COUT(inc_ADD_UNS_OP_n_68),
+	.SUM(n_13711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g881 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B(inc_ADD_UNS_OP_n_64),
+	.COUT(inc_ADD_UNS_OP_n_66),
+	.SUM(n_13710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g882 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.B(inc_ADD_UNS_OP_n_62),
+	.COUT(inc_ADD_UNS_OP_n_64),
+	.SUM(n_13709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g883 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.B(inc_ADD_UNS_OP_n_60),
+	.COUT(inc_ADD_UNS_OP_n_62),
+	.SUM(n_13708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g884 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.B(inc_ADD_UNS_OP_n_58),
+	.COUT(inc_ADD_UNS_OP_n_60),
+	.SUM(n_13707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g885 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.B(inc_ADD_UNS_OP_n_56),
+	.COUT(inc_ADD_UNS_OP_n_58),
+	.SUM(n_13706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g886 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.B(inc_ADD_UNS_OP_n_54),
+	.COUT(inc_ADD_UNS_OP_n_56),
+	.SUM(n_13705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g887 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.B(inc_ADD_UNS_OP_n_52),
+	.COUT(inc_ADD_UNS_OP_n_54),
+	.SUM(n_13704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g888 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.B(inc_ADD_UNS_OP_n_50),
+	.COUT(inc_ADD_UNS_OP_n_52),
+	.SUM(n_13703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g889 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.B(inc_ADD_UNS_OP_n_48),
+	.COUT(inc_ADD_UNS_OP_n_50),
+	.SUM(n_13702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g890 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.B(inc_ADD_UNS_OP_n_46),
+	.COUT(inc_ADD_UNS_OP_n_48),
+	.SUM(n_13701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g891 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.B(inc_ADD_UNS_OP_n_44),
+	.COUT(inc_ADD_UNS_OP_n_46),
+	.SUM(n_13700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g892 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.B(inc_ADD_UNS_OP_n_42),
+	.COUT(inc_ADD_UNS_OP_n_44),
+	.SUM(n_13699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g893 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.B(inc_ADD_UNS_OP_n_40),
+	.COUT(inc_ADD_UNS_OP_n_42),
+	.SUM(n_13698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g894 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.B(inc_ADD_UNS_OP_n_38),
+	.COUT(inc_ADD_UNS_OP_n_40),
+	.SUM(n_13697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g895 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.B(inc_ADD_UNS_OP_n_36),
+	.COUT(inc_ADD_UNS_OP_n_38),
+	.SUM(n_13696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g896 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.B(inc_ADD_UNS_OP_n_34),
+	.COUT(inc_ADD_UNS_OP_n_36),
+	.SUM(n_13695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g897 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.B(inc_ADD_UNS_OP_n_32),
+	.COUT(inc_ADD_UNS_OP_n_34),
+	.SUM(n_13694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g898 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.B(inc_ADD_UNS_OP_n_30),
+	.COUT(inc_ADD_UNS_OP_n_32),
+	.SUM(n_13693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g899 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.B(inc_ADD_UNS_OP_n_28),
+	.COUT(inc_ADD_UNS_OP_n_30),
+	.SUM(n_13692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g900 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B(inc_ADD_UNS_OP_n_26),
+	.COUT(inc_ADD_UNS_OP_n_28),
+	.SUM(n_13691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g901 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.B(inc_ADD_UNS_OP_n_24),
+	.COUT(inc_ADD_UNS_OP_n_26),
+	.SUM(n_13690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g902 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B(inc_ADD_UNS_OP_n_22),
+	.COUT(inc_ADD_UNS_OP_n_24),
+	.SUM(n_13689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g903 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.B(inc_ADD_UNS_OP_n_20),
+	.COUT(inc_ADD_UNS_OP_n_22),
+	.SUM(n_13688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g904 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B(inc_ADD_UNS_OP_n_18),
+	.COUT(inc_ADD_UNS_OP_n_20),
+	.SUM(n_13687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g905 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B(inc_ADD_UNS_OP_n_16),
+	.COUT(inc_ADD_UNS_OP_n_18),
+	.SUM(n_13686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g906 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.B(inc_ADD_UNS_OP_n_14),
+	.COUT(inc_ADD_UNS_OP_n_16),
+	.SUM(n_13685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g907 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.B(inc_ADD_UNS_OP_n_12),
+	.COUT(inc_ADD_UNS_OP_n_14),
+	.SUM(n_13684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g908 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B(inc_ADD_UNS_OP_n_10),
+	.COUT(inc_ADD_UNS_OP_n_12),
+	.SUM(n_13683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g909 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B(inc_ADD_UNS_OP_n_8),
+	.COUT(inc_ADD_UNS_OP_n_10),
+	.SUM(n_13682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g910 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.B(inc_ADD_UNS_OP_n_6),
+	.COUT(inc_ADD_UNS_OP_n_8),
+	.SUM(n_13681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g911 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.B(inc_ADD_UNS_OP_n_4),
+	.COUT(inc_ADD_UNS_OP_n_6),
+	.SUM(n_13680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g912 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.B(inc_ADD_UNS_OP_n_2),
+	.COUT(inc_ADD_UNS_OP_n_4),
+	.SUM(n_13679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g913 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B(inc_ADD_UNS_OP_n_0),
+	.COUT(inc_ADD_UNS_OP_n_2),
+	.SUM(n_13678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g914 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B(n_13741),
+	.COUT(inc_ADD_UNS_OP_n_0),
+	.SUM(n_13677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP2_g850 (
+	.A1_N(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.A2_N(inc_ADD_UNS_OP2_n_124),
+	.B1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.B2(inc_ADD_UNS_OP2_n_124),
+	.Y(n_13675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP2_g851 (
+	.A1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.A2(inc_ADD_UNS_OP2_n_123),
+	.B1(inc_ADD_UNS_OP2_n_124),
+	.X(n_13674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP2_g852 (
+	.A(inc_ADD_UNS_OP2_n_123),
+	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.Y(inc_ADD_UNS_OP2_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g853 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.B(inc_ADD_UNS_OP2_n_120),
+	.COUT(inc_ADD_UNS_OP2_n_123),
+	.SUM(n_13673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g854 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.B(inc_ADD_UNS_OP2_n_118),
+	.COUT(inc_ADD_UNS_OP2_n_120),
+	.SUM(n_13672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g855 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.B(inc_ADD_UNS_OP2_n_116),
+	.COUT(inc_ADD_UNS_OP2_n_118),
+	.SUM(n_13671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g856 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.B(inc_ADD_UNS_OP2_n_114),
+	.COUT(inc_ADD_UNS_OP2_n_116),
+	.SUM(n_13670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g857 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.B(inc_ADD_UNS_OP2_n_112),
+	.COUT(inc_ADD_UNS_OP2_n_114),
+	.SUM(n_13669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g858 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.B(inc_ADD_UNS_OP2_n_110),
+	.COUT(inc_ADD_UNS_OP2_n_112),
+	.SUM(n_13668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g859 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.B(inc_ADD_UNS_OP2_n_108),
+	.COUT(inc_ADD_UNS_OP2_n_110),
+	.SUM(n_13667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g860 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.B(inc_ADD_UNS_OP2_n_106),
+	.COUT(inc_ADD_UNS_OP2_n_108),
+	.SUM(n_13666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g861 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.B(inc_ADD_UNS_OP2_n_104),
+	.COUT(inc_ADD_UNS_OP2_n_106),
+	.SUM(n_13665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g862 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.B(inc_ADD_UNS_OP2_n_102),
+	.COUT(inc_ADD_UNS_OP2_n_104),
+	.SUM(n_13664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g863 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.B(inc_ADD_UNS_OP2_n_100),
+	.COUT(inc_ADD_UNS_OP2_n_102),
+	.SUM(n_13663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g864 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.B(inc_ADD_UNS_OP2_n_98),
+	.COUT(inc_ADD_UNS_OP2_n_100),
+	.SUM(n_13662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g865 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.B(inc_ADD_UNS_OP2_n_96),
+	.COUT(inc_ADD_UNS_OP2_n_98),
+	.SUM(n_13661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g866 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.B(inc_ADD_UNS_OP2_n_94),
+	.COUT(inc_ADD_UNS_OP2_n_96),
+	.SUM(n_13660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g867 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.B(inc_ADD_UNS_OP2_n_92),
+	.COUT(inc_ADD_UNS_OP2_n_94),
+	.SUM(n_13659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g868 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.B(inc_ADD_UNS_OP2_n_90),
+	.COUT(inc_ADD_UNS_OP2_n_92),
+	.SUM(n_13658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g869 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.B(inc_ADD_UNS_OP2_n_88),
+	.COUT(inc_ADD_UNS_OP2_n_90),
+	.SUM(n_13657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g870 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.B(inc_ADD_UNS_OP2_n_86),
+	.COUT(inc_ADD_UNS_OP2_n_88),
+	.SUM(n_13656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g871 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.B(inc_ADD_UNS_OP2_n_84),
+	.COUT(inc_ADD_UNS_OP2_n_86),
+	.SUM(n_13655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g872 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.B(inc_ADD_UNS_OP2_n_82),
+	.COUT(inc_ADD_UNS_OP2_n_84),
+	.SUM(n_13654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g873 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.B(inc_ADD_UNS_OP2_n_80),
+	.COUT(inc_ADD_UNS_OP2_n_82),
+	.SUM(n_13653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g874 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.B(inc_ADD_UNS_OP2_n_78),
+	.COUT(inc_ADD_UNS_OP2_n_80),
+	.SUM(n_13652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g875 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.B(inc_ADD_UNS_OP2_n_76),
+	.COUT(inc_ADD_UNS_OP2_n_78),
+	.SUM(n_13651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g876 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.B(inc_ADD_UNS_OP2_n_74),
+	.COUT(inc_ADD_UNS_OP2_n_76),
+	.SUM(n_13650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g877 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.B(inc_ADD_UNS_OP2_n_72),
+	.COUT(inc_ADD_UNS_OP2_n_74),
+	.SUM(n_13649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g878 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.B(inc_ADD_UNS_OP2_n_70),
+	.COUT(inc_ADD_UNS_OP2_n_72),
+	.SUM(n_13648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g879 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.B(inc_ADD_UNS_OP2_n_68),
+	.COUT(inc_ADD_UNS_OP2_n_70),
+	.SUM(n_13647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g880 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.B(inc_ADD_UNS_OP2_n_66),
+	.COUT(inc_ADD_UNS_OP2_n_68),
+	.SUM(n_13646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g881 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.B(inc_ADD_UNS_OP2_n_64),
+	.COUT(inc_ADD_UNS_OP2_n_66),
+	.SUM(n_13645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g882 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.B(inc_ADD_UNS_OP2_n_62),
+	.COUT(inc_ADD_UNS_OP2_n_64),
+	.SUM(n_13644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g883 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.B(inc_ADD_UNS_OP2_n_60),
+	.COUT(inc_ADD_UNS_OP2_n_62),
+	.SUM(n_13643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g884 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.B(inc_ADD_UNS_OP2_n_58),
+	.COUT(inc_ADD_UNS_OP2_n_60),
+	.SUM(n_13642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g885 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.B(inc_ADD_UNS_OP2_n_56),
+	.COUT(inc_ADD_UNS_OP2_n_58),
+	.SUM(n_13641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g886 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.B(inc_ADD_UNS_OP2_n_54),
+	.COUT(inc_ADD_UNS_OP2_n_56),
+	.SUM(n_13640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g887 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.B(inc_ADD_UNS_OP2_n_52),
+	.COUT(inc_ADD_UNS_OP2_n_54),
+	.SUM(n_13639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g888 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.B(inc_ADD_UNS_OP2_n_50),
+	.COUT(inc_ADD_UNS_OP2_n_52),
+	.SUM(n_13638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g889 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.B(inc_ADD_UNS_OP2_n_48),
+	.COUT(inc_ADD_UNS_OP2_n_50),
+	.SUM(n_13637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g890 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.B(inc_ADD_UNS_OP2_n_46),
+	.COUT(inc_ADD_UNS_OP2_n_48),
+	.SUM(n_13636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g891 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.B(inc_ADD_UNS_OP2_n_44),
+	.COUT(inc_ADD_UNS_OP2_n_46),
+	.SUM(n_13635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g892 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.B(inc_ADD_UNS_OP2_n_42),
+	.COUT(inc_ADD_UNS_OP2_n_44),
+	.SUM(n_13634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g893 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.B(inc_ADD_UNS_OP2_n_40),
+	.COUT(inc_ADD_UNS_OP2_n_42),
+	.SUM(n_13633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g894 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.B(inc_ADD_UNS_OP2_n_38),
+	.COUT(inc_ADD_UNS_OP2_n_40),
+	.SUM(n_13632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g895 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.B(inc_ADD_UNS_OP2_n_36),
+	.COUT(inc_ADD_UNS_OP2_n_38),
+	.SUM(n_13631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g896 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.B(inc_ADD_UNS_OP2_n_34),
+	.COUT(inc_ADD_UNS_OP2_n_36),
+	.SUM(n_13630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g897 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.B(inc_ADD_UNS_OP2_n_32),
+	.COUT(inc_ADD_UNS_OP2_n_34),
+	.SUM(n_13629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g898 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.B(inc_ADD_UNS_OP2_n_30),
+	.COUT(inc_ADD_UNS_OP2_n_32),
+	.SUM(n_13628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g899 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.B(inc_ADD_UNS_OP2_n_28),
+	.COUT(inc_ADD_UNS_OP2_n_30),
+	.SUM(n_13627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g900 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.B(inc_ADD_UNS_OP2_n_26),
+	.COUT(inc_ADD_UNS_OP2_n_28),
+	.SUM(n_13626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g901 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.B(inc_ADD_UNS_OP2_n_24),
+	.COUT(inc_ADD_UNS_OP2_n_26),
+	.SUM(n_13625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g902 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.B(inc_ADD_UNS_OP2_n_22),
+	.COUT(inc_ADD_UNS_OP2_n_24),
+	.SUM(n_13624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g903 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.B(inc_ADD_UNS_OP2_n_20),
+	.COUT(inc_ADD_UNS_OP2_n_22),
+	.SUM(n_13623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g904 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.B(inc_ADD_UNS_OP2_n_18),
+	.COUT(inc_ADD_UNS_OP2_n_20),
+	.SUM(n_13622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g905 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.B(inc_ADD_UNS_OP2_n_16),
+	.COUT(inc_ADD_UNS_OP2_n_18),
+	.SUM(n_13621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g906 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.B(inc_ADD_UNS_OP2_n_14),
+	.COUT(inc_ADD_UNS_OP2_n_16),
+	.SUM(n_13620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g907 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.B(inc_ADD_UNS_OP2_n_12),
+	.COUT(inc_ADD_UNS_OP2_n_14),
+	.SUM(n_13619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g908 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.B(inc_ADD_UNS_OP2_n_10),
+	.COUT(inc_ADD_UNS_OP2_n_12),
+	.SUM(n_13618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g909 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.B(inc_ADD_UNS_OP2_n_8),
+	.COUT(inc_ADD_UNS_OP2_n_10),
+	.SUM(n_13617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g910 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.B(inc_ADD_UNS_OP2_n_6),
+	.COUT(inc_ADD_UNS_OP2_n_8),
+	.SUM(n_13616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g911 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.B(inc_ADD_UNS_OP2_n_4),
+	.COUT(inc_ADD_UNS_OP2_n_6),
+	.SUM(n_13615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g912 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.B(inc_ADD_UNS_OP2_n_2),
+	.COUT(inc_ADD_UNS_OP2_n_4),
+	.SUM(n_13614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g913 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.B(inc_ADD_UNS_OP2_n_0),
+	.COUT(inc_ADD_UNS_OP2_n_2),
+	.SUM(n_13613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g914 (
+	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.B(n_13676),
+	.COUT(inc_ADD_UNS_OP2_n_0),
+	.SUM(n_13612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_u_soc_main_swith_host_lsu_add_105_52_g212 (
+	.A1_N(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
+	.A2_N(inc_u_soc_main_swith_host_lsu_add_105_52_n_28),
+	.B1(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
+	.B2(inc_u_soc_main_swith_host_lsu_add_105_52_n_28),
+	.Y(n_13574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_u_soc_main_swith_host_lsu_add_105_52_g213 (
+	.A1(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.A2(inc_u_soc_main_swith_host_lsu_add_105_52_n_27),
+	.B1(inc_u_soc_main_swith_host_lsu_add_105_52_n_28),
+	.X(n_13573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_u_soc_main_swith_host_lsu_add_105_52_g214 (
+	.A(inc_u_soc_main_swith_host_lsu_add_105_52_n_27),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
+	.Y(inc_u_soc_main_swith_host_lsu_add_105_52_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g215 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_24),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_27),
+	.SUM(n_13572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g216 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_22),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_24),
+	.SUM(n_13571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g217 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_20),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_22),
+	.SUM(n_13570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g218 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_18),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_20),
+	.SUM(n_13569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g219 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_16),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_18),
+	.SUM(n_13568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g220 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_14),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_16),
+	.SUM(n_13567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g221 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_12),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_14),
+	.SUM(n_13566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g222 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_10),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_12),
+	.SUM(n_13565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g223 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_8),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_10),
+	.SUM(n_13564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g224 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_6),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_8),
+	.SUM(n_13563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g225 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_4),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_6),
+	.SUM(n_13562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g226 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_2),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_4),
+	.SUM(n_13561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g227 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
+	.B(inc_u_soc_main_swith_host_lsu_add_105_52_n_0),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_2),
+	.SUM(n_13560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_u_soc_main_swith_host_lsu_add_105_52_g228 (
+	.A(u_soc_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
+	.COUT(inc_u_soc_main_swith_host_lsu_add_105_52_n_0),
+	.SUM(n_13559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g743 (
+	.A1_N(u_soc_u_top_u_core_ex_block_i_add_98_45_n_1),
+	.A2_N(u_soc_u_top_u_core_ex_block_i_add_98_45_n_61),
+	.B1(u_soc_u_top_u_core_ex_block_i_add_98_45_n_1),
+	.B2(u_soc_u_top_u_core_ex_block_i_add_98_45_n_61),
+	.Y(n_13773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g744 (
+	.A(n_13557),
+	.B(n_13804),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_58),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_61),
+	.SUM(n_13772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g745 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13803),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_56),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_58),
+	.SUM(n_13771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g746 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13802),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_54),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_56),
+	.SUM(n_13770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g747 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13801),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_52),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_54),
+	.SUM(n_13769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g748 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13800),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_50),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_52),
+	.SUM(n_13768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g749 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13799),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_48),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_50),
+	.SUM(n_13767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g750 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13798),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_46),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_48),
+	.SUM(n_13766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g751 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13797),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_44),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_46),
+	.SUM(n_13765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g752 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13796),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_42),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_44),
+	.SUM(n_13764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g753 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13795),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_40),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_42),
+	.SUM(n_13763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g754 (
+	.A(FE_OFN1107_n_13557),
+	.B(n_13794),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_38),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_40),
+	.SUM(n_13762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g755 (
+	.A(n_13554),
+	.B(n_13793),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_36),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_38),
+	.SUM(n_13761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g756 (
+	.A(n_13553),
+	.B(n_13792),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_34),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_36),
+	.SUM(n_13760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g757 (
+	.A(n_13552),
+	.B(n_13791),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_32),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_34),
+	.SUM(n_13759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g758 (
+	.A(n_13551),
+	.B(n_13790),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_30),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_32),
+	.SUM(n_13758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g759 (
+	.A(n_13550),
+	.B(n_13789),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_28),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_30),
+	.SUM(n_13757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g760 (
+	.A(n_13549),
+	.B(n_13788),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_26),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_28),
+	.SUM(n_13756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g761 (
+	.A(n_13548),
+	.B(n_13787),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_24),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_26),
+	.SUM(n_13755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g762 (
+	.A(n_13547),
+	.B(n_13786),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_22),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_24),
+	.SUM(n_13754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g763 (
+	.A(n_13546),
+	.B(n_13785),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_20),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_22),
+	.SUM(n_13753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g764 (
+	.A(n_13545),
+	.B(n_13784),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_18),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_20),
+	.SUM(n_13752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g765 (
+	.A(n_13544),
+	.B(n_13783),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_16),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_18),
+	.SUM(n_13751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g766 (
+	.A(n_13543),
+	.B(n_13782),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_14),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_16),
+	.SUM(n_13750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g767 (
+	.A(n_13542),
+	.B(n_13781),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_12),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_14),
+	.SUM(n_13749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g768 (
+	.A(n_13541),
+	.B(n_13780),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_10),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_12),
+	.SUM(n_13748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g769 (
+	.A(n_13540),
+	.B(n_13779),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_8),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_10),
+	.SUM(n_13747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g770 (
+	.A(n_13539),
+	.B(n_13778),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_6),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_8),
+	.SUM(n_13746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g771 (
+	.A(n_13538),
+	.B(n_13777),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_4),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_6),
+	.SUM(n_13745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g772 (
+	.A(n_13536),
+	.B(n_13776),
+	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_2),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_4),
+	.SUM(n_13744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g773 (
+	.A(n_13535),
+	.B(n_13775),
+	.CIN(n_17399),
+	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_2),
+	.SUM(n_13743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g774 (
+	.A(n_13557),
+	.B(n_13805),
+	.Y(u_soc_u_top_u_core_ex_block_i_add_98_45_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2366 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23),
+	.Y(n_13575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2368 (
+	.A(FE_PSBN19891_FE_RN_93_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2369 (
+	.A(FE_PSBN19891_FE_RN_93_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2371 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104),
+	.A2(FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210),
+	.Y(\u_soc_lsu_to_xbar[a_address] [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2373 (
+	.A(FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2375 (
+	.A(FE_PSBN19862_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2376 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205),
+	.Y(\u_soc_lsu_to_xbar[a_address] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2377 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2378 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2379 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201),
+	.X(\u_soc_lsu_to_xbar[a_address] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2382 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2386 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2387 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
+	.A2(FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2388 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76),
+	.B(FE_PSN4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
+	.X(\u_soc_lsu_to_xbar[a_address] [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2389 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191),
+	.X(\u_soc_lsu_to_xbar[a_address] [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2390 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190),
+	.B(FE_COEN4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2391 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109),
+	.B(FE_PSN4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2392 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2393 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
+	.B(FE_PDN4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2394 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72),
+	.B(FE_PSN4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.X(\u_soc_lsu_to_xbar[a_address] [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2395 (
+	.A(FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2398 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71),
+	.B(FE_PSN4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
+	.X(\u_soc_lsu_to_xbar[a_address] [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2400 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2401 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70),
+	.B(FE_PSN4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
+	.X(\u_soc_lsu_to_xbar[a_address] [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2402 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2403 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177),
+	.X(\u_soc_lsu_to_xbar[a_address] [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2405 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172),
+	.X(\u_soc_lsu_to_xbar[a_address] [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2406 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2408 (
+	.A1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106),
+	.A2_N(FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106),
+	.B2(FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.Y(\u_soc_lsu_to_xbar[a_address] [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2410 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2411 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2412 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168),
+	.X(\u_soc_lsu_to_xbar[a_address] [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2413 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167),
+	.X(\u_soc_lsu_to_xbar[a_address] [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2414 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2415 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108),
+	.B(FE_PSN4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2416 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2417 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2418 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92),
+	.B(FE_PSN4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.X(\u_soc_lsu_to_xbar[a_address] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2419 (
+	.A(FE_PSN4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2420 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2421 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2422 (
+	.A1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105),
+	.A2_N(FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105),
+	.B2(FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
+	.Y(\u_soc_lsu_to_xbar[a_address] [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2423 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2424 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2426 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2427 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2428 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91),
+	.B(FE_PSN4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
+	.X(\u_soc_xbar_to_dccm[a_address] [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2429 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2430 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149),
+	.X(\u_soc_xbar_to_dccm[a_address] [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2431 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2432 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146),
+	.X(\u_soc_xbar_to_dccm[a_address] [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2433 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2434 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2435 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
+	.X(\u_soc_xbar_to_dccm[a_address] [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2436 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144),
+	.X(\u_soc_xbar_to_dccm[a_address] [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2437 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119),
+	.C(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2438 (
+	.A(FE_PSN4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2439 (
+	.A1(FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140),
+	.B1(FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2440 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2441 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88),
+	.B(FE_PSN4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
+	.X(\u_soc_xbar_to_dccm[a_address] [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2442 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2443 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2444 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2445 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77),
+	.B(FE_PSN4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
+	.X(\u_soc_xbar_to_dccm[a_address] [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2446 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2447 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2448 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73),
+	.B(FE_PSN4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
+	.X(\u_soc_xbar_to_dccm[a_address] [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2449 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2450 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2451 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78),
+	.B(FE_PSN4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
+	.X(\u_soc_xbar_to_dccm[a_address] [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2452 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2453 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2454 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69),
+	.B(FE_PSN4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
+	.X(\u_soc_xbar_to_dccm[a_address] [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2455 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2456 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2457 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82),
+	.B(FE_PSN4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
+	.X(\u_soc_xbar_to_dccm[a_address] [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2458 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2459 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2460 (
+	.A1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85),
+	.A2_N(FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85),
+	.B2(FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.X(u_soc_u_top_u_core_alu_adder_result_ex[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2461 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2462 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2463 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2465 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2466 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2467 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2469 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2470 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2471 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2473 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2474 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2475 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2476 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2477 (
+	.A(FE_PSN4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2478 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41),
+	.B(FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2479 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2480 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2481 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2482 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2483 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2484 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2485 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2486 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2487 (
+	.A(FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2488 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2489 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2490 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2491 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2492 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2493 (
+	.A(FE_PSN4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.B_N(FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2494 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2495 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2496 (
+	.A(n_13839),
+	.B(FE_PSN4806_n_15975),
+	.X(n_13576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2497 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2498 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2499 (
+	.A(FE_PSN4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
+	.B(FE_PSN4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2500 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2501 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2502 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2503 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2504 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2505 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2506 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2507 (
+	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2508 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2509 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2510 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2511 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2512 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2513 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2514 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2516 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2518 (
+	.A(n_13837),
+	.B(n_13870),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2519 (
+	.A(FE_PSN4628_n_13812),
+	.B(FE_PSN4376_n_13845),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2520 (
+	.A(n_13819),
+	.B(n_13852),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2521 (
+	.A(n_13807),
+	.B(n_13840),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2522 (
+	.A(n_13815),
+	.B(n_13848),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2523 (
+	.A(n_13844),
+	.B(n_13811),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2524 (
+	.A(n_13828),
+	.B(n_13861),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2525 (
+	.A(n_13871),
+	.B(n_13838),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2526 (
+	.A(n_13867),
+	.B(n_13834),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2527 (
+	.A(n_15941),
+	.B(n_13866),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2528 (
+	.A(n_13817),
+	.B(n_13850),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2529 (
+	.A(n_13869),
+	.B(n_13836),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2530 (
+	.A(n_13821),
+	.B(n_13854),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2531 (
+	.A(n_13851),
+	.B(n_13818),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2532 (
+	.A(n_13835),
+	.B(n_13868),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2533 (
+	.A(n_13818),
+	.B(n_13851),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2534 (
+	.A(n_13846),
+	.B(n_13813),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2535 (
+	.A(n_13825),
+	.B(n_13858),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2536 (
+	.A(n_13853),
+	.B(n_13820),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2537 (
+	.A(n_13870),
+	.B(n_13837),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2538 (
+	.A(n_13861),
+	.B(n_13828),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2539 (
+	.A(n_13836),
+	.B(n_13869),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2540 (
+	.A(n_13820),
+	.B(n_13853),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2541 (
+	.A(n_13814),
+	.B(n_13847),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2542 (
+	.A(n_13860),
+	.B(n_13827),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2543 (
+	.A(n_13855),
+	.B(n_13822),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2544 (
+	.A(n_13863),
+	.B(n_13830),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2545 (
+	.A(n_13866),
+	.B(n_15941),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2546 (
+	.A(n_13868),
+	.B(n_13835),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2547 (
+	.A(n_13850),
+	.B(n_13817),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2548 (
+	.A(n_13816),
+	.B(n_13849),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2549 (
+	.A(n_13849),
+	.B(n_13816),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2551 (
+	.A(n_13840),
+	.B(n_13807),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2552 (
+	.A(n_13841),
+	.B(n_13808),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2553 (
+	.A(n_13843),
+	.B(n_13810),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2554 (
+	.A(n_13812),
+	.B(n_13845),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2555 (
+	.A(n_13831),
+	.B(n_13864),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2556 (
+	.A(n_13842),
+	.B(n_13809),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2557 (
+	.A(FE_PSN4155_n_13808),
+	.B(FE_PSN4800_n_13841),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2558 (
+	.A(n_13854),
+	.B(n_13821),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2559 (
+	.A(n_13823),
+	.B(n_13856),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2560 (
+	.A(n_13810),
+	.B(FE_PSN4634_n_13843),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2561 (
+	.A(n_13838),
+	.B(n_13871),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2562 (
+	.A(n_13809),
+	.B(n_13842),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2563 (
+	.A(FE_PSN4468_n_13811),
+	.B(n_13844),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2564 (
+	.A(n_13859),
+	.B(n_13826),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2565 (
+	.A(n_13827),
+	.B(n_13860),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2566 (
+	.A(n_13813),
+	.B(n_13846),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2567 (
+	.A(n_13829),
+	.B(n_13862),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2568 (
+	.A(n_13862),
+	.B(n_13829),
+	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2569 (
+	.A(n_13819),
+	.B(FE_PSN4766_n_13852),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2570 (
+	.A(n_13856),
+	.B(n_13823),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2571 (
+	.A(n_15975),
+	.B(n_13839),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2572 (
+	.A(n_13864),
+	.B(n_13831),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2573 (
+	.A(n_13858),
+	.B(n_13825),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2574 (
+	.A(n_13814),
+	.B(n_13847),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2575 (
+	.A(n_13824),
+	.B(n_13857),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2576 (
+	.A(n_13834),
+	.B(n_13867),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2577 (
+	.A(n_13848),
+	.B(n_13815),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2578 (
+	.A(n_13830),
+	.B(n_13863),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2579 (
+	.A(n_15942),
+	.B(n_13865),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2580 (
+	.A(n_13822),
+	.B(n_13855),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2581 (
+	.A(n_13826),
+	.B(n_13859),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2582 (
+	.A(n_13857),
+	.B(n_13824),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2583 (
+	.A(n_13865),
+	.B(n_15942),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g401  (
+	.A(u_soc_u_top_u_core_pc_if[31]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
+	.X(n_13607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g402  (
+	.A(u_soc_u_top_u_core_pc_if[30]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
+	.SUM(n_13606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g403  (
+	.A(u_soc_u_top_u_core_pc_if[29]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ),
+	.SUM(n_13605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g404  (
+	.A(u_soc_u_top_u_core_pc_if[28]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ),
+	.SUM(n_13604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g405  (
+	.A(u_soc_u_top_u_core_pc_if[27]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ),
+	.SUM(n_13603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g406  (
+	.A(u_soc_u_top_u_core_pc_if[26]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
+	.SUM(n_13602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g407  (
+	.A(u_soc_u_top_u_core_pc_if[25]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ),
+	.SUM(n_13601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g408  (
+	.A(u_soc_u_top_u_core_pc_if[24]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48 ),
+	.SUM(n_13600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g409  (
+	.A(u_soc_u_top_u_core_pc_if[23]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ),
+	.SUM(n_13599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g410  (
+	.A(u_soc_u_top_u_core_pc_if[22]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ),
+	.SUM(n_13598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g411  (
+	.A(u_soc_u_top_u_core_pc_if[21]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
+	.SUM(n_13597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g412  (
+	.A(u_soc_u_top_u_core_pc_if[20]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ),
+	.SUM(n_13596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g413  (
+	.A(u_soc_u_top_u_core_pc_if[19]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38 ),
+	.SUM(n_13595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g414  (
+	.A(u_soc_u_top_u_core_pc_if[18]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ),
+	.SUM(n_13594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g415  (
+	.A(u_soc_u_top_u_core_pc_if[17]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ),
+	.SUM(n_13593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g416  (
+	.A(u_soc_u_top_u_core_pc_if[16]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ),
+	.SUM(n_13592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g417  (
+	.A(u_soc_u_top_u_core_pc_if[15]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ),
+	.SUM(n_13591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g418  (
+	.A(u_soc_u_top_u_core_pc_if[14]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ),
+	.SUM(n_13590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g419  (
+	.A(u_soc_u_top_u_core_pc_if[13]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ),
+	.SUM(n_13589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g420  (
+	.A(u_soc_u_top_u_core_pc_if[12]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24 ),
+	.SUM(n_13588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g421  (
+	.A(u_soc_u_top_u_core_pc_if[11]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22 ),
+	.SUM(n_13587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g422  (
+	.A(u_soc_u_top_u_core_pc_if[10]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20 ),
+	.SUM(n_13586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g423  (
+	.A(u_soc_u_top_u_core_pc_if[9]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ),
+	.SUM(n_13585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g424  (
+	.A(u_soc_u_top_u_core_pc_if[8]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
+	.SUM(n_13584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g425  (
+	.A(u_soc_u_top_u_core_pc_if[7]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ),
+	.SUM(n_13583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g426  (
+	.A(u_soc_u_top_u_core_pc_if[6]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ),
+	.SUM(n_13582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g427  (
+	.A(u_soc_u_top_u_core_pc_if[5]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ),
+	.SUM(n_13581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g428  (
+	.A(u_soc_u_top_u_core_pc_if[4]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ),
+	.SUM(n_13580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g429  (
+	.A(u_soc_u_top_u_core_pc_if[3]),
+	.B(n_17397),
+	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ),
+	.SUM(n_13579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g430  (
+	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
+	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ),
+	.Y(n_13578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g432  (
+	.A1(u_soc_u_top_u_core_pc_if[1]),
+	.A2(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
+	.X(n_13577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g433  (
+	.A1_N(u_soc_u_top_u_core_pc_if[2]),
+	.A2_N(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.B1(u_soc_u_top_u_core_pc_if[2]),
+	.B2(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g434  (
+	.A(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.B(u_soc_u_top_u_core_pc_if[1]),
+	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g706 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g707 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g708 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g709 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g710 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g711 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g712 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g713 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g714 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g715 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g716 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g717 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g718 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g719 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g720 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g721 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g722 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g723 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g724 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g725 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g726 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g727 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g728 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g729 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g730 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g731 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g732 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g733 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g734 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g735 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32),
+	.C(u_soc_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.X(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g736 (
+	.A1_N(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.A2_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31),
+	.Y(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g737 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30),
+	.Y(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g738 (
+	.A_N(u_soc_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]),
+	.Y(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g407 (
+	.A1_N(u_soc_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.A2_N(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.B2(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58),
+	.Y(u_soc_u_uart_u_uart_core_rx_time_n_576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g408 (
+	.A1(u_soc_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.A2(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58),
+	.X(u_soc_u_uart_u_uart_core_rx_time_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g409 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57),
+	.B(u_soc_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.Y(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g410 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g411 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g412 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g413 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g414 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g415 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g416 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g417 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g418 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g419 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g420 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g421 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g422 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g423 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g424 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g425 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g426 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g427 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g428 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g429 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g430 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g431 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g432 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g433 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g434 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g435 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g436 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g437 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g438 (
+	.A(u_soc_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.B(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.COUT(u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
+	.SUM(u_soc_u_uart_u_uart_core_rx_time_n_606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g445408 (
+	.A1(n_8543),
+	.A2(n_5857),
+	.B1(u_soc_u_top_u_core_csr_restore_mret_id),
+	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.Y(n_16010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g445409 (
+	.A(FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.B(n_1441),
+	.Y(n_16011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g445410 (
+	.A(FE_PSN4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87),
+	.Y(n_16012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[24]  (
+	.CLK(CTS_50),
+	.D(n_1827),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfsbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_1792),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28),
+	.SET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[30]  (
+	.CLK(CTS_50),
+	.D(n_1797),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[8]  (
+	.CLK(CTS_52),
+	.D(n_1812),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[31]  (
+	.CLK(CTS_50),
+	.D(n_1789),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfsbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[3]  (
+	.CLK(CTS_50),
+	.D(n_1817),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24),
+	.SET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[26]  (
+	.CLK(CTS_50),
+	.D(n_1784),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[4]  (
+	.CLK(CTS_52),
+	.D(n_1795),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[21]  (
+	.CLK(CTS_51),
+	.D(n_1829),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[16]  (
+	.CLK(CTS_51),
+	.D(n_1804),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[10]  (
+	.CLK(CTS_52),
+	.D(n_1798),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[13]  (
+	.CLK(CTS_52),
+	.D(n_1821),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[22]  (
+	.CLK(CTS_51),
+	.D(n_1820),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[20]  (
+	.CLK(CTS_51),
+	.D(n_1810),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[7]  (
+	.CLK(CTS_50),
+	.D(n_1816),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[27]  (
+	.CLK(CTS_50),
+	.D(n_1825),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[25]  (
+	.CLK(CTS_50),
+	.D(n_1809),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[9]  (
+	.CLK(CTS_50),
+	.D(n_1802),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[18]  (
+	.CLK(CTS_50),
+	.D(n_1788),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[6]  (
+	.CLK(CTS_50),
+	.D(n_1793),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[23]  (
+	.CLK(CTS_51),
+	.D(n_1814),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[11]  (
+	.CLK(CTS_52),
+	.D(n_1822),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[19]  (
+	.CLK(CTS_50),
+	.D(n_1824),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[17]  (
+	.CLK(CTS_52),
+	.D(n_1823),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[15]  (
+	.CLK(CTS_50),
+	.D(n_1808),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[12]  (
+	.CLK(CTS_50),
+	.D(n_1815),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[5]  (
+	.CLK(CTS_50),
+	.D(n_1818),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[29]  (
+	.CLK(CTS_50),
+	.D(n_1786),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[14]  (
+	.CLK(CTS_50),
+	.D(n_1826),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.RESET_B(FE_OFN33_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[28]  (
+	.CLK(CTS_50),
+	.D(n_1791),
+	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g446493 (
+	.A(u_soc_instr_addr[9]),
+	.B_N(u_soc_instr_addr[8]),
+	.Y(n_17393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g446494 (
+	.A(u_soc_instr_addr[8]),
+	.B_N(u_soc_instr_addr[9]),
+	.Y(n_17394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_2 g446495 (
+	.A(FE_PSN4553_u_soc_data_addr_9),
+	.B_N(FE_PSN4554_u_soc_data_addr_8),
+	.Y(n_17395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 g446496 (
+	.A(u_soc_data_addr[8]),
+	.B_N(u_soc_data_addr[9]),
+	.Y(n_17396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_2 g446497 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
+	.A2(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.B1_N(u_soc_u_top_u_core_pc_if[2]),
+	.Y(n_17397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g446498 (
+	.A1(n_15999),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.B1(n_11518),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.X(n_17398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g446499 (
+	.A(FE_OFN18449_n_15945),
+	.B(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.C(n_15905),
+	.X(n_17399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell (
+	.HI(n_17933),
+	.LO(logic_0_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell1 (
+	.HI(n_17934),
+	.LO(logic_0_2_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell2 (
+	.HI(n_17935),
+	.LO(logic_0_3_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell3 (
+	.HI(n_17936),
+	.LO(logic_0_4_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell4 (
+	.HI(n_17937),
+	.LO(logic_0_5_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell5 (
+	.HI(n_17938),
+	.LO(logic_0_6_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell6 (
+	.HI(n_17939),
+	.LO(logic_0_7_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell7 (
+	.HI(n_17940),
+	.LO(logic_0_8_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell8 (
+	.HI(n_17941),
+	.LO(logic_0_9_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell9 (
+	.HI(n_17942),
+	.LO(logic_0_10_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell10 (
+	.HI(n_17943),
+	.LO(logic_0_11_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell11 (
+	.HI(n_17944),
+	.LO(logic_0_12_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell12 (
+	.HI(n_17945),
+	.LO(logic_0_13_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell13 (
+	.HI(n_17946),
+	.LO(logic_0_14_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell14 (
+	.HI(n_17947),
+	.LO(logic_0_15_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell15 (
+	.HI(n_17948),
+	.LO(logic_0_16_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell16 (
+	.HI(n_17949),
+	.LO(logic_0_17_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell17 (
+	.HI(n_17950),
+	.LO(logic_0_18_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell18 (
+	.HI(n_17951),
+	.LO(logic_0_19_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell19 (
+	.HI(n_17952),
+	.LO(logic_0_20_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell20 (
+	.HI(n_17953),
+	.LO(logic_0_21_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell21 (
+	.HI(n_17954),
+	.LO(logic_0_22_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell22 (
+	.HI(n_17955),
+	.LO(logic_0_23_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell23 (
+	.HI(n_17956),
+	.LO(logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell24 (
+	.HI(n_17957),
+	.LO(logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell25 (
+	.HI(n_17958),
+	.LO(logic_0_26_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell26 (
+	.HI(n_17959),
+	.LO(logic_0_27_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell27 (
+	.HI(n_17960),
+	.LO(logic_0_28_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell28 (
+	.HI(n_17961),
+	.LO(logic_0_29_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell29 (
+	.HI(n_17962),
+	.LO(logic_0_30_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell30 (
+	.HI(n_17963),
+	.LO(logic_0_31_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell31 (
+	.HI(n_17964),
+	.LO(logic_0_32_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell32 (
+	.HI(n_17965),
+	.LO(logic_0_33_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell33 (
+	.HI(n_17966),
+	.LO(logic_0_34_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell34 (
+	.HI(n_17967),
+	.LO(logic_0_35_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell35 (
+	.HI(n_17968),
+	.LO(logic_0_36_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell36 (
+	.HI(n_17969),
+	.LO(logic_0_37_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell37 (
+	.HI(n_17970),
+	.LO(logic_0_38_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell38 (
+	.HI(n_17971),
+	.LO(logic_0_39_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell39 (
+	.HI(n_17972),
+	.LO(logic_0_40_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell40 (
+	.HI(n_17973),
+	.LO(logic_0_41_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell41 (
+	.HI(n_17974),
+	.LO(logic_0_42_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell42 (
+	.HI(n_17975),
+	.LO(logic_0_43_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell43 (
+	.HI(n_17976),
+	.LO(logic_0_44_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell44 (
+	.HI(n_17977),
+	.LO(logic_0_45_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell45 (
+	.HI(n_17978),
+	.LO(logic_0_46_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell46 (
+	.HI(n_17979),
+	.LO(logic_0_47_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell47 (
+	.HI(n_17980),
+	.LO(logic_0_48_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell48 (
+	.HI(n_17981),
+	.LO(logic_0_49_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell49 (
+	.HI(n_17982),
+	.LO(logic_0_50_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell50 (
+	.HI(n_17983),
+	.LO(logic_0_51_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell51 (
+	.HI(n_17984),
+	.LO(logic_0_52_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell52 (
+	.HI(n_17985),
+	.LO(logic_0_53_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell53 (
+	.HI(n_17986),
+	.LO(logic_0_54_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell54 (
+	.HI(n_17987),
+	.LO(logic_0_55_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell55 (
+	.HI(FE_OFN166_io_oeb_24),
+	.LO(logic_0_56_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell56 (
+	.HI(FE_OFN19025_io_oeb_35),
+	.LO(logic_0_57_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell57 (
+	.HI(FE_OFN18972_io_oeb_36),
+	.LO(logic_0_58_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell58 (
+	.HI(io_oeb[5]),
+	.LO(logic_0_59_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell59 (
+	.HI(io_oeb[8]),
+	.LO(logic_0_60_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell60 (
+	.LO(logic_0_61_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell61 (
+	.LO(logic_0_62_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell62 (
+	.LO(logic_0_63_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell63 (
+	.LO(logic_0_64_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell64 (
+	.LO(logic_0_65_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell65 (
+	.LO(logic_0_66_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell66 (
+	.LO(logic_0_67_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell67 (
+	.LO(logic_0_68_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell68 (
+	.LO(logic_0_69_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell69 (
+	.LO(logic_0_70_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell70 (
+	.LO(logic_0_71_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell71 (
+	.LO(logic_0_72_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell72 (
+	.LO(logic_0_73_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell73 (
+	.LO(io_oeb[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell74 (
+	.LO(io_oeb[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell75 (
+	.LO(io_oeb[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell76 (
+	.LO(io_oeb[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell77 (
+	.LO(io_oeb[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell78 (
+	.LO(io_oeb[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell79 (
+	.LO(io_oeb[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell80 (
+	.LO(io_oeb[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell81 (
+	.LO(io_oeb[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell82 (
+	.LO(io_oeb[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell83 (
+	.LO(io_oeb[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell84 (
+	.LO(io_oeb[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell85 (
+	.LO(io_oeb[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell86 (
+	.LO(io_oeb[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell87 (
+	.LO(io_oeb[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell88 (
+	.LO(io_oeb[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell89 (
+	.LO(io_oeb[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell90 (
+	.LO(io_oeb[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell91 (
+	.LO(FE_PDN4026_io_oeb_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell92 (
+	.LO(io_oeb[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell93 (
+	.LO(io_oeb[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell94 (
+	.LO(io_oeb[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell95 (
+	.LO(io_oeb[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell96 (
+	.LO(io_oeb[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell97 (
+	.LO(io_oeb[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell98 (
+	.LO(io_oeb[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell99 (
+	.LO(io_oeb[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell100 (
+	.LO(io_oeb[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell101 (
+	.LO(io_oeb[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell102 (
+	.LO(io_oeb[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell103 (
+	.LO(io_oeb[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell104 (
+	.LO(io_oeb[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell105 (
+	.LO(io_oeb[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell106 (
+	.LO(io_out[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell107 (
+	.LO(io_out[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell108 (
+	.LO(io_out[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell109 (
+	.LO(io_out[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell110 (
+	.LO(io_out[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell111 (
+	.LO(io_out[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell112 (
+	.LO(io_out[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell113 (
+	.LO(io_out[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell114 (
+	.LO(io_out[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell115 (
+	.LO(io_out[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell116 (
+	.LO(io_out[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell117 (
+	.LO(io_out[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell118 (
+	.LO(io_out[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell119 (
+	.LO(io_out[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell120 (
+	.LO(io_out[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell121 (
+	.LO(io_out[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell122 (
+	.LO(io_out[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell123 (
+	.LO(io_out[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell124 (
+	.LO(io_out[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell125 (
+	.LO(io_out[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell126 (
+	.LO(io_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell127 (
+	.LO(io_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell128 (
+	.LO(io_out[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell129 (
+	.LO(io_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell130 (
+	.LO(io_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell131 (
+	.LO(io_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell132 (
+	.LO(io_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell133 (
+	.LO(io_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell134 (
+	.LO(io_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell135 (
+	.LO(io_out[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell136 (
+	.LO(io_out[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell137 (
+	.LO(io_out[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell138 (
+	.LO(io_out[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell139 (
+	.LO(io_out[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell140 (
+	.LO(io_out[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell141 (
+	.LO(la_data_out[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell142 (
+	.LO(la_data_out[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell143 (
+	.LO(la_data_out[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell144 (
+	.LO(la_data_out[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell145 (
+	.LO(la_data_out[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell146 (
+	.LO(la_data_out[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell147 (
+	.LO(la_data_out[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell148 (
+	.LO(la_data_out[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell149 (
+	.LO(la_data_out[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell150 (
+	.LO(la_data_out[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell151 (
+	.LO(la_data_out[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell152 (
+	.LO(la_data_out[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell153 (
+	.LO(la_data_out[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell154 (
+	.LO(la_data_out[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell155 (
+	.LO(la_data_out[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell156 (
+	.LO(la_data_out[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell157 (
+	.LO(la_data_out[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell158 (
+	.LO(la_data_out[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell159 (
+	.LO(la_data_out[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell160 (
+	.LO(la_data_out[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell161 (
+	.LO(la_data_out[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell162 (
+	.LO(la_data_out[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell163 (
+	.LO(la_data_out[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell164 (
+	.LO(la_data_out[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell165 (
+	.LO(la_data_out[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell166 (
+	.LO(la_data_out[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell167 (
+	.LO(la_data_out[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell168 (
+	.LO(la_data_out[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell169 (
+	.LO(la_data_out[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell170 (
+	.LO(la_data_out[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell171 (
+	.LO(la_data_out[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell172 (
+	.LO(la_data_out[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell173 (
+	.LO(la_data_out[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell174 (
+	.LO(la_data_out[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell175 (
+	.LO(la_data_out[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell176 (
+	.LO(la_data_out[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell177 (
+	.LO(la_data_out[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell178 (
+	.LO(la_data_out[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell179 (
+	.LO(la_data_out[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell180 (
+	.LO(la_data_out[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell181 (
+	.LO(la_data_out[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell182 (
+	.LO(la_data_out[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell183 (
+	.LO(la_data_out[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell184 (
+	.LO(la_data_out[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell185 (
+	.LO(la_data_out[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell186 (
+	.LO(la_data_out[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell187 (
+	.LO(la_data_out[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell188 (
+	.LO(la_data_out[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell189 (
+	.LO(la_data_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell190 (
+	.LO(la_data_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell191 (
+	.LO(la_data_out[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell192 (
+	.LO(la_data_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell193 (
+	.LO(la_data_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell194 (
+	.LO(la_data_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell195 (
+	.LO(la_data_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell196 (
+	.LO(la_data_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell197 (
+	.LO(la_data_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell198 (
+	.LO(la_data_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell199 (
+	.LO(la_data_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell200 (
+	.LO(la_data_out[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell201 (
+	.LO(la_data_out[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell202 (
+	.LO(la_data_out[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell203 (
+	.LO(la_data_out[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell204 (
+	.LO(la_data_out[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell205 (
+	.LO(la_data_out[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell206 (
+	.LO(la_data_out[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell207 (
+	.LO(la_data_out[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell208 (
+	.LO(la_data_out[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell209 (
+	.LO(la_data_out[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell210 (
+	.LO(la_data_out[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell211 (
+	.LO(la_data_out[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell212 (
+	.LO(la_data_out[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell213 (
+	.LO(la_data_out[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell214 (
+	.LO(la_data_out[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell215 (
+	.LO(la_data_out[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell216 (
+	.LO(la_data_out[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell217 (
+	.LO(la_data_out[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell218 (
+	.LO(la_data_out[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell219 (
+	.LO(la_data_out[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell220 (
+	.LO(la_data_out[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell221 (
+	.LO(la_data_out[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell222 (
+	.LO(la_data_out[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell223 (
+	.LO(la_data_out[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell224 (
+	.LO(la_data_out[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell225 (
+	.LO(la_data_out[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell226 (
+	.LO(la_data_out[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell227 (
+	.LO(la_data_out[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell228 (
+	.LO(la_data_out[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell229 (
+	.LO(la_data_out[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell230 (
+	.LO(la_data_out[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell231 (
+	.LO(la_data_out[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell232 (
+	.LO(la_data_out[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell233 (
+	.LO(la_data_out[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell234 (
+	.LO(la_data_out[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell235 (
+	.LO(la_data_out[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell236 (
+	.LO(la_data_out[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell237 (
+	.LO(la_data_out[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell238 (
+	.LO(la_data_out[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell239 (
+	.LO(la_data_out[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell240 (
+	.LO(la_data_out[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell241 (
+	.LO(la_data_out[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell242 (
+	.LO(la_data_out[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell243 (
+	.LO(la_data_out[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell244 (
+	.LO(la_data_out[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell245 (
+	.LO(la_data_out[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell246 (
+	.LO(la_data_out[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell247 (
+	.LO(la_data_out[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell248 (
+	.LO(la_data_out[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell249 (
+	.LO(la_data_out[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell250 (
+	.LO(la_data_out[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell251 (
+	.LO(la_data_out[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell252 (
+	.LO(la_data_out[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell253 (
+	.LO(la_data_out[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell254 (
+	.LO(la_data_out[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell255 (
+	.LO(la_data_out[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell256 (
+	.LO(la_data_out[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell257 (
+	.LO(la_data_out[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell258 (
+	.LO(la_data_out[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell259 (
+	.LO(la_data_out[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell260 (
+	.LO(la_data_out[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell261 (
+	.LO(la_data_out[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell262 (
+	.LO(la_data_out[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell263 (
+	.LO(la_data_out[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell264 (
+	.LO(la_data_out[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell265 (
+	.LO(la_data_out[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell266 (
+	.LO(la_data_out[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell267 (
+	.LO(la_data_out[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell268 (
+	.LO(la_data_out[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell269 (
+	.LO(user_irq[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell270 (
+	.LO(user_irq[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell271 (
+	.LO(user_irq[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell272 (
+	.LO(wbs_ack_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell273 (
+	.LO(wbs_dat_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell274 (
+	.LO(wbs_dat_o[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell275 (
+	.LO(wbs_dat_o[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell276 (
+	.LO(wbs_dat_o[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell277 (
+	.LO(wbs_dat_o[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell278 (
+	.LO(wbs_dat_o[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell279 (
+	.LO(wbs_dat_o[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell280 (
+	.LO(wbs_dat_o[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell281 (
+	.LO(wbs_dat_o[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell282 (
+	.LO(wbs_dat_o[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell283 (
+	.LO(wbs_dat_o[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell284 (
+	.LO(wbs_dat_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell285 (
+	.LO(wbs_dat_o[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell286 (
+	.LO(wbs_dat_o[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell287 (
+	.LO(wbs_dat_o[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell288 (
+	.LO(wbs_dat_o[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell289 (
+	.LO(wbs_dat_o[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell290 (
+	.LO(wbs_dat_o[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell291 (
+	.LO(wbs_dat_o[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell292 (
+	.LO(wbs_dat_o[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell293 (
+	.LO(wbs_dat_o[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell294 (
+	.LO(wbs_dat_o[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell295 (
+	.LO(wbs_dat_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell296 (
+	.LO(wbs_dat_o[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell297 (
+	.LO(wbs_dat_o[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell298 (
+	.LO(wbs_dat_o[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell299 (
+	.LO(wbs_dat_o[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell300 (
+	.LO(wbs_dat_o[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell301 (
+	.LO(wbs_dat_o[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell302 (
+	.LO(wbs_dat_o[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell303 (
+	.LO(wbs_dat_o[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell304 (
+	.LO(wbs_dat_o[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule